JP5184498B2 - Deposition method - Google Patents

Deposition method Download PDF

Info

Publication number
JP5184498B2
JP5184498B2 JP2009280476A JP2009280476A JP5184498B2 JP 5184498 B2 JP5184498 B2 JP 5184498B2 JP 2009280476 A JP2009280476 A JP 2009280476A JP 2009280476 A JP2009280476 A JP 2009280476A JP 5184498 B2 JP5184498 B2 JP 5184498B2
Authority
JP
Japan
Prior art keywords
substrate
film
water
oxide film
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009280476A
Other languages
Japanese (ja)
Other versions
JP2011124371A (en
Inventor
裕一 原田
佳明 関根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP2009280476A priority Critical patent/JP5184498B2/en
Publication of JP2011124371A publication Critical patent/JP2011124371A/en
Application granted granted Critical
Publication of JP5184498B2 publication Critical patent/JP5184498B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板上での成膜方法に係り、特に疎水性表面を持つ基板上に原子層堆積法を用いて酸化膜を形成する成膜方法に関する。   The present invention relates to a film forming method on a substrate, and more particularly to a film forming method for forming an oxide film on a substrate having a hydrophobic surface by using an atomic layer deposition method.

原子層堆積(Atomic Layer Deposition:ALD)法は、前駆体(precursor)と呼ばれる有機金属化合物とその酸化剤(主として水)を用いて原子層を1層ずつデジタル的に膜成長を行う成膜方法である。1原子層ずつ成長可能であるのは、前駆体の持つ自己抑制機構、すなわち表面に1原子層だけ吸着するとそれ以上の厚さにならないという性質を利用するためである。ALD法は、化学気相成長(CVD)法の一種であるが、表面吸着による自己抑制機構により1原子層ずつ成長する点が、通常のCVD法とは異なる。   The Atomic Layer Deposition (ALD) method is a film formation method in which an atomic layer is digitally grown one by one using an organometallic compound called a precursor and its oxidizing agent (mainly water). It is. The reason why each atomic layer can be grown is to utilize the self-inhibiting mechanism of the precursor, that is, the property that when the atomic layer is adsorbed on the surface, the thickness does not increase beyond that. The ALD method is a kind of chemical vapor deposition (CVD) method, but differs from the normal CVD method in that the atomic layer is grown by a self-suppression mechanism by surface adsorption.

ALD法は基板の表面吸着を利用するため、薄膜成長において重要な基板温度や基板材料以上に、基板の表面状態が成長条件を大きく左右する。シリコン(Si)やガリウムヒ素(GaAs)等の基板は、基板表面に水酸基(OH基)が存在するために、親水性の性質を持ち、ALD法によって比較的問題なく一様な成膜が可能である。しかし、グラファイトやその1原子層膜であるグラフェン(graphene)はハニカム構造の結晶を有し、表面にはパイ電子のみ存在する。このため、基板表面は不活性な疎水性を示し、ALD法による一様な膜の成長が難しい。   Since the ALD method uses the surface adsorption of the substrate, the surface condition of the substrate greatly affects the growth conditions beyond the substrate temperature and substrate material which are important in thin film growth. Substrates such as silicon (Si) and gallium arsenide (GaAs) have hydrophilic properties due to the presence of hydroxyl groups (OH groups) on the substrate surface, and can be uniformly deposited without any problem by the ALD method. It is. However, graphite and its one atomic layer film, graphene, have honeycomb structure crystals, and only pi electrons exist on the surface. For this reason, the substrate surface exhibits inert hydrophobicity, and it is difficult to grow a uniform film by the ALD method.

このようなことから、グラファイトやグラフェン等の疎水性基板上に酸化膜を形成する方法として、酸化膜と基板との間にバッファー層を設けることで一様な酸化膜を成長させる方法が提案されている。例えば、バッファー層として二酸化窒素で表面を覆い、その上に酸化アルミニウム(Al23)膜を成長する方法、基板上に薄い金属薄膜(アルミニウム(Al)膜、チタン(Ti)膜等)を堆積し、この金属薄膜上に酸化膜を成長させる方法、酸化力の強いオゾンや窒素酸化物(NO2)を利用する方法等が提案されている(例えば、非特許文献1〜4参照)。 For this reason, as a method of forming an oxide film on a hydrophobic substrate such as graphite or graphene, a method of growing a uniform oxide film by providing a buffer layer between the oxide film and the substrate has been proposed. ing. For example, a method of growing the aluminum oxide (Al 2 O 3 ) film on the surface with nitrogen dioxide as a buffer layer, a thin metal thin film (aluminum (Al) film, titanium (Ti) film, etc.) on the substrate A method of depositing and growing an oxide film on the metal thin film, a method of using strong oxidizing power ozone or nitrogen oxide (NO 2 ), and the like have been proposed (for example, see Non-Patent Documents 1 to 4).

J.R.Williams, L.DiCarlo, C.M.Marcus, “Quantum Hall Effect in a Gate-Controlled p-n Junction of Graphene,” 2007, Science, vol 317, p.638-640J.R.Williams, L.DiCarlo, C.M.Marcus, “Quantum Hall Effect in a Gate-Controlled p-n Junction of Graphene,” 2007, Science, vol 317, p.638-640 Seyoung Kim, Junghyo Nah, Insun Jo, Davood Shahrierdi, Luigi Colombo, Zhen Yao, Emanuel Tutuc, and Sanjay K.Banerjee, “Realization of a high mobility dual-gated graphene field-effect transistor with Al2O3 dielectric,” 2009, Applied Physics Letters 94, 062107Seyoung Kim, Junghyo Nah, Insun Jo, Davood Shahrierdi, Luigi Colombo, Zhen Yao, Emanuel Tutuc, and Sanjay K. Banerjee, “Realization of a high mobility dual-gated graphene field-effect transistor with Al2O3 dielectric,” 2009, Applied Physics Letters 94, 062107 W.H.Wang, W.Han, K.Pi, K.M.McCreary, F.Miao, W.Bao, C.N.Lau, and R.K.Kawakami, “Growth of atomically smooth MgO films on graphene by molecular beam epitaxy,” 2008, Applied Physics Letters 93, 183107WHWang, W. Han, K. Pi, KMMcCreary, F. Miao, W. Bao, CNLau, and RKKawakami, “Growth of atomically smooth MgO films on graphene by molecular beam epitaxy,” 2008, Applied Physics Letters 93 , 183107 Bongki Lee, Seong-Yong Park, Hyun-Chul Kim, KyeongJae Cho, Eric M.Vogel, Moon J.Kim, Robert M.Wallace, and Jiyoung Kim, “Conformal Al2O3 dleccctr deposited by atomic layer deposition for graphene-based nanoelectronics,” 2008, Applied Physics Letters 92, 203102Bongki Lee, Seong-Yong Park, Hyun-Chul Kim, KyeongJae Cho, Eric M. Vogel, Moon J. Kim, Robert M. Wallace, and Jiyoung Kim, “Conformal Al2O3 dleccctr deposited by atomic layer deposition for graphene-based nanoelectronics, ”2008, Applied Physics Letters 92, 203102

しかしながら、これらのバッファー層を用いる方法では、経年変化による界面状態の変化やバッファー層の存在による界面準位の増加等が問題となる。例えば、ALD法で堆積させたAl23膜をトランジスタのゲート絶縁膜に使用した場合、ゲート絶縁膜と基板との界面に、トランジスタを構成する上で本質的に不必要である金属膜、半導体酸化膜、半導体窒化膜等がバッファー層として残る。このため、界面での電荷蓄積によるゲート電圧の制御性や耐圧に関して問題が発生する場合がある。特に基板が化合物半導体の場合には、この界面電荷の効果は顕著であり大きな問題となっている。 However, in the methods using these buffer layers, there are problems such as changes in the interface state due to secular change and increases in interface states due to the presence of the buffer layer. For example, when an Al 2 O 3 film deposited by the ALD method is used as a gate insulating film of a transistor, a metal film that is essentially unnecessary for forming a transistor at the interface between the gate insulating film and the substrate, A semiconductor oxide film, a semiconductor nitride film, etc. remain as a buffer layer. For this reason, a problem may occur with respect to controllability of the gate voltage and withstand voltage due to charge accumulation at the interface. In particular, when the substrate is a compound semiconductor, the effect of this interfacial charge is significant and is a big problem.

上記問題点に鑑み、本発明は、疎水性基板上に良好な酸化膜を形成する成膜方法を提供することを目的とする。   In view of the above problems, an object of the present invention is to provide a film forming method for forming a good oxide film on a hydrophobic substrate.

本発明の一態様によれば、(イ)室温以上且つ水の沸点未満の第1の基板温度で、水の過飽和状態にした疎水性の基板の基板表面上に下地酸化膜を原子層堆積法を用いて形成するステップと、(ロ)第2の基板温度で、下地酸化膜上に上部酸化膜を原子層堆積法を用いて形成するステップとを含み、下地酸化膜を形成するステップが、基板に水を供給して基板表面を水の過飽和状態にするステップと、第1の基板温度において原子層堆積法で成膜するために十分な蒸気圧のある前駆体と前駆体の酸化剤とを、基板表面が水の過飽和状態にある基板に交互に供給するステップを含む低温サイクルを複数回実行することを含む成膜方法が提供される。
According to one aspect of the present invention, (a) an atomic layer deposition method for forming a base oxide film on a substrate surface of a hydrophobic substrate that is supersaturated with water at a first substrate temperature that is not less than room temperature and less than the boiling point of water. And (b) forming an upper oxide film on the base oxide film by using an atomic layer deposition method at a second substrate temperature, and forming the base oxide film, Supplying water to the substrate to bring the substrate surface into a supersaturated state of the substrate; a precursor having a vapor pressure sufficient to form a film by an atomic layer deposition method at a first substrate temperature; A film forming method including performing a low temperature cycle a plurality of times including a step of alternately supplying the substrate to a substrate in which the substrate surface is supersaturated with water is provided.

本発明によれば、疎水性基板上に良好な酸化膜を形成する成膜方法を提供できる。   ADVANTAGE OF THE INVENTION According to this invention, the film-forming method which forms a favorable oxide film on a hydrophobic substrate can be provided.

本発明の実施形態に係る成膜方法を用いて製造された半導体装置の例を示す模式的な断面図である。It is typical sectional drawing which shows the example of the semiconductor device manufactured using the film-forming method which concerns on embodiment of this invention. 本発明の実施形態に係る成膜方法に使用される製造装置の例を示す模式図である。It is a schematic diagram which shows the example of the manufacturing apparatus used for the film-forming method which concerns on embodiment of this invention. 本発明の実施形態に係る成膜方法を説明するためのプロセスステップである。It is a process step for demonstrating the film-forming method which concerns on embodiment of this invention. 本発明の実施形態に係る成膜方法において水を基板に供給する方法を説明するためのプロセスステップである。It is a process step for demonstrating the method to supply water to a board | substrate in the film-forming method which concerns on embodiment of this invention. 疎水性基板上に付着した水の例を示す模式図である。It is a schematic diagram which shows the example of the water adhering on a hydrophobic substrate.

次に、図面を参照して、本発明の実施形態を説明する。以下の図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。ただし、以下に示す実施形態は、この発明の技術的思想を具体化するための装置や方法を例示するものであって、この発明の実施形態は、構成部品の材質、形状、構造、配置等を下記のものに特定するものでない。この発明の実施形態は、特許請求の範囲において、種々の変更を加えることができる。   Next, an embodiment of the present invention will be described with reference to the drawings. In the following description of the drawings, the same or similar parts are denoted by the same or similar reference numerals. However, the embodiment described below exemplifies an apparatus and a method for embodying the technical idea of the present invention, and the embodiment of the present invention is the material, shape, structure, arrangement, etc. of the component parts. Is not specified as follows. The embodiment of the present invention can be variously modified within the scope of the claims.

図1に、本発明の実施形態に係る成膜方法を用いて製造された半導体装置10の例を示す。図1に示した半導体装置10では、疎水性の基板11の基板表面110上に、下地酸化膜12と上部酸化膜13が順に積層されている。以下では、図1に示した半導体装置10を製造する場合を例にして、本発明の実施形態に係る成膜方法を説明する。   FIG. 1 shows an example of a semiconductor device 10 manufactured using a film forming method according to an embodiment of the present invention. In the semiconductor device 10 shown in FIG. 1, a base oxide film 12 and an upper oxide film 13 are sequentially stacked on a substrate surface 110 of a hydrophobic substrate 11. In the following, the film forming method according to the embodiment of the present invention will be described by taking as an example the case of manufacturing the semiconductor device 10 shown in FIG.

本発明の実施形態に係る成膜方法は、室温以上且つ水の沸点未満の第1の基板温度T1で、水の過飽和状態にした疎水性の基板11の基板表面110上に下地酸化膜12を原子層堆積法を用いて形成するステップと、第2の基板温度T2で、下地酸化膜12上に上部酸化膜13を原子層堆積法を用いて形成するステップとを含む。   In the film forming method according to the embodiment of the present invention, the base oxide film 12 is formed on the substrate surface 110 of the hydrophobic substrate 11 that is supersaturated with water at the first substrate temperature T1 that is at least room temperature and less than the boiling point of water. A step of forming using an atomic layer deposition method, and a step of forming the upper oxide film 13 on the base oxide film 12 using the atomic layer deposition method at a second substrate temperature T2.

第1の基板温度T1が室温(例えば25℃程度)以上、且つ水の沸点(100℃)より低い温度に設定されるのは、後述するように、基板11に水(H2O)を供給することによって疎水性の基板11の基板表面110を水の過飽和の状態、即ち十分な親水性表面状態にするためである。水の過飽和の状態にある基板表面110上に、下地酸化膜12が形成される。 The reason why the first substrate temperature T1 is set to a temperature higher than room temperature (for example, about 25 ° C.) and lower than the boiling point of water (100 ° C.) is to supply water (H 2 O) to the substrate 11 as will be described later. This is to make the substrate surface 110 of the hydrophobic substrate 11 into a supersaturated state of water, that is, a sufficiently hydrophilic surface state. A base oxide film 12 is formed on the substrate surface 110 in a supersaturated state of water.

このため、下地酸化膜12を形成するために使用される前駆体として、第1の基板温度T1においてALD法を用いて成膜するために十分な蒸気圧を得られる材料が選択される。一般的に、ALD法における前駆体の蒸気圧は5〜10ヘクトパスカル(hPa)程度である。例えば、下地酸化膜12が酸化アルミニウム(Al23)膜である場合に、前駆体として使用可能な有機金属化合物にはトリメチルアルミニウム(TMA)がある。TMAは、室温から100℃未満の範囲にある第1の基板温度T1においても十分な蒸気圧がある。 For this reason, a material that can obtain a vapor pressure sufficient to form a film using the ALD method at the first substrate temperature T1 is selected as a precursor used to form the base oxide film 12. Generally, the vapor pressure of the precursor in the ALD method is about 5 to 10 hectopascals (hPa). For example, when the base oxide film 12 is an aluminum oxide (Al 2 O 3 ) film, trimethylaluminum (TMA) is an organometallic compound that can be used as a precursor. TMA has a sufficient vapor pressure even at the first substrate temperature T1 in the range from room temperature to less than 100 ° C.

第2の基板温度T2は、ALD法によって上部酸化膜13を形成するために前駆体が十分な蒸気圧が得られる温度に設定される。上部酸化膜13がAl23膜である場合には、前駆体としてTMAが採用可能である。或いは、上部酸化膜13が酸化ハフニウム(HfO2)膜である場合には、前駆体としてテトラキスエチルメチルアミノハフニウム(TEMAH)が採用可能である。 The second substrate temperature T2 is set to a temperature at which the precursor can obtain a sufficient vapor pressure for forming the upper oxide film 13 by the ALD method. When the upper oxide film 13 is an Al 2 O 3 film, TMA can be adopted as a precursor. Alternatively, when the upper oxide film 13 is a hafnium oxide (HfO 2 ) film, tetrakisethylmethylaminohafnium (TEMAH) can be used as a precursor.

本発明の実施形態に係る成膜方法は、例えば図2に示すような原子層堆積装置100を用いて実行される。図2に示した原子層堆積装置100では、前駆体102や酸化剤103の搬送に使用されるキャリアガス101が、ガスライン108を介して、基板11が格納された反応チャンバー104に流入する。キャリアガス101には、窒素(N2)ガスやヘリウム(He)ガス等が採用可能である。 The film forming method according to the embodiment of the present invention is executed using, for example, an atomic layer deposition apparatus 100 as shown in FIG. In the atomic layer deposition apparatus 100 shown in FIG. 2, the carrier gas 101 used for transporting the precursor 102 and the oxidant 103 flows into the reaction chamber 104 in which the substrate 11 is stored via the gas line 108. Nitrogen (N 2 ) gas, helium (He) gas, or the like can be used as the carrier gas 101.

前駆体102は、パルシングバルブ106を開くことでガスライン108に導入され、キャリアガス101によって反応チャンバー104に搬送される。酸化剤103は、パルシングバルブ107を開くことでガスライン108に導入され、キャリアガス101によって反応チャンバー104に搬送される。また、ドライポンプ105によって、反応ガスや余剰な前駆体102等が反応チャンバー104の外部に排出される。   The precursor 102 is introduced into the gas line 108 by opening the pulsing valve 106, and is transferred to the reaction chamber 104 by the carrier gas 101. The oxidant 103 is introduced into the gas line 108 by opening the pulsing valve 107 and is transported to the reaction chamber 104 by the carrier gas 101. Further, the dry pump 105 discharges reaction gas, excess precursor 102, and the like to the outside of the reaction chamber 104.

キャリアガス101は、3〜6hPa程度の圧力でガスライン108に導入される。このため、前駆体102をガスライン108に導入するためには、成膜温度における前駆体102の蒸気圧は、5hPa以上、好ましくは8〜10hPa程度である必要がある。   The carrier gas 101 is introduced into the gas line 108 at a pressure of about 3 to 6 hPa. For this reason, in order to introduce the precursor 102 into the gas line 108, the vapor pressure of the precursor 102 at the film forming temperature needs to be 5 hPa or more, preferably about 8 to 10 hPa.

以下では、図2に示した原子層堆積装置100を用いて、図1に示したように基板11上に下地酸化膜12と上部酸化膜13を形成する場合を例示的に説明する。ここで、下地酸化膜12の形成に使用される前駆体102はTMAであり、下地酸化膜12はAl23膜であるとする。 Hereinafter, a case where the base oxide film 12 and the upper oxide film 13 are formed on the substrate 11 as illustrated in FIG. 1 using the atomic layer deposition apparatus 100 illustrated in FIG. 2 will be described as an example. Here, it is assumed that the precursor 102 used for forming the base oxide film 12 is TMA, and the base oxide film 12 is an Al 2 O 3 film.

また、パルス供給によって前駆体102や酸化剤103等がパルス状に反応チャンバー104に供給されるが、以下において「パルス時間」は、前駆体102や酸化剤103等を反応チャンバー104に供給するためにパルシングバルブ106やパルシングバルブ107を開いている時間であり、「パージ時間」は、キャリアガス101のみを反応チャンバー104に供給するためにパルシングバルブ106及びパルシングバルブ107を閉じている時間である。通常、パージ時間は、基板表面110に余剰に吸着しているTMAや反応生成物、余剰な水分子等を、反応チャンバー104の外部に排出するための時間である。   In addition, the precursor 102, the oxidizing agent 103, and the like are supplied in a pulsed manner to the reaction chamber 104 by pulse supply. In the following, “pulse time” is used to supply the precursor 102, the oxidizing agent 103, and the like to the reaction chamber 104. The pulsing valve 106 and the pulsing valve 107 are opened, and the “purge time” is the time when the pulsing valve 106 and the pulsing valve 107 are closed in order to supply only the carrier gas 101 to the reaction chamber 104. It's time. Usually, the purge time is a time for discharging TMA adsorbed excessively on the substrate surface 110, reaction products, surplus water molecules, and the like to the outside of the reaction chamber 104.

以下に、本発明の実施形態に係る成膜方法を、図3を参照して説明する。図3のグラフの縦軸は、基板11の基板温度と、反応チャンバー104内の圧力である。なお、酸化剤103はH2Oであり、パルシングバルブ107を開くことにより、基板11に供給される水がガスライン108を介して反応チャンバー104に導入される。 Below, the film-forming method which concerns on embodiment of this invention is demonstrated with reference to FIG. The vertical axis of the graph in FIG. 3 represents the substrate temperature of the substrate 11 and the pressure in the reaction chamber 104. Note that the oxidizing agent 103 is H 2 O, and the water supplied to the substrate 11 is introduced into the reaction chamber 104 via the gas line 108 by opening the pulsing valve 107.

(イ)疎水性の基板11を反応チャンバー104に格納した後、反応チャンバー104内の温度を設定して、基板11の基板温度を、室温から水の沸点(100℃)未満の範囲にある第1の基板温度T1にする。TMAは室温でも十分な蒸気圧があるため、室温から100℃未満の範囲でも前駆体102として使用可能である。なお、前駆体102がTMAである場合は、最適な第1の基板温度T1は80℃程度であることが実験により得られている。   (A) After storing the hydrophobic substrate 11 in the reaction chamber 104, the temperature in the reaction chamber 104 is set, and the substrate temperature of the substrate 11 is within the range of room temperature to less than the boiling point of water (100 ° C.). 1 substrate temperature T1. Since TMA has a sufficient vapor pressure even at room temperature, it can be used as the precursor 102 in a range from room temperature to less than 100 ° C. Note that, when the precursor 102 is TMA, it has been experimentally obtained that the optimum first substrate temperature T1 is about 80 ° C.

(ロ)図3に示す期間tT1のうちの期間twの間、水を基板11に供給し、基板11の基板表面110を水の過飽和状態にする。例えば、図4に示すように、パルシングバルブ107が開いているパルス時間tPLWと、キャリアガス101のみ供給するためにパルシングバルブ107が閉じているパージ時間tPGWからなるパルス供給のサイクル(以下において、「水供給サイクル」という。)Cwを繰り返して、水を基板11に供給する。ただし、パージ時間tPGWは、基板11に常に水が供給されるように設定される。具体的には、パルシングバルブ107から反応チャンバー104まで水が通過するのに要する通過時間tPASSよりも、パージ時間tPGWを短くする。例えば、通過時間tPASSが2.5秒である場合、パージ時間tPGWは2.5秒より短くする。通過時間tPASSは、原子層堆積装置100の構造に依存する。 (B) During the period tw of the period t T1 shown in FIG. 3, water is supplied to the substrate 11 to bring the substrate surface 110 of the substrate 11 into a supersaturated state of water. For example, as shown in FIG. 4, a pulse supply cycle comprising a pulse time t PLW when the pulsing valve 107 is open and a purge time t PGW when the pulsing valve 107 is closed to supply only the carrier gas 101 ( Hereinafter, it is referred to as “water supply cycle”.) Cw is repeated to supply water to the substrate 11. However, the purge time t PGW is set so that water is always supplied to the substrate 11. Specifically, the purge time t PGW is made shorter than the passage time t PASS required for water to pass from the pulsing valve 107 to the reaction chamber 104. For example, when the passage time t PASS is 2.5 seconds, the purge time t PGW is shorter than 2.5 seconds. The passage time t PASS depends on the structure of the atomic layer deposition apparatus 100.

(ハ)期間twに続く期間tmにおいて、パルシングバルブ106のみ開くパルス時間tPLM及びパルシングバルブ106、107を閉じるパージ時間tPGMからなるパルス供給によって、基板表面110が水の過飽和状態である基板11にTMAを1回供給する。具体的には、TMAを前駆体102としてガス状にし、パルシングバルブ106をパルス時間tPLM=0.1秒だけ開いて、TMAをキャリアガス101に載せて反応チャンバー104に導入する。この間、パルシングバルブ107は閉じている。その結果、前駆体102は反応チャンバー104内に置かれた基板11の基板表面110と反応し、基板表面110に1原子層のみ吸着される。このとき、基板表面110の全面に水が付着しているため、前駆体102は基板表面110の全面に吸着される。 (C) In the period tm following the period tw, the substrate surface 110 is in a supersaturated state of water by the pulse supply consisting of the pulse time t PLM for opening only the pulsing valve 106 and the purge time t PGM for closing the pulsing valves 106 and 107. TMA is supplied to the substrate 11 once. Specifically, TMA is gasified as the precursor 102, the pulsing valve 106 is opened for a pulse time t PLM = 0.1 second, and TMA is placed on the carrier gas 101 and introduced into the reaction chamber 104. During this time, the pulsing valve 107 is closed. As a result, the precursor 102 reacts with the substrate surface 110 of the substrate 11 placed in the reaction chamber 104, and only one atomic layer is adsorbed on the substrate surface 110. At this time, since water adheres to the entire surface of the substrate surface 110, the precursor 102 is adsorbed to the entire surface of the substrate surface 110.

(ニ)前駆体102を基板表面110に吸着させた後、パージ時間tPGM=2.5秒の間、パルシングバルブ106、107を閉じて、キャリアガス101のみを反応チャンバー104に導入する。パージ時間tPGMは基板表面110に余剰に吸着しているTMAを取り除くのに必要な時間である。パージ時間tPGMは反応チャンバー104内の温度(第1の基板温度T1)に応じて、2.5〜120秒の範囲でも設定可能である。 (D) After the precursor 102 is adsorbed on the substrate surface 110, the pulsing valves 106 and 107 are closed for the purge time t PGM = 2.5 seconds, and only the carrier gas 101 is introduced into the reaction chamber 104. The purge time t PGM is a time required to remove TMA adsorbed excessively on the substrate surface 110. The purge time t PGM can be set in the range of 2.5 to 120 seconds according to the temperature in the reaction chamber 104 (first substrate temperature T1).

(ホ)次いで、期間tmにおいて、パルシングバルブ107のみ開くパルス時間tPLO及びパルシングバルブ106、107を閉じるパージ時間tPGOからなるパルス供給によって、前駆体102の酸化剤103を基板11に供給する。例えば、パルシングバルブ107をパルス時間tPLO=0.1秒だけ開いて、酸化剤103をキャリアガス101に載せて反応チャンバー104に導入する。これにより、基板表面110に接する下地酸化膜12の1原子層分の最下層が形成される。次いで、パージ時間tPGO=4.0秒の間、パルシングバルブ106、107を閉じて、キャリアガス101のみを反応チャンバー104に導入する。これにより、反応生成物や余剰な水分子を反応チャンバー104の外部に排出する。 (E) Next, in the period tm, the oxidant 103 of the precursor 102 is supplied to the substrate 11 by the pulse supply consisting of the pulse time t PLO for opening only the pulsing valve 107 and the purge time t PGO for closing the pulsing valves 106 and 107. To do. For example, the pulsing valve 107 is opened for a pulse time t PLO = 0.1 second, and the oxidizing agent 103 is placed on the carrier gas 101 and introduced into the reaction chamber 104. As a result, a lowermost layer corresponding to one atomic layer of the base oxide film 12 in contact with the substrate surface 110 is formed. Next, during the purge time t PGO = 4.0 seconds, the pulsing valves 106 and 107 are closed, and only the carrier gas 101 is introduced into the reaction chamber 104. Thereby, reaction products and excess water molecules are discharged to the outside of the reaction chamber 104.

(ヘ)その後、前駆体102を基板11に供給する工程と、前駆体102の酸化剤103を基板11に供給する工程とを繰り返すALD法のプロセスにより、第1の基板温度T1で下地酸化膜12を形成する。即ち、
(a)パルス時間t1PLMの間、パルシングバルブ107を閉じ、パルシングバルブ106を開いて、前駆体102を基板11に供給し;
(b)パージ時間t1PGMの間、パルシングバルブ106、107を閉じて、キャリアガス101のみを基板11に供給し;
(c)パルス時間t1PLOの間、パルシングバルブ106を閉じ、パルシングバルブ107を開いて、前駆体102の酸化剤103を基板11に供給し;
(d)パージ時間t1PGOの間、パルシングバルブ106、107を閉じて、キャリアガス101のみを基板11に供給する、
を含むプロセスサイクルを1つのサイクル(以下において、「低温サイクル」という。)C1として、第1の基板温度T1において低温サイクルC1を複数回繰り返し実行する。例えば、パルス時間t1PLM=0.1秒且つパージ時間t1PGM=4.0秒で前駆体102としてTMAを基板11に供給し、パルス時間t1PLO=0.1秒且つパージ時間t1PGO=4.0秒で酸化剤103としてH2Oを基板11に供給する。この場合も、パージ時間t1PGMは反応チャンバー104内の温度(第1の基板温度T1)に応じて120秒程度まで長くした方が良い場合もある。上記の期間tw、期間tm、及び複数回の低温サイクルC1を含む期間tT1において、基板11上に下地酸化膜12が形成される。
(F) Thereafter, the base oxide film is formed at the first substrate temperature T1 by an ALD process in which the step of supplying the precursor 102 to the substrate 11 and the step of supplying the oxidizing agent 103 of the precursor 102 to the substrate 11 are repeated. 12 is formed. That is,
(A) During the pulse time t1 PLM , the pulsing valve 107 is closed, the pulsing valve 106 is opened, and the precursor 102 is supplied to the substrate 11;
(B) During the purge time t1 PGM , the pulsing valves 106 and 107 are closed and only the carrier gas 101 is supplied to the substrate 11;
(C) During the pulse time t1 PLO , the pulsing valve 106 is closed, the pulsing valve 107 is opened, and the oxidant 103 of the precursor 102 is supplied to the substrate 11;
(D) During the purge time t1 PGO , the pulsing valves 106 and 107 are closed and only the carrier gas 101 is supplied to the substrate 11.
As a cycle (hereinafter referred to as a “low temperature cycle”) C1, the low temperature cycle C1 is repeatedly executed a plurality of times at the first substrate temperature T1. For example, TMA is supplied to the substrate 11 as the precursor 102 at a pulse time t1 PLM = 0.1 second and a purge time t1 PGM = 4.0 second, and a pulse time t1 PLO = 0.1 second and a purge time t1 PGO = 4. In 0 second, H 2 O is supplied to the substrate 11 as the oxidizing agent 103. Also in this case, the purge time t1 PGM may be increased to about 120 seconds depending on the temperature in the reaction chamber 104 (first substrate temperature T1). In the period tw, the period tm, and the period t T1 including a plurality of low temperature cycles C1, the base oxide film 12 is formed on the substrate 11.

(ト)下地酸化膜12の形成後、反応チャンバー104内の温度を設定して、基板11の基板温度を第2の基板温度T2にする。第2の基板温度T2は、ALD法における一般的な成膜温度である。例えば前駆体102がTMAである場合、第2の基板温度T2は140℃〜350℃程度である。基板11の基板温度が第2の基板温度T2に到達後、図3に示す期間tT2において、ALD法における通常のプロセスによって上部酸化膜13を形成する。即ち、
(a)パルス時間t2PLMの間、パルシングバルブ106を開き、且つパルシングバルブ107を閉じて、前駆体102を基板11に供給し;
(b)パージ時間t2PGMの間、パルシングバルブ106、107を閉じて、キャリアガス101のみを基板11に供給し;
(c)パルス時間t2PLOの間、パルシングバルブ106を閉じ、且つパルシングバルブ107を開いて、前駆体102の酸化剤103を基板11に供給し;
(d)パージ時間t2PGOの間、パルシングバルブ106、107を閉じて、キャリアガス101のみを基板11に供給する、
を含むプロセスサイクルを1つのサイクル(以下において、「通常サイクル」という。)C2として、第2の基板温度T2において通常サイクルC2を複数回繰り返し実行することにより、所望の膜厚の上部酸化膜13を形成する。以上により、図1に示した半導体装置10が完成する。
(G) After the formation of the base oxide film 12, the temperature in the reaction chamber 104 is set, and the substrate temperature of the substrate 11 is set to the second substrate temperature T2. The second substrate temperature T2 is a general film formation temperature in the ALD method. For example, when the precursor 102 is TMA, the second substrate temperature T2 is about 140 ° C. to 350 ° C. After the substrate temperature of the substrate 11 reaches the second substrate temperature T2, the upper oxide film 13 is formed by a normal process in the ALD method in the period t T2 shown in FIG. That is,
(A) During the pulse time t2 PLM , the pulsing valve 106 is opened and the pulsing valve 107 is closed to supply the precursor 102 to the substrate 11;
(B) During the purge time t2 PGM , the pulsing valves 106 and 107 are closed and only the carrier gas 101 is supplied to the substrate 11;
(C) During the pulse time t2 PLO , the pulsing valve 106 is closed and the pulsing valve 107 is opened to supply the oxidant 103 of the precursor 102 to the substrate 11;
(D) During the purge time t2 PGO , the pulsing valves 106 and 107 are closed and only the carrier gas 101 is supplied to the substrate 11.
The upper oxide film 13 having a desired film thickness is obtained by repeatedly executing the normal cycle C2 a plurality of times at the second substrate temperature T2 as one cycle (hereinafter referred to as “normal cycle”) C2. Form. Thus, the semiconductor device 10 shown in FIG. 1 is completed.

なお、期間twにおける水供給サイクルCwの繰り返し回数は、偶数回(例えば、2、4、6、8回等)にすることが好ましい。この理由は、水分子が非常に大きな双極子モーメントを持つために、水が基板表面110上に安定して存在するには電気二重層を形成することが好ましいからである。なお、水の層が厚くなるとTMAとの反応が均一でなくなり、その後の酸化膜の成長に対する影響が懸念される。このため、水供給サイクルCwは8サイクル程度に留めることが好ましい。1回の水供給サイクルCwで膜厚0.2nm程度の水の膜が形成されるため、例えば水供給サイクルCwを8サイクルとした場合には、基板表面110上に膜厚1.6nm程度の水の膜が形成される。   The number of repetitions of the water supply cycle Cw in the period tw is preferably an even number (for example, 2, 4, 6, 8, etc.). This is because, since water molecules have a very large dipole moment, it is preferable to form an electric double layer for water to stably exist on the substrate surface 110. When the water layer is thick, the reaction with TMA is not uniform, and there is a concern about the influence on the subsequent growth of the oxide film. For this reason, it is preferable that the water supply cycle Cw is limited to about 8 cycles. Since a water film having a film thickness of about 0.2 nm is formed in one water supply cycle Cw, for example, when the water supply cycle Cw is set to 8 cycles, a film thickness of about 1.6 nm is formed on the substrate surface 110. A water film is formed.

なお、パルス時間t1PLO、t1PLM、t2PLO、t2PLM、及びパージ時間t1PGO、t1PGM、t2PGO、t2PGMは、原子層堆積装置100の構造等に依存する値であるが、一般的な原子層堆積装置100では、例えば上記のように、t1PLO=t1PLM=t2PLO=t2PLM=0.1秒、t1PGO=t1PGM=t2PGO=t2PGM=4.0秒が典型的な値である。 The pulse times t1 PLO , t1 PLM , t2 PLO , t2 PLM , and the purge times t1 PGO , t1 PGM , t2 PGO , t2 PGM are values that depend on the structure of the atomic layer deposition apparatus 100, but are general values. In the atomic layer deposition apparatus 100, for example, as described above, t1 PLO = t1 PLM = t2 PLO = t2 PLM = 0.1 second and t1 PGO = t1 PGM = t2 PGO = t2 PGM = 4.0 seconds are typical. Value.

ただし、基板表面110上に最初の1原子層の酸化膜が形成される際には、基板11に常に水が供給されている必要がある。このため、既に説明したように、パージ時間tPGWは通過時間tPASSよりも短い必要があり、例えば、パージ時間tPGWは2.5秒である。 However, when the first oxide layer of one atomic layer is formed on the substrate surface 110, it is necessary to always supply water to the substrate 11. For this reason, as described above, the purge time t PGW needs to be shorter than the passage time t PASS , for example, the purge time t PGW is 2.5 seconds.

基板表面110上に最初の1原子層の酸化膜が形成された後、例えばパルス時間t1PLO=0.1秒、パージ時間t1PGO=4.0秒、パルス時間t1PLM=0.1秒、及びパージ時間t1PGM=4.0秒からなる低温サイクルC1を、20サイクル以上(典型的には50サイクル程度)繰り返すことにより、下地酸化膜12を成長させる。成長条件に依存するが、1回の低温サイクルC1によって形成されるAl23膜の膜厚は、0.1nm程度である。低温サイクルC1の繰り返し回数が20サイクルよりも少ない場合には、基板表面110を均一にAl23膜で覆うことが出来ず、不完全な膜成長となる場合がある。一方、下地酸化膜12が厚膜であると、低温成長で形成されるために耐圧等の点で不利である。このため、低温サイクルC1を50サイクル程度繰り返して下地酸化膜12を形成する。このとき、下地酸化膜12の膜厚は5nm程度である。 After the first monolayer oxide film is formed on the substrate surface 110, for example, the pulse time t1 PLO = 0.1 second, the purge time t1 PGO = 4.0 second, the pulse time t1 PLM = 0.1 second, The base oxide film 12 is grown by repeating the low temperature cycle C1 consisting of the purge time t1 PGM = 4.0 seconds for 20 cycles or more (typically about 50 cycles). Although depending on the growth conditions, the film thickness of the Al 2 O 3 film formed by one low-temperature cycle C1 is about 0.1 nm. When the number of repetitions of the low temperature cycle C1 is less than 20 cycles, the substrate surface 110 cannot be uniformly covered with the Al 2 O 3 film, which may result in incomplete film growth. On the other hand, if the underlying oxide film 12 is thick, it is disadvantageous in terms of breakdown voltage and the like because it is formed by low-temperature growth. Therefore, the base oxide film 12 is formed by repeating the low temperature cycle C1 for about 50 cycles. At this time, the thickness of the base oxide film 12 is about 5 nm.

上部酸化膜13の形成においては、例えば、パルス時間t2PLM=0.1秒間、ガス状にした前駆体102をキャリアガス101に載せて反応チャンバー104に導入して、下地酸化膜12上に前駆体102を1原子層のみ吸着させる。次いで、パージ時間t2PGM=4.0秒間、キャリアガス101のみを反応チャンバー104に導入して、表面吸着反応で生じた生成物や余剰の前駆体102を、ドライポンプ105によって反応チャンバー104の外部に排出する。その後、パルス時間t2PLO=0.1秒間、前駆体102と反応する酸化剤103(主として水)を反応チャンバー104に導入して、下地酸化膜12の表面に吸着された金属分子を酸化して1原子層の酸化膜を形成する。次いで、パージ時間t2PGO=4.0秒間、キャリアガス101を反応チャンバー104に導入し、反応生成物や余剰な水分子を反応チャンバー104の外部に排出する。以上により、第2の基板温度T2において上部酸化膜13を1原子層成長させる通常サイクルC2が完了する。 In the formation of the upper oxide film 13, for example, the precursor 102 made gaseous is put on the carrier gas 101 and introduced into the reaction chamber 104 for a pulse time t 2 PLM = 0.1 second, and the precursor is formed on the base oxide film 12. The body 102 is adsorbed only by one atomic layer. Next, only the carrier gas 101 is introduced into the reaction chamber 104 for a purge time t2 PGM = 4.0 seconds, and the product generated by the surface adsorption reaction and the excess precursor 102 are removed from the reaction chamber 104 by the dry pump 105. To discharge. Thereafter, an oxidant 103 (mainly water) that reacts with the precursor 102 is introduced into the reaction chamber 104 for a pulse time t2 PLO = 0.1 second to oxidize the metal molecules adsorbed on the surface of the base oxide film 12. A single atomic layer oxide film is formed. Next, the carrier gas 101 is introduced into the reaction chamber 104 for a purge time t2 PGO = 4.0 seconds, and reaction products and excess water molecules are discharged to the outside of the reaction chamber 104. Thus, the normal cycle C2 for growing the upper oxide film 13 by one atomic layer at the second substrate temperature T2 is completed.

そして、通常サイクルC2を複数回繰り返すことによって、所望の膜厚の上部酸化膜13を形成する。パージ時間t2PGMは、反応チャンバー104内の温度(第2の基板温度T2)によっては4〜120秒の範囲でも可能である。 Then, by repeating the normal cycle C2 a plurality of times, the upper oxide film 13 having a desired film thickness is formed. The purge time t2 PGM can be in the range of 4 to 120 seconds depending on the temperature in the reaction chamber 104 (second substrate temperature T2).

以上の説明では、下地酸化膜12の形成に使用される前駆体がTMAであり、下地酸化膜12がAl23膜である場合を示した。しかし、水の沸点より低い温度でも十分な蒸気圧がある前駆体であれば、下地酸化膜12の形成に使用される前駆体がTMA以外であってもよいことはもちろんである。また、下地酸化膜12にAl23膜以外の酸化膜を使用してもよい。 In the above description, the precursor used for forming the base oxide film 12 is TMA, and the base oxide film 12 is an Al 2 O 3 film. However, as long as the precursor has a sufficient vapor pressure even at a temperature lower than the boiling point of water, it is a matter of course that the precursor used for forming the base oxide film 12 may be other than TMA. Further, an oxide film other than the Al 2 O 3 film may be used for the base oxide film 12.

ただし、125℃以上での成膜が必要なTEMAH等の、100℃以上での成膜が要求される材料は、下地酸化膜12の形成に使用される前駆体として採用できない。これらの材料は、水の沸点より低い温度において搬送途中で凝縮するためである。しかし、HfO2膜を形成するための前駆体102としてTEMAH以外の、水の沸点より低い温度での成膜に使用可能な材料が見出されれば、その材料をTMAと同様に下地酸化膜12の形成に使用して、下地酸化膜12をHfO2膜にすることも可能である。 However, materials that require film formation at 100 ° C. or higher, such as TEMAH that requires film formation at 125 ° C. or higher, cannot be used as the precursor used for forming the base oxide film 12. This is because these materials condense during transportation at a temperature lower than the boiling point of water. However, if a material that can be used for film formation at a temperature lower than the boiling point of water other than TEMAH is found as the precursor 102 for forming the HfO 2 film, the material is used as the base oxide film 12 in the same manner as TMA. It is also possible to use the base oxide film 12 as an HfO 2 film for the formation.

上部酸化膜13を形成するために使用される前駆体102としては、TMAやTEMAH等が採用可能である。既に述べたように、前駆体102にTMAを使用した場合には、上部酸化膜13はAl23膜である。また、前駆体102にTEMAHを使用した場合には、上部酸化膜13はHfO2膜である。 As the precursor 102 used for forming the upper oxide film 13, TMA, TEMAH, or the like can be adopted. As described above, when TMA is used for the precursor 102, the upper oxide film 13 is an Al 2 O 3 film. When TEMAH is used for the precursor 102, the upper oxide film 13 is an HfO 2 film.

更に、上部酸化膜13としてAl23膜及びHfO2膜以外の酸化膜、例えば酸化チタン(TiO2)膜、酸化シリコン(SiO2)膜、酸化亜鉛(ZnO)膜、ジルコニア(ZrO)膜、酸化錫(SnO2)膜等を成長可能である。TiO2膜の形成には、前駆体102に塩化チタン(TiCl4)、酸化剤103にH2Oを使用する。SiO2膜の形成には、前駆体102にビスジエチルアミドシラン(Bis(diethylamido)silane:2DEAS)、酸化剤103にH2Oを使用する。ZnO膜の形成には、前駆体102に塩化亜鉛(ZnCl2)、酸化剤103にH2Oを使用する。ZrO膜の形成には、前駆体102にテトラキスエチルメチルアミドジルコニウム(Tetrakis(ethylmethylamido)zirconium)、酸化剤103にH2Oを使用する。SnO2膜の形成には、前駆体102に塩化錫(SnCl4)、酸化剤103にH2Oを使用する。 Further, as the upper oxide film 13, an oxide film other than the Al 2 O 3 film and the HfO 2 film, for example, a titanium oxide (TiO 2 ) film, a silicon oxide (SiO 2 ) film, a zinc oxide (ZnO) film, and a zirconia (ZrO) film. A tin oxide (SnO 2 ) film or the like can be grown. In forming the TiO 2 film, titanium chloride (TiCl 4 ) is used as the precursor 102, and H 2 O is used as the oxidant 103. For the formation of the SiO 2 film, bisdiethylamidosilane (Bis (diethylamido) silane: 2DEAS) is used as the precursor 102, and H 2 O is used as the oxidizing agent 103. In forming the ZnO film, zinc chloride (ZnCl 2 ) is used as the precursor 102 and H 2 O is used as the oxidizing agent 103. For the formation of the ZrO film, tetrakisethylmethylamidozirconium (Tetrakis (ethylmethylamido) zirconium) is used as the precursor 102 and H 2 O is used as the oxidizing agent 103. For the formation of the SnO 2 film, tin chloride (SnCl 4 ) is used as the precursor 102 and H 2 O is used as the oxidizing agent 103.

なお、ZnCl2は、室温〜100℃においてALD法で成膜するために十分な蒸気圧がある。このため、前駆体としてZnCl2を使用して、下地酸化膜12をZnO膜にすることができる。 ZnCl 2 has a vapor pressure sufficient to form a film by the ALD method at room temperature to 100 ° C. Therefore, the base oxide film 12 can be made a ZnO film by using ZnCl 2 as a precursor.

本発明の実施形態に係る成膜方法は、通常のALD法と同様に、(1)1原子層ずつ膜をデジタルに成長できること、(2)どのような形状の材料上においても一様に膜を成長できるので、複雑な3次元構造物での成膜が可能、(3)CVD法と比較して低温成長のため、緻密なアモルファス膜が形成される、等の特徴を有する。   The film formation method according to the embodiment of the present invention is similar to the normal ALD method, (1) the film can be digitally grown by one atomic layer, and (2) the film can be uniformly formed on any shape of material. Therefore, it is possible to form a film with a complicated three-dimensional structure, and (3) a dense amorphous film is formed because of low temperature growth as compared with the CVD method.

成膜条件としては、前駆体102と酸化剤103の選択、反応チャンバー104内の温度(基板温度)、キャリアガス101の流量、パルシングバルブ106、107の開閉時間、そしてキャリアガス101のみを基板11に供給する時間(バージ時間)がある。既に述べたように、ALD法は基板の表面吸着を利用するため、基板の表面状態が成長条件を大きく左右する。   The deposition conditions include selection of the precursor 102 and the oxidant 103, the temperature in the reaction chamber 104 (substrate temperature), the flow rate of the carrier gas 101, the opening and closing time of the pulsing valves 106 and 107, and the carrier gas 101 alone. 11 has a supply time (barge time). As already described, since the ALD method uses surface adsorption of the substrate, the surface state of the substrate greatly affects the growth conditions.

このため、疎水性基板上に一様な酸化膜を形成するために、本発明の実施形態に係る成膜方法のポイントは、基板11に水を供給して基板表面110を水の過飽和の状態にして、下地酸化膜12の形成を開始することにある。   Therefore, in order to form a uniform oxide film on the hydrophobic substrate, the point of the film forming method according to the embodiment of the present invention is that water is supplied to the substrate 11 and the substrate surface 110 is supersaturated with water. Then, the formation of the base oxide film 12 is started.

下地酸化膜12の形成後は、通常のプロセスで良好な酸化膜として上部酸化膜13を形成できる。例えば、図1に示した半導体装置10において、基板11上に低温(室温〜100℃未満)で下地酸化膜12(Al23膜)を成長し、下地酸化膜12の上にALD法の一般的な成膜温度で上部酸化膜13(Al23膜、HfO2膜等)を成長させることができる。 After the formation of the base oxide film 12, the upper oxide film 13 can be formed as a good oxide film by a normal process. For example, in the semiconductor device 10 shown in FIG. 1, the base oxide film 12 (Al 2 O 3 film) is grown on the substrate 11 at a low temperature (room temperature to less than 100 ° C.), and the ALD method is formed on the base oxide film 12. The upper oxide film 13 (Al 2 O 3 film, HfO 2 film, etc.) can be grown at a general film formation temperature.

一方、下地酸化膜12を形成する際に、基板11に常に水が供給されていない場合は、パージ時間にキャリアガス101によって基板表面110上の水の一部が除去される等して、基板表面110の全面を水で覆うことができない。このため、例えば図5に示すように、基板表面110上で水がいくつかの領域に分離して付着する。その結果、水が付着した領域上に分離して下地酸化膜12が形成されてしまい、一様な酸化膜を基板表面110上に形成することができない。   On the other hand, when the base oxide film 12 is formed, if water is not always supplied to the substrate 11, a part of the water on the substrate surface 110 is removed by the carrier gas 101 during the purge time, etc. The entire surface 110 cannot be covered with water. For this reason, for example, as shown in FIG. 5, water separates and adheres to several regions on the substrate surface 110. As a result, the base oxide film 12 is formed separately on the area where water adheres, and a uniform oxide film cannot be formed on the substrate surface 110.

上記では、疎水性の基板11がグラファイトやグラフェンである場合を仮定して説明したが、同様な方法により疎水性有機分子膜やタンパク質膜での酸化膜の成長も可能である。有機分子やタンパク質等は熱に弱いため、比較的低温での酸化膜の成長が望まれる。このような熱に弱い膜の場合に、下地酸化膜12の成膜後、基板温度を上げずに上部酸化膜13を成膜する方法を適用する。このとき、第1の基板温度T1と第2の基板温度T2は、例えば同一である。下地酸化膜12の低温成長は、基板温度が65℃程度までは一般的なALD装置により可能である。このため、有機分子膜やタンパク質膜に損傷や変性を与えずに酸化膜を成長させることが可能であり、有機分子デバイスや生体デバイスへの応用も期待される。   In the above description, it is assumed that the hydrophobic substrate 11 is graphite or graphene. However, it is possible to grow an oxide film on a hydrophobic organic molecular film or a protein film by a similar method. Since organic molecules and proteins are vulnerable to heat, it is desired to grow an oxide film at a relatively low temperature. In the case of such a heat-sensitive film, a method of forming the upper oxide film 13 without increasing the substrate temperature after the formation of the base oxide film 12 is applied. At this time, the first substrate temperature T1 and the second substrate temperature T2 are, for example, the same. The low-temperature growth of the base oxide film 12 can be performed by a general ALD apparatus up to a substrate temperature of about 65 ° C. Therefore, it is possible to grow an oxide film without damaging or denaturing the organic molecular film or protein film, and application to organic molecular devices and biological devices is also expected.

以上に説明したように、本発明の実施形態に係る成膜方法では、グラファイトやグラフェン、疎水性有機分子膜、タンパク質膜等の疎水性基板の表面を十分な親水性表面状態とした上で、ALD法のプロセスを開始する。これにより、バッファー層を形成することなく、疎水性基板上に一様な酸化膜を形成できる。このため、経年変化による界面状態の変化やバッファー層の存在による界面準位の増加等の弊害を除くことができる。したがって、本発明の実施形態に係る成膜方法によれば、疎水性基板上に良好な酸化膜を形成する成膜方法を提供することができる。   As described above, in the film forming method according to the embodiment of the present invention, the surface of a hydrophobic substrate such as graphite, graphene, a hydrophobic organic molecular film, or a protein film is set to a sufficiently hydrophilic surface state. Start the ALD process. Thereby, a uniform oxide film can be formed on the hydrophobic substrate without forming a buffer layer. For this reason, it is possible to eliminate adverse effects such as changes in the interface state due to aging and increases in the interface state due to the presence of the buffer layer. Therefore, according to the film forming method according to the embodiment of the present invention, it is possible to provide a film forming method for forming a good oxide film on a hydrophobic substrate.

(その他の実施形態)
上記のように、本発明を実施形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施形態、実施例及び運用技術が明らかとなろう。
(Other embodiments)
As mentioned above, although this invention was described by embodiment, it should not be understood that the description and drawing which form a part of this indication limit this invention. From this disclosure, various alternative embodiments, examples and operational techniques will be apparent to those skilled in the art.

既に述べた実施形態の説明においては、基板表面110を水の過飽和にするためにパルス供給によって水を基板11に供給する例を説明したが、パルス供給ではなく、連続して水を基板11に供給してもよい。また、酸化剤103として、H2O以外の材料、例えばオゾンを使用してもよい。 In the description of the above-described embodiment, an example in which water is supplied to the substrate 11 by pulse supply in order to make the substrate surface 110 supersaturated with water has been described. However, water is continuously supplied to the substrate 11 instead of pulse supply. You may supply. Further, as the oxidizing agent 103, a material other than H 2 O, for example, ozone may be used.

このように、本発明はここでは記載していない様々な実施形態等を含むことは勿論である。したがって、本発明の技術的範囲は上記の説明から妥当な特許請求の範囲に係る発明特定事項によってのみ定められるものである。   As described above, the present invention naturally includes various embodiments not described herein. Therefore, the technical scope of the present invention is defined only by the invention specifying matters according to the scope of claims reasonable from the above description.

本発明の成膜方法は、酸化膜を有する半導体装置、有機分子デバイス、生体デバイス等に利用可能である。   The film forming method of the present invention can be used for a semiconductor device having an oxide film, an organic molecular device, a biological device, and the like.

10…半導体装置
11…基板
12…下地酸化膜
13…上部酸化膜
100…原子層堆積装置
101…キャリアガス
102…前駆体
103…酸化剤
104…反応チャンバー
105…ドライポンプ
106…パルシングバルブ
107…パルシングバルブ
108…ガスライン
110…基板表面
DESCRIPTION OF SYMBOLS 10 ... Semiconductor device 11 ... Substrate 12 ... Base oxide film 13 ... Upper oxide film 100 ... Atomic layer deposition apparatus 101 ... Carrier gas 102 ... Precursor 103 ... Oxidant 104 ... Reaction chamber 105 ... Dry pump 106 ... Pulsing valve 107 ... Pulsing valve 108 ... Gas line 110 ... Substrate surface

Claims (6)

室温以上且つ水の沸点未満の第1の基板温度で、水の過飽和状態にした疎水性の基板の基板表面上に下地酸化膜を原子層堆積法を用いて形成するステップと、
第2の基板温度で、前記下地酸化膜上に上部酸化膜を原子層堆積法を用いて形成するステップと
を含み、前記下地酸化膜を形成するステップが、
前記基板に水を供給して前記基板表面を水の過飽和状態にするステップと、
前記第1の基板温度において原子層堆積法で成膜するために十分な蒸気圧のある前駆体と前記前駆体の酸化剤とを、前記基板表面が水の過飽和状態にある前記基板に交互に供給するステップを含む低温サイクルを複数回実行すること
を含むことを特徴とする成膜方法。
Forming a base oxide film on a substrate surface of a hydrophobic substrate supersaturated with water at a first substrate temperature not less than room temperature and less than the boiling point of water using an atomic layer deposition method;
Forming an upper oxide film on the underlying oxide film at a second substrate temperature using an atomic layer deposition method;
And forming the base oxide film comprises:
Supplying water to the substrate to bring the substrate surface into a supersaturated state of water;
A precursor having a vapor pressure sufficient to form a film by an atomic layer deposition method at the first substrate temperature and an oxidant of the precursor are alternately applied to the substrate in which the substrate surface is supersaturated with water. A film forming method comprising performing a low temperature cycle including a supplying step a plurality of times .
常に水を供給しながら前記基板に前記前駆体を供給することによって、前記下地酸化膜の前記基板表面に接する最下層を形成することを特徴とする請求項に記載の成膜方法。 The film formation method according to claim 1 , wherein the lowermost layer of the base oxide film in contact with the substrate surface is formed by supplying the precursor to the substrate while always supplying water. 前記基板を格納する反応チャンバーに水及びキャリアガスを供給するガスライン、及び前記ガスラインに水を導入するために開閉されるバルブを備える原子層堆積装置を用いて、前記バルブを開いているパルス時間と前記バルブを閉じているパージ時間とが設定されるパルス供給によって前記基板に水を供給し、前記バルブから前記反応チャンバーまで水が通過するのに要する時間よりも前記パージ時間を短くすることによって、常に水を前記基板に供給することを特徴とする請求項に記載の成膜方法。 A pulse that opens the valve using an atomic layer deposition apparatus that includes a gas line that supplies water and a carrier gas to a reaction chamber that houses the substrate, and a valve that is opened and closed to introduce water into the gas line. Water is supplied to the substrate by a pulse supply in which a time and a purge time for closing the valve are set, and the purge time is made shorter than the time required for water to pass from the valve to the reaction chamber. The film forming method according to claim 2 , wherein water is always supplied to the substrate. 前記低温サイクルにおいて、前記前駆体を前記基板に供給するステップの後、及び前記酸化剤を前記基板に供給するステップの後に、キャリアガスのみを前記基板に供給することを特徴とする請求項乃至のいずれか1項に記載の成膜方法。 In the low-temperature cycle, after it said precursor supplying to said substrate, and said oxidizing agent after the step of supplying to said substrate, 1 to claim and supplying only the carrier gas to the substrate the film deposition method according to any one of 3. 前記下地酸化膜が、トリメチルアルミニウムを前記前駆体に用いて形成される酸化アルミニウム膜であることを特徴とする請求項乃至のいずれか1項に記載の成膜方法。 The underlying oxide film, film forming method according to any one of claims 1 to 4, characterized in that trimethyl aluminum is aluminum oxide film formed by using the precursor. 前記第2の基板温度が前記第1の基板温度より高いことを特徴とする請求項1乃至のいずれか1項に記載の成膜方法。 The film deposition method according to any one of claims 1 to 5 wherein the second substrate temperature is equal to or higher than the first substrate temperature.
JP2009280476A 2009-12-10 2009-12-10 Deposition method Active JP5184498B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009280476A JP5184498B2 (en) 2009-12-10 2009-12-10 Deposition method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009280476A JP5184498B2 (en) 2009-12-10 2009-12-10 Deposition method

Publications (2)

Publication Number Publication Date
JP2011124371A JP2011124371A (en) 2011-06-23
JP5184498B2 true JP5184498B2 (en) 2013-04-17

Family

ID=44287976

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009280476A Active JP5184498B2 (en) 2009-12-10 2009-12-10 Deposition method

Country Status (1)

Country Link
JP (1) JP5184498B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013070989A1 (en) * 2011-11-10 2013-05-16 The Regents Of The University Of Colorado, A Body Corporate Supercapacitor devices having composite electrodes formed by depositing metal oxide pseudocapacitor materials onto carbon substrates
JP5706353B2 (en) * 2011-11-15 2015-04-22 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5761724B2 (en) * 2012-01-24 2015-08-12 文彦 廣瀬 Thin film forming method and apparatus
JP2013197187A (en) 2012-03-16 2013-09-30 Toshiba Corp Semiconductor device and method for manufacturing the same
JP6476832B2 (en) * 2014-12-19 2019-03-06 株式会社デンソー Method for producing thin film made of aluminum compound

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3086926B2 (en) * 1991-02-01 2000-09-11 科学技術振興事業団 Method for forming silicon oxide film
JP4509868B2 (en) * 2005-06-07 2010-07-21 株式会社東芝 Manufacturing method of semiconductor device
US7767114B2 (en) * 2006-02-07 2010-08-03 President And Fellows Of Harvard College Gas-phase functionalization of carbon nanotubes
JP5177660B2 (en) * 2008-03-06 2013-04-03 独立行政法人産業技術総合研究所 Insulating film formation method
JP2009272348A (en) * 2008-04-30 2009-11-19 Toshiba Corp Semiconductor device and method for manufacturing the same
JP5307072B2 (en) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 Metal oxide film forming method and film forming apparatus

Also Published As

Publication number Publication date
JP2011124371A (en) 2011-06-23

Similar Documents

Publication Publication Date Title
TWI754775B (en) Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR100753020B1 (en) Preparation of nanolaminates by atomic layer deposition for non-volatile floating gate memory devices
KR101434342B1 (en) Film formation method and film formation apparatus
JP2020084323A (en) Method for depositing transition metal chalcogenide film on substrate by cyclic deposition process
KR100716654B1 (en) Method for manufacturing tetragonal zirconium oxide and method for manufacturing capacitor with the same
US8518491B2 (en) Preparation of epitaxial graphene surfaces for atomic layer deposition of dielectrics
TW202115272A (en) Structures including a chalcogenide film, devices including the same, and methods and system of forming the same
TWI355716B (en) Non-volatile memory device and method for fabricat
JP5184498B2 (en) Deposition method
JP7449928B2 (en) Method for forming molybdenum film on substrate
US20170250075A1 (en) Method of Producing Transition Metal Dichalcogenide Layer
US20080277656A1 (en) METHOD OF MANUFACTURING ZnO SEMICONDUCTOR LAYER FOR ELECTRONIC DEVICE AND THIN FILM TRANSISTOR INCLUDING THE ZnO SEMICONDUCTOR LAYER
JP2015012179A (en) Vapor phase growth method
WO2007116940A1 (en) Processing apparatus
TW200839872A (en) Method of manufacturing semiconductor device
JP2001189312A (en) Method of manufacturing semiconductor structure having metal oxide interface with silicon
KR101937293B1 (en) Method of manufacturing for two-dimensional tin disulfide thin film
CN110649092A (en) Two-dimensional material heterojunction back gate negative capacitance tunneling transistor and preparation method thereof
KR101953893B1 (en) Method for fabricating oxide semiconductor thin film and apparatus for fabricating the same
KR101116166B1 (en) Capacitor for semiconductor device and method of fabricating the same
US7662683B2 (en) Method for forming gate dielectric layer
Altuntas et al. Effect of film thickness on the electrical properties of AlN films prepared by plasma-enhanced atomic layer deposition
JP7314016B2 (en) Method for forming metal oxide thin film
KR20050092505A (en) Method for depositing zinc oxide layer on silicon or sappire by atomic layer deposition
JP2014143252A (en) Method of manufacturing semiconductor device, substrate processing device, and program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120307

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120510

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120514

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121017

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130116

R150 Certificate of patent or registration of utility model

Ref document number: 5184498

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350