JP5072882B2 - 回路仕様記述視覚化装置及び回路仕様記述視覚化方法 - Google Patents

回路仕様記述視覚化装置及び回路仕様記述視覚化方法 Download PDF

Info

Publication number
JP5072882B2
JP5072882B2 JP2009042877A JP2009042877A JP5072882B2 JP 5072882 B2 JP5072882 B2 JP 5072882B2 JP 2009042877 A JP2009042877 A JP 2009042877A JP 2009042877 A JP2009042877 A JP 2009042877A JP 5072882 B2 JP5072882 B2 JP 5072882B2
Authority
JP
Japan
Prior art keywords
data
waveform
correspondence
specification description
circuit specification
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009042877A
Other languages
English (en)
Other versions
JP2010198363A (ja
Inventor
貴史 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2009042877A priority Critical patent/JP5072882B2/ja
Priority to US12/578,659 priority patent/US8234608B2/en
Publication of JP2010198363A publication Critical patent/JP2010198363A/ja
Application granted granted Critical
Publication of JP5072882B2 publication Critical patent/JP5072882B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking

Description

本発明は、回路仕様記述視覚化装置及び回路仕様記述視覚化方法に関し、特に、回路仕様記述を用いた半導体集積回路を設計するための回路仕様記述視覚化装置及び回路仕様記述視覚化方法に関する。
従来より、半導体集積回路の設計において、回路仕様は、自然言語、あるいはプロパティ記述言語を用いて記述され、その記述に基づいて、その半導体集積回路の設計が行われている。
回路仕様記述が自然言語で記述される場合、自然言語の持つ多義性すなわち曖昧さによって、設計者間で認識の不一致が生じ、結果として設計された回路が動作しない、等の不具合が発生する虞がある。回路仕様記述をプロパティ記述言語(SVA、PSL等)で記述することにより、記述の多義性すなわち曖昧さの一部は、解消され得るが、十分に解消されるわけではない。
例えば、「reqがアサートされたら、ackがアサートする」という自然言語で書かれた回路仕様をプロパティ記述言語で記述するときに、信号の極性及び、req-ack間のサイクル数が曖昧なままではプロパティ記述を記述することは出来ない。そのため、通常は、これらの曖昧さは自然言語からプロパティ記述言語へ変換する際に解消される。
しかし、たとえプロパティ記述言語を用いて回路仕様を記述したとしても、回路仕様記述の多義性すなわち曖昧さを十分に解消できるとは言いきれない。例えば、自然言語で記述された「reqがアサートされたら、ackがアサートする」という回路仕様を、プロパティ記述言語であるSVAで記述した「req |=> ack」という回路仕様で記述しても、reqが1になった次のサイクルでreqが1と0のいずれになるのか、ackは1に成るサイクルの前のサイクルで1と0のいずれであるのか、は不明である。なお、「req |=> ack」という回路仕様は、自然言語で正確に表現すると、reqが1のとき1サイクル後にackが1になる、ということを意味する。さらに、reqが1になる前のサイクルにおける、reqのステータス、すなわち1なのか0なのかも不明である。
言い換えれば、上述したようなプロパティ言語で記述した回路仕様においても、1つの回路仕様記述に対して複数の信号のパターン、すなわちパスパターン、が存在し得る。ところが、設計者は自分の記述した回路仕様記述がどの程度の曖昧さを持っているかを知る手段が無いために、設計者の意図が正確に伝わらない場合が多々生じて、上述したような不具合の発生に繋がっていた。
設計対象の回路の検証を円滑かつ効率的に行う論理回路動作検証装置も提案されている(例えば、特許文献1参照)。しかし、その提案においても、設計者は自分の記述した回路仕様記述がどの程度の曖昧さを持っているかを知る方法についてはなんら開示されていない。
そして、回路仕様記述中の各部分式が、信号波形中のどの部分に対応するかが解りづらいため、設計者は、そのアサーションの意味を容易に理解できず、アサーションの修正も容易ではない。
特開平5−101132号公報
そこで、本発明は、回路仕様記述の多義性を把握することができ、且つ、回路仕様記述中の各部分式が、信号波形中のどの部分に対応するかを容易に把握することができる回路仕様記述視覚化装置及び回路仕様記述視覚化方法を提供することを目的とする。
本発明の一態様によれば、回路仕様記述を構文解析して、前記回路仕様記述に含まれる部分式の構造データを生成する回路仕様記述解析部と、前記回路仕様記述解析部によって生成された前記構造データから、前記回路仕様記述が成立するパターンを場合分けした複数の成立パターンのデータを生成する成立パターン生成部と、前記成立パターン生成部によって生成された各成立パターンのデータに基づいて、前記回路仕様記述により規定された回路における少なくとも1つの信号の波形データを生成する波形データ生成部と、前記各成立パターンのデータに基づいて、前記少なくとも1つの信号の波形と、前記回路仕様記述に含まれる部分式との対応関係を示す対応関係データを生成する対応関係データ生成部と、前記構造データと前記波形データと前記対応関係データに基づいて、前記各成立パターンにおいて、前記回路仕様記述に含まれる部分式と前記少なくとも1つの信号の波形との対応関係を示す図を表示するための表示データを出力する表示データ出力部と、を有する回路仕様記述視覚化装置を提供することができる。
本発明の一態様によれば、回路仕様記述解析部と成立パターン生成部と波形データ生成部と対応関係データ生成部と表示データ出力部とを具備したコンピュータで回路仕様記述の視覚化をする方法であって、前記回路仕様記述解析部により、回路仕様記述を構文解析して、前記回路仕様記述に含まれる部分式の構造データを生成し、前記成立パターン生成部により、生成された前記構造データから、前記回路仕様記述が成立するパターンを場合分けした複数の成立パターンのデータを生成し、前記波形データ生成部により、生成された各成立パターンのデータに基づいて、前記回路仕様記述により規定された回路における少なくとも1つの信号の波形データを生成し、前記対応関係データ生成部により、前記各成立パターンのデータに基づいて、前記少なくとも1つの信号の波形と、前記回路仕様記述に含まれる部分式との対応関係を示す対応関係データを生成し、前記表示データ出力部により、前記構造データと前記波形データと前記対応関係データに基づいて、前記各成立パターンにおいて、前記回路仕様記述に含まれる部分式と前記少なくとも1つの信号の波形との対応関係を示す図を表示するための表示データを出力する回路仕様記述視覚化方法を提供することができる。
本発明によれば、回路仕様記述の多義性を把握することができ、且つ、回路仕様記述中の各部分式が、信号波形中のどの部分に対応するかを容易に把握することができる回路仕様記述視覚化装置及び回路仕様記述視覚化方法を提供することができる。
本発明の第1の実施の形態に係わる回路仕様記述設計解析装置の構成を示す構成図である。 本発明の第1の実施の形態に係わる、設計解析装置のアサーション視覚化プログラムのソフトウエア構成を示すブロック図である。 本発明の第1の実施の形態に係わる成立パターン生成部の処理内容を説明するための図である。 本発明の第1の実施の形態に係わる適合波形演算部の処理内容を説明するための図である。 本発明の第1の実施の形態に係わる画面表示部に表示される画面の例を示す図である。 本発明の第1の実施の形態に係わる、設計解析装置のアサーション視覚化処理の流れの例を示すフローチャートである。 本発明の第2の実施の形態に係わる画面例を示す図である。 本発明の第2の実施の形態に係わる他の画面例を示す図である。 本発明の第2の実施の形態に係わる適合波形演算部の処理内容の例を説明するための図である。 本発明の第3の実施の形態に係わる画面例を示す図である。 本発明の第3の実施の形態に係わる他の画面例を示す図である。 本発明の第4の実施の形態に係わるグループ分けを説明するための図である。 本発明の第4の実施の形態に係わる、グループ分けされた各ケースを選択するための波形選択部の例を示す図である。 条件が値の範囲を規定する場合における波形の表示形式の例を説明するための図である。
以下、図面を参照して本発明の実施の形態を説明する。
(第1の実施の形態)
(構成)
まず、図1に基づき、本発明の第1の実施の形態に係わる回路仕様記述設計解析装置の構成を説明する。図1は、本実施の形態に係わる回路仕様記述設計解析装置の構成を示す構成図である。以下、回路仕様記述設計解析装置を、設計解析装置という。
設計解析装置1は、中央処理装置(CPU)、ROM、RAM等を含む装置本体11と、キーボード、マウス等の入力装置12と、表示装置13と、ハードディスク装置等の外部記憶装置14とを有してなるパーソナルコンピュータ(PC)等のコンピュータである。設計者が作成する設計データが外部記憶装置(以下、単に記憶装置ともいう)14に記憶されている。さらに、後述するような回路仕様記述を解析して視覚化するアサーション視覚化プログラム(AVP)14aが、外部記憶装置14に記憶されている。記憶装置14は、視覚化のためのデータを記憶するための領域であるデータ部14bを有する。
半導体装置の回路を設計する設計者は、設計解析装置1を用いて、回路設計をするが、特に、後述するような回路仕様を各種言語で入力することができる。
設計解析装置1は、設計された回路仕様記述の内容を、後述するように視覚化するので、回路仕様記述視覚化装置ということができる。
なお、本実施の形態では、設計解析装置1は、1つのコンピュータであるが、複数の設計者が設計解析装置1を利用する場合には、ネットワークに接続された端末装置からセンタ装置としての設計開発装置にアクセスできるようにして、複数の設計者が同様の回路仕様記述を入力して視覚化できるようにしてもよい。
また、回路仕様記述視覚化装置としての設計解析装置1には、一般にEDA(Electronic Design Automation)等の設計支援のソフトウエアが搭載されており、その機能の一部を実現するツールとして、本実施の形態のおよび後述する他の実施の形態における視覚化処理部が設けられている。よって、以下の説明では、その一部の機能としての視覚化処理部について説明し、他の機能の処理部については、説明は省略する。
図2は、設計解析装置1のアサーション視覚化プログラムのソフトウエア構成を示すブロック図である。
図2に示すように、設計解析装置1のアサーション視覚化プログラム(AVP)14aは、回路仕様記述解析部22と、成立パターン生成部23と、適合波形演算部24と、表示データ出力部25とを含んで構成される視覚化処理部である。
回路仕様記述解析部22は、設計者が記述した回路仕様記述であるアサーション記述ADのテキストデータを入力して構文解析して、回路仕様記述に含まれる部分式の構造データとしてのアサーション構造データASを生成する処理部である。
回路仕様記述解析部22により生成されて得られたアサーション構造データASは、一般的には、構文解析木データである。以下、次のアサーション記述例を挙げて説明する。
a##[2:4]$rose(b) or c ・・・ (記述例1)
記述例1のアサーション記述は、全体がOR演算を示す「or」で分割され、さらに、遅延が「2,3,4」の3つの場合を有することを意味する記述である。回路仕様記述解析部22によって得られるアサーション構造データASは、そのアサーション記述ADを構文解析処理して得られる、構文木構造のデータである。構文木構造のデータは、アサーション記述ADに含まれる部分式の木構造データである。アサーション構造データASは、成立パターン生成部23と、表示データ出力部25において利用される。
成立パターン生成部23は、アサーション構造データASを読み込み、成立パターンデータAPを生成する処理部である。成立パターンデータAPは、上述したアサーション記述ADの記述例1の場合、OR(論理和)演算の左辺が成立する場合と右辺が成立する場合、遅延時間が2の場合と3の場合のように、記述されたアサーションがどの様に成立するかを場合分けした場合分けを示すデータである。すなわち、成立パターン生成部23は、回路仕様記述解析部22によって生成されたアサーション構造データASから、アサーションが成立するパターンを場合分けした複数の成立パターンデータAPを生成する。
ここで、図3を用いて、成立パターン生成部23の処理内容を説明する。図3は、成立パターン生成部の処理内容を説明するための図である。
成立パターン生成部23が出力する成立パターンデータAPは、アサーション構造データASに基づいて、アサーション記述の内容を可能な限り場合分けした場合のデータである。記述例1の場合、符号121で示すアサーション構造データASが読み込まれる。その符号121で示すアサーション構造データASは、まず、OR演算について左辺部が成立するケース122と、右辺部が成立するケース123とに場合分けされる。
更に、ケース122は、遅延が2のケース124、遅延が3のケース125、遅延が4のケース126の3つに場合分けされる。ケース123、124、125及び126は、それぞれ、それ以上場合分けできないので、これらの4つが成立パターンとなる。これらの4つの成立パターンを示すデータが、適合波形演算部24における処理において利用される成立パターンデータAPである。
適合波形演算部24は、成立パターンデータAPを入力として、成立パターン毎に、アサーションを成立させる波形データWVと、アサーションの構造と波形データとの対応関係データCRを求める処理部である。対応関係データCRは、波形データWVと、アサーション記述ADに含まれる部分式との対応関係を示すデータである。
図4を用いて適合波形演算部24の処理内容を説明する。図4は、適合波形演算部の処理内容を説明するための図である。
図4において、ケース125は、図3内のケース125と同一であり、アサーション記述ADの「or」の左辺部が成立し、且つ遅延が3の場合の成立パターンである。
適合波形演算部24により、この成立パターンに関する波形データWVと対応関係データCRが生成される。適合波形演算部24は、成立パターン生成部23によって生成された各成立パターンのデータに基づいて、アサーション記述ADにより規定された回路における少なくとも1つの信号の波形データWVを生成する波形データ生成部であると同時に、各成立パターンのデータに基づいて、少なくとも1つの信号の波形データWVと、アサーション記述ADに含まれる部分式との対応関係を示す対応関係データCRを生成する対応関係データ生成部でもある。
図4において、波形データWVの例として、表形式の波形データ131が示され、対応関係データCRの例として、対応関係データ132が示されている。
ケース125の成立パターンについて、波形データ131と対応関係データ132がどのように求められるかについて説明する。ケース125の成立パターンデータAPの構文木構造のデータから、その構文木の各節を辿りながら、波形データ131と対応関係データ132の構築が進められてゆく。
まず、開始サイクルT0において、ケース125のアサーション構造データASの最初の葉に当たる節(node)135についてみると、部分式である論理式「a」を成立させる為には信号aのサイクルT0における値を「1」とする必要がある。よって、適合波形演算部24は、表形式の波形データ131の欄(field)147に「1」を書き込み、節135がサイクルT0で成立することを示す節142を対応関係データ132内に生成する。
次に、節142の完了サイクルT0において節136をみると、遅延が3の場合なので、サイクルT0からT3まで遅延時間が及ぶことを示す節143が生成される。
次に、節143の完了サイクルT3における節137についてみると、節137は、部分式である「$rose」(立ち上がり)演算の節であり、オペランドである信号bの値が1サイクル前のサイクルT2で「0」、サイクルT3で「1」である必要がある。よって、適合波形演算部24は、波形データ131内の欄148に「0」を、欄149に「1」を書き込む。
波形生成部としての適合波形演算部24は、さらに、節138がサイクルT2で成立しないことを示す節145、節138がサイクルT3で成立することを示す節146、及び節137がサイクルT2からT3において成立することを示す節144を生成し、節144から子の節145と146へのリンクを張る。
次に節134の処理に移ると、節134は、連接演算であり、最初のオペランドの節135に対応する節142の開始サイクルがT0、最後のオペランドの節137に対応する節144の完了サイクルがT3である。よって、適合波形演算部24は、節134の演算がサイクルT0からT3まで成立することを示す節141を生成し、子の節142、143、144へのリンクを張る。
最後に根の節133についてみると、左辺部(a##[2:4]$rose(b))が成立する場合なので、適合波形演算部24は、左辺部に相当する節134と同様にサイクルT0からT3まで節133の演算が成立することを示す節140を生成し、子の節141へのリンクを張る。節133の右辺の節139は辿る必要はない。
尚、ケース125の上述した例では生じなかったが、波形データ131に値を書き込む際に、既に値が埋まっている欄に異なる値を書き込む必要が生じた場合は、そのような波形は生成できないということになるので、その成立パターンは破棄される。
以上は、ケース125についての例であるが、他の場合についても同様にして、波形データWVと対応関係データCRが生成され、表示データ出力部25に供給されて利用される。表示データ出力部25は、アサーション構造データASと波形データWVと対応関係データCRに基づいて、ここでは、4つのパターンについて、後述するような、アサーション記述ADに含まれる部分式と、信号波形との対応関係を示す図を生成する。
なお、記憶装置14には、アサーション構造データASと、成立パターンデータAPと、波形データWVと、対応関係データCRとが、データ部14bに記憶される。
表示データ出力部25は、アサーション構造データASと、波形データWVと、対応関係データCRに基づいて、表示装置13の画面表示部13a上に表示する画面(図5)の表示データDDを生成して、出力する。画面上に表示された画面には、設計者がアサーションの意味を容易に把握できるように、アサーションの各場合について、各部分式と各波形の対応関係が視覚化されて表示される。
図5は、その表示装置13の画面表示部13aに表示される画面の例を示す図である。
設計者は、設計データ中のアサーションを視覚化したい場合、所定のコマンドを入力あるいは指定することによって、図5のような画面を表示装置13に表示させることができる。図5は、アサーション記述が入力された場合の結果の1つを表示している。
表示装置13の画面表示部13aは、視覚化対象アサーション表示部41と、波形選択部42と、波形表示部101と、構造表示部102と、対応表示部103とを含んで構成されている。
視覚化対象アサーション表示部41は、設計者が視覚化させたいアサーションを表示する表示部である。そのアサーションの表示は、例えば、視覚化対象アサーション表示部41に、ユーザが所望のアサーションを直接入力する、あるいは別のウインドウ等に表示されている複数のアサーション記述の中からそのアサーションを選択することによって行われる。画面表示部13a上の視覚化対象アサーション表示部41に視覚化したいアサーションが表示されるので、ユーザは、分析対象のアサーションの内容を容易に確認することができる。図5の視覚化対象アサーション表示部41は、上述した記述例1のアサーションが視覚化のために入力あるいは選択されたことを示している。
波形選択部42は、アサーションを成立させる1つ以上の成立パターンの波形の中から、どの成立パターンの波形を表示するかを選択するために用いられる表示部である。波形選択部42は、波形番号表示部107、前ボタン108、次ボタン109により構成される。図5では、波形番号表示部107には、「2/4」と表示されている。これは、4つのパターンのうちの2番目の波形が選択されていることを示している。すなわち、左側は順位を示し、右側は全成立パターン数を示している。
ユーザである設計者は、前ボタン108あるいは次ボタン109上に、マウスによりカーソルを移動させて、クリックすることによって、表示する成立パターンの波形を変更できる。例えば、図5の状態において、前ボタン108をクリックすることによって、1番目のパターンの波形が表示される。この場合、波形番号表示部107には、「1/4」が表示される。また、図5の状態において、次ボタン109をクリックすることによって、3番目のパターンの波形が表示される。この場合、波形番号表示部107には、「3/4」が表示される。
よって、波形選択部42は、図5の画面上に表示する成立パターンを選択するためのパターン選択部を構成する。
なお、ここでは極簡単な例を示したが、スライダー等ボタン以外の部品を用いたり、キーボードから波形番号を入力したり、一覧表から選択する等、様々なバリエーションの方法を用いてもよい。
図5において、波形表示部101と、構造表示部102と、対応表示部103とが、部分式と波形の対応関係を示す図を構成する。すなわち、波形表示部101と構造表示部102と対応表示部103とを含む対応関係を示す図は、アサーション記述ADに含まれる各部分式と各信号の波形との対応関係を示す。
波形表示部101には、選択された成立パターンの1以上の信号波形を示す波形図が表示される。図5は、波形表示部101には、2番目の成立パターンの3つの信号の波形の波形図が表示されている。図5の例では、信号aの値は、サイクルT0において「1」、他のサイクルでは任意(Dで示す)であり、信号bの値は、サイクルT2で「0」、サイクルT3で「1」、他のサイクルでは任意であり、信号cの値は、各サイクルで任意である波形を表している。波形表示部101において、アサーション記述ADにおいて定義されている箇所には、確定している値が設定されて表示され、値の確定していない箇所には、多義性を示す所定の記号としての「D」が表示される。すなわち、波形表示部101には、波形データWVに基づいて、少なくとも1つの信号についての複数のタイミングにおける各波形を示す波形図が表示される。
構造表示部102は、アサーションの構造を表示する表示部である。図5では、記述例1のアサーション記述を構文解析した結果である木構造が、部分式の木構造で示されている。
対応表示部103は、波形表示部101に表示された1以上の波形の各部分と、構造表示部102に表示されたアサーションの構造の各部分との、対応関係を表示する表示部である。対応表示部103では3種類の帯記号が使われている。アサーションの全体或いは各部分式が、成立する期間を白い帯により、成立しない期間を網目の帯により、そして遅延の期間を斜線の帯により、示されている。
対応表示部103の各帯の位置は、波形表示部101における各波形のタイミングと合うように横方向において位置合わせされて表示され、かつ構造表示部102の対応する各部分式と合うように縦方向において位置合わせされて一致するように表示される。
例えば、白い帯である帯部104は、点線DL1で示すように、横方向における位置が波形表示部101内のサイクルT2からT3の期間と同じ位置になるように表示され、かつ、点線DL2で示すように、縦方向の位置が構造表示部102内の「$rose」の節と同じ位置になるように表示されているので、波形のサイクルT2からT3の部分が、アサーションの部分式「$rose(b)」を成立させることを示している。
また、網目の帯である帯部105は、横方向の位置が波形表示部101内のサイクルT2の期間と同じ位置になるように表示され、かつ縦方向の位置が構造表示部102内の「b」の節と同じ位置になるように表示されているので、波形のサイクルT2の部分が、アサーションの部分式「b」を成立させない事を示している。
斜線の帯である帯部106は、横方向の位置が波形表示部101内のサイクルT0からT3の期間と同じ位置で、縦方向の位置が構造表示部102内の「##[2:4]」の節と同じ位置であるので、波形のサイクルT0からT3の部分が、アサーションの部分式「##[2:4]」の遅延時間に対応することを示している。
以上のように、対応表示部103は、各部分式が、波形のどの部分に対応するかを示している。
上述したように、波形表示部101と構造表示部102と対応表示部103とを含む対応関係を示す図は、アサーション記述ADに含まれる各部分式と各信号の波形との対応関係を示す。
その結果、ユーザは、対応関係を示す帯部がどの波形のどの部分に対応しているのか、及びその帯部がどの部分式に対応しているものであるかを、容易に把握することができる。
従って、図5の画面をみれば、ユーザは、所望のアサーションについて選択した成立パターンにおいて、波形のどの期間の部分がアサーションのどの部分式に対応するかを容易に把握することができる。
(動作)
次に、設計解析装置1の動作について説明する。設計者が、装置本体11に接続された入力装置12と表示装置13とを用いて、設計者が所定のコマンドを入力することによって、設計解析装置1は、アサーション視覚化プログラム(AVP)14aを実行させて、アサーション視覚化のための所定の処理を実行する。
図6は、設計解析装置1のアサーション視覚化処理の流れの例を示すフローチャートである。回路仕様記述視覚化プログラムであるアサーション視覚化処理プログラム(AVP)14aは、記憶装置14に予め記憶されており、本体装置11のCPUが所定のコマンドに応じて、読み出して実行する。以下、アサーションが上述した記述例1の場合で、動作を説明する。
まず、上述した図5の画面表示部13aが表示され、ユーザは、視覚化したいアサーションを入力あるいは選択すると、画面表示部13a上の視覚化対象アサーション表示部41に入力あるいは選択されたアサーションが表示される。
そして、所定のコマンドを入力すると、図6の処理が実行される。
まず、ユーザにより指定されたアサーション記述ADのテキストデータは、回路仕様記述解析部22により、構文解析されて、回路仕様記述構造データであるアサーション構造データASが生成され、記憶装置14のデータ部14bに記憶される。生成されたアサーション構造データASは、ここでは、構文解析木データである。
次に、アサーション構造データASに基づいて、成立パターン生成部23は、成立パターンデータAPを生成し、データ部14bに記憶する。上述したように、成立パターンデータAPは、記述されたアサーションがどの様に成立するかを示す場合分けデータである。記述例1のアサーションの場合、成立パターンデータAPは、図3に示すケース123から126の4つの成立パターンを示す場合分けデータである。
適合波形演算部24は、成立パターンデータAPに基づいて、図4に示したように、成立パターン毎に、アサーションを成立させる波形データWVと、アサーションの構造と波形データとの対応関係データCRを算出して得て、データ部14bに記憶する。
表示データ出力部25は、アサーション構造データASと、波形データWVと、対応関係データCRに基づいて、図5に示す対応関係を示す図を含む画面を画面表示部13a上に表示するための表示データDDを生成して、出力する。
表示データDDは、図5における波形表示部101と、構造表示部102と、対応表示部103とを含み、かつ画面表示部13a上において、波形表示部101の各波形と、構造表示部102の各部分式との対応が判るように、対応表示部103の対応関係を示す帯部を、上述したように位置させて表示するためのデータを含む。
視覚化するアサーションが指定されると、記述例1の場合、最初は、上述した最初の成立パターン、すなわち「1/4」のパターン、の波形図を含む波形表示部101と、構造表示部102と、対応表示部103が表示されるように、表示データ出力部25は、データを生成する。
なお、上述したように、成立パターンが複数あるときには、波形選択部42により、複数の成立パターンの中から所望のパターンを選択することができるので、各成立パターンにおいて、各波形と各部分式との対応を容易に把握することができる。
よって、設計者は、そのアサーションの意味を容易に理解できて、アサーションの修正も容易になる。
なお、上述した例では、適合波形演算部24は、成立する全てのパターン、記述例1の場合は4つのパターン、に対応する波形データ等を一回で生成しているが、波形選択部42においてパターンの選択があったら、その都度、適合波形演算部24が波形データWVと、対応関係データCRを生成するようにしてもよい。
以上のように、本実施の形態の設計解析装置1によれば、設計者にとって、アサーション記述の各成立パターンにおける各波形と各部分式との対応関係を解り易く表示するので、設計者は、アサーションの意味を理解できて、アサーションの修正も容易になる。
(第2の実施の形態)
第2の実施の形態に係る設計解析装置は、対応関係を示す図において、対応関係の一部、或いは波形の一部が指定されたときに、指定された対応関係部分に対応する波形部分と部分式、或いは指定された波形部分に対応する対応関係部分と部分式を識別可能に表示するようにした点が、第1の実施の形態と異なる。
第2の実施の形態に係る設計解析装置の構成は、第1の実施の形態の設計解析装置と同様であるが、このような表示を可能にするために、後述するようにデータ構造が異なっている。後述するように、第1の実施の形態においては、波形データWVは、成立パターン毎に1つ生成されているが、本実施の形態においては、波形データWVは、対応関係部分毎に1つ生成される。
第2の実施の形態に関わる設計解析装置における表示例について説明する。第1の実施の形態と同じ構成要素については、同じ符号を付し、説明は省略する。
図7は、上述した記述例1のアサーション記述におけるケース125が選択されたときの画面例を示す図である。
設計者が対応表示部103内の帯部104に設計者が着目しており、設計者は、対応関係の一部である帯部104をマウスでクリックする等して、帯部104に着目していることを設計解析装置1に知らせることができる。
図7に示すように、記述例1のケース125において、対応表示部103における帯部104が、マウスを用いてクリックされると、波形表示部101において帯部104に対応する波形部分の位置が、丸印207と208により示される。このように、対応する波形部分が、丸印207と208により他の波形部分とは識別されるように示される。
さらに、同時に、構造表示部102における、部分式「$rose」の節に対応する部分の位置が、丸印206により示される。すなわち、対応する部分式が、丸印206により、他の部分式とは識別されるように示される。
すなわち、図7は、設計者が所望のアサーション記述ADについて、設計者が、対応表示部103内の白い帯記号で示された帯部104に着目している場合を示している場合に、設計者は帯部104をマウスでクリックする等することによって、帯部104に着目していることを設計解析装置に知らせると、その帯部104に対応する波形部分と部分式との対応関係を確認することができる。
上述したように、波形表示部101には、設計者が着目している帯部104に対応する波形の一部分が丸印207及び208で強調表示される。そして、構造表示部102には、アサーション記述の木構造が示されており、構造表示部102には更に、設計者が着目している帯部104に対応するアサーション記述の一部分が、丸印206で強調表示される。
対応表示部103では更に、設計者が着目している帯部104が丸印205で強調表示される。
対応表示部103には、波形表示部101に表示された波形の各部分と、構造表示部102に表示されたアサーションの構造の各部分式との、対応関係が表示される。対応表示部103では、3種類の帯記号が対応関係を示す記号として使われており、それらの意味は図5と同じである。
図8は、上述した記述例1のアサーション記述におけるケース125が選択されたときの画面例を示す図である。
設計者が波形表示部101内のサイクルT2において信号bの値が「0」であることを示す波形部分210に設計者が着目しており、設計者は波形部分210をマウスでクリックする等して、波形部分210に着目していることを設計解析装置1に知らせることができる。
今度は、図8に示すように、記述例1のケース125において、波形表示部101における波形の一部210をマウスを用いてクリックすると、波形表示部101において信号bの値が「0」の部分が、丸印211により、選択されたことが示される。
そして、波形部分210に対応する対応表示部103の帯部の位置が、丸印212により示される。さらに、波形部分210に対応する構造表示部102の部分式である節が、丸印213によって、他の部分式とは識別されるように示される。
このように、注目する波形部分に対応する対応表示部の帯部と、構造表示部102の部分式が、それぞれ丸印212と213により他の波形部分とは識別されるように示される。
上述したように、構造表示部102には、アサーション記述の木構造が示されており、構造表示部102には更に、設計者が着目している波形210に対応するアサーション記述の一部分が、丸印213で強調表示される。さらに、対応表示部103において、波形表示部101において選択された波形210に対応する帯部105が丸印212で強調表示される。
本実施の形態に係る設計解析装置によれば、設計者が注目する部分を指定すると、その指定された波形に対応する帯部と部分式が、他の部分とは識別可能に表示される。よって、設計者は、アサーションの意味を容易に理解して、容易に修正をすることができる。
以上のような識別可能な表示を実現するために、適合波形演算部24は、成立パターンが入力されると、各成立パターン毎に、アサーションを成立させる波形データWVと、アサーションの構造と波形との対応関係データCRを求める。このとき、適合波形演算部24は、波形データWVと対応関係データCRのデータとして、以下のようなデータ構造のデータを生成する。
図9を用いて、本実施の形態における適合波形演算部24の処理内容を説明する。図9は、本実施の形態における適合波形演算部24の処理内容の例を説明するための図である。図9中のケース125は、図3内のものと同一であり、OR演算の左辺が成立し、且つ遅延が3の場合の成立パターンである。この成立パターンについて、波形データ231と対応関係データ220を求める場合を考える。
本実施の形態において、第1の実施の形態との違いは、波形データWVと対応関係データCRを記憶する場合に、波形データを格納する領域は、全体で1つではなく、対応表示部103の対応関係データの各節に対応して設けられるようにしたことである。図9においては、それぞれが対応関係部分である各節221から227と波形データ231から237とは、それぞれ一点鎖線で結んで示されている。
根の節の波形データ231が全体に対する波形データとなる。また、第1の実施の形態では不要だったが、対応関係データ220の各節を生成する際に、成立パターン125内の対応する節133から139へ、各リンクが張られる。図9では、各リンクは、点線の矢印で示されている。
成立パターン125の各節を辿りながら、対応関係データ220及び対応関係データ220内の各節の波形データの構築が行われる。
まず、開始サイクルT0において節135に着目すると、論理式「a」を成立させる為には信号aのサイクルT0における値を「1」とする必要があるので、適合波形演算部24は、節135がサイクルT0で成立することを示す節223を対応関係データ220内に生成し、その対応関係データ220に対応する波形データ233内のサイクルT0におけるaの値として「1」を書き込む。
次に、節223の完了サイクルT0において節136をみると、遅延が3の場合なので、適合波形演算部24は、サイクルT0からT3まで遅延時間が及ぶことを示す節224を生成する。
そして、適合波形演算部24は、節224の完了サイクルT3において節137をみると、節137は、$rose(立ち上がり)演算の節であり、オペランドである信号bの値が1サイクル前(すなわちサイクルT2)で「0」、サイクルT3で「1」である必要があるので、適合波形演算部24は、節138がサイクルT2で成立しないことを示す節226と、節138がサイクルT3で成立することを示す節227、及び節137がサイクルT2からT3において成立することを示す節225を生成し、節225から子の節として、節226と227へのリンクを張る。
更に、適合波形演算部24は、節226の波形データ236にサイクルT2の信号bの値として「0」を、節227の波形データ237にサイクルT3の信号bの値として「1」をそれぞれ書き込む。そして、適合波形演算部24は、節225の波形データ235として、子の節226と227の波形データ236と237をマージした結果を格納する。ここで言うマージとは、各子の節の波形データの内、「0」又は「1」が書き込まれた欄について、親の節の波形データの対応する欄に同じ値を書き込むことである。
次に、節134の処理に移ると連接演算であり、最初のオペランドの節135に対応する節223の開始サイクルがサイクルT0で、最後のオペランドの節137に対応する節225の完了サイクルがサイクルT3であるので、適合波形演算部24は、節134の演算がサイクルT0からT3まで成立することを示す節222を生成し、節222の子の節である節223、224、225へのリンクを張る。
更に、適合波形演算部24は、節222の波形データ232には、子の節223、224,225の波形データ233、234、235をマージした結果を格納する。
適合波形演算部24は、最後に、根の節133をみると、節133は、左辺が成立する場合なので、左辺に相当する節134と同様にサイクルT0からT3まで節133の演算が成立する事を示す節221を生成し、節221の子の節である節222へのリンクを張る。
更に、適合波形演算部24は、節221の波形データ231には、節222の波形データ232をコピーする。最終的に、波形データ231が、成立パターン125に対するアサーション全体としての波形データとなる。節133の右辺の節139は辿る必要はない。
さらに、上述した例では生じなかったが、マージ処理も含め、各節の波形データに値を書き込む際に、既に値が埋まっている欄に異なる値を書き込む必要が生じたならば、その様な波形は生成できないということを意味するので、その成立パターン125は破棄される。
そして、表示データ出力部25は、対応表示部103の節毎に生成された波形データに基づいて、波形表示部101の波形図を生成する。
以上により生成されたデータ構造は、対応関係データの各節について、対応するアサーション構造データの節及び波形データの情報が対応付けられているので、図7と図8で説明した様な強調表示機能が実現可能となる。
以上のように、本実施の形態に係る設計解析装置によれば、対応関係を示す図において、対応関係の一部、或いは波形の一部が指定されたときに、指定された対応関係部分に対応する波形部分と部分式、或いは指定された波形部分に対応する対応関係部分と部分式を識別可能に表示する。
よって、本実施の形態の設計解析装置によれば、第1の実施の形態の効果に加えて、設計者にとって、アサーション記述の各成立パターンにおける各波形と各部分式との対応関係を解り易く表示することができるので、設計者は、アサーションの意味を、より理解できて、アサーションの修正もさらに容易になる。特に、波形のどの期間のどの信号の部分がアサーションのどの部分に対応するかの理解が、設計者に容易となる。
(第3の実施の形態)
第3の実施の形態に係る設計解析装置は、対応関係の一部、或いは波形の一部が指定されたときに、指定された対応関係部分についての親あるいは子の関係を有する対応関係部分と部分式を識別可能に表示するようにした点が、第2の実施の形態と異なる。
第3の実施の形態に係る設計解析装置の構成は、データ構造も含めて、第2の実施の形態の設計解析装置と同様である。
第3の実施の形態に関わる設計解析装置における表示例について説明する。第2の実施の形態と同じ構成要素については、同じ符号を付し、説明は省略する。
図10は、上述した記述例1のアサーション記述におけるケース125が選択されたときの画面例を示す図である。以下、本実施の形態における画面の表示方法について説明する。
設計者が対応表示部103内の帯部104に設計者が着目しており、設計者は帯部104をマウスでクリックすると、設計者が着目している帯部104に対応するアサーションの部分式が実線の丸印206で強調表示される。構造表示部102には、更に、実線の丸印206で強調されている部分式の親の節が一点鎖線の丸印307で、子の節が点線の丸印308で強調表示される。
対応表示部103では、図7の対応表示部103と同様に3種類の帯記号が使われ、設計者が着目している帯記号104が実線の丸印205で強調表示されている。
さらに、対応表示部103では、実線の丸印205で強調表示された節の親の節が一点鎖線の丸印304で、子の節が点線の丸印305と306で強調表示される。
なお、図10に示すように、設計者が着目している帯記号104に対応する波形を、実線の丸印207と208で示すように、強調表示を行うようにしてもよい。
以上のように、図10では、設計者が着目する帯記号を選択すると、構造表示部102において、帯記号104に対応する節と、その節の親子の節が強調表示され、さらに、対応表示部103においても、帯記号104の親子の節も、強調表示される。すなわち、対応表示部103中の対応関係の一部が指定されたときに、指定された対応関係部分の親と子の関係を有する対応関係部分と、指定された対応関係部分について対応する部分式の親と子の関係を有する部分式とが、識別可能に表示される。
図11は、上述した記述例1のアサーション記述におけるケース125が選択されたときの画面例を示す図である。特に、図11は、設計者が波形表示部101内のサイクルT2において信号bの値が「0」であることを示す部分210に着目している場合を示している。
設計者は着目している丸印210で強調表示されている波形部分に対応するアサーションの一部分である部分式が実線の丸印213で強調表示されている。
構造表示部102には更に、実線の丸印213で強調表示されている節の親の節が一点鎖線の丸印312で強調表示される。この例では丸印213の節には子の節が無いが、子の節がある場合は、その子の節は、点線の丸印で強調表示される。
対応表示部103では図8の対応表示部103と同様に3種類の帯記号が使われ、設計者が着目している丸印210で示される波形部分に対応する帯記号105が実線の丸印212で強調表示されている。対応表示部103では、更に、実線の丸印212で強調表示されている節の親の節104が一点鎖線の丸印311で強調表示される。この例では丸印212の節には子の節が無いが、子の節がある場合は、その子の節は、点線の丸印で強調表示される。
以上のように、図11の場合、波形の一部が指定されたときに、指定された波形の一部に対応する対応関係部分の親と子の関係を有する対応関係部分と、指定された波形の一部に対応する部分式の親と子の関係を有する部分式とが、識別可能に表示される。
このように、本実施の形態によれば、親や子の節を次々とマウスでクリックする等して、設計者の着目点をアサーションの構造に沿って移動してゆけるので、波形のどの期間のどの信号の部分がアサーションのどの部分に対応するかの絞込みが容易となる。
以上で説明したような強調表示あるいは識別表示機能は、図9で説明した第2の実施の形態による、アサーション構造データAS、波形データWV、対応関係データCRを用いて実現することができる。アサーション構造データAS及び対応関係データCRにおいて、設計者の指定により強調対象となっている節から子の節へのリンクを辿ることにより、子の節を求めることが可能であり、設計者の指定により強調対象となっている節を子の節として含む様な節を探すことにより、親の節を求めることが可能である。
以上のように、本実施の形態に係る設計解析装置によれば、対応関係の一部、或いは波形の一部が指定されたときに、指定された対応関係部分についての親あるいは子の関係を有する対応関係部分と部分式が識別可能に表示される。 よって、本実施の形態の設計解析装置によれば、第1の実施の形態の効果に加えて、設計者にとって、アサーション記述の各成立パターンにおける構造表示部の親子の節と、対応表示部の親子の節を解り易く表示することができるので、設計者は、アサーションの意味を、より理解できて、アサーションの修正もさらに容易になる。
(第4の実施の形態)
第4の実施の形態に係る設計解析装置は、1つのアサーションを満たすケースが複数存在する場合に、類似する波形をグループ分けして表示できるようにした点が、上述した第1から第3の実施の形態と異なる。
第4の実施の形態に係る設計解析装置の構成は、第1から第3の実施の形態の設計解析装置と同様である。
1つのアサーションを満たす波形は一つとは限らず複数、特に大量に、存在する場合がある。そのような場合に第1から第3の実施の形態を用いて、入力されたアサーションに対して、そのアサーションを満たす波形やアサーションの構造及びそれらの対応を割り出して表示させても、全てのケースを確認するのは大変な作業である。そこで、本実施の形態では、波形の形の近いものをまとめて、多くのケースがグループ分けされる。
図12は、多くのケースのグループ分けを説明するための図である。図12は、記述例2のアサーションが入力された場合のグループ分けを示す。
!a##[2:4]b or a[1:3]##2b ・・・ (記述例2)
記述例2のアサーション記述は、全体がOR演算を示す「or」で分割され、さらに、左辺部が成立する場合と、右辺部が成立する場合を含むことを意味する記述である。
アサーション記述400が入力された場合、まずOR演算の左辺部が成立するケース410と右辺部が成立するケース420とに分割される。右辺部と左辺部では、それぞれが成立する場合に波形の形が大きく異なることになるので、右辺部が成立する場合と左辺部が成立する場合は、別々のグループとする。
さらに、ケース410のグループは、遅延が2のケース411、遅延が3のケース412、及び遅延が4のケース413に分割される。これら3つのケースは、信号aの値が「0」となるサイクルと信号bの値が「1」になるサイクルの間の遅延時間が異なるだけで、波形の形は余り変わらないので同じグループとする。
同様に、ケース420のグループは、繰り返し回数が1回のケース421、2回のケース422、及び3回のケース423に分割される。これら3つのケースは、信号aの値が「1」であるサイクルの継続時間が異なるだけで、波形の形は余り変わらないので同じグループとする。
ケース414から426は、これ以上分割できないので、それぞれについて波形やアサーションとの対応を求める。図12では、ケース411、412、413、421,422、423をそれぞれ満たす波形データとして、波形データ414、415、416、424、425、426が記されている。波形データ414、415及び416は1つ目のグループに属し、ケース424、425及び426は2つ目のグループに属す。
そして、成立パターン生成部23により生成された複数の成立パターンのデータは、所定の条件に基づいて、ここでは、左辺部と右辺部の区別という条件に基づいて、グループ分けされる。
本実施の形態を用いることにより、設計者は各グループに属す波形をいくつかを確認するだけで、アサーションの意味を確認することができる。すなわち、波形群は形の類似性でグループ分けされることにより、設計者は各グループに属す波形をいくつかを確認するだけで、アサーションの意味を確認することができる。
図13は、グループ分けされた各ケースを選択するための波形選択部の例を示す図である。
図13に示す波形選択部432は、図5における波形選択部42と同様の構成であり、波形選択部432は、波形番号表示部436、前ボタン437、次ボタン438により構成される。さらに、波形グループ選択部431も波形選択部432と併せて表示される。
波形グループ選択部431は、波形グループ番号表示部433、前ボタン434、及び次ボタン435により構成される。図13では、波形グループ番号表示部433に「1/2」と表示されているので、全体で2つのグループがあって、その中で、1番目のグループが選択されているが示されている。これは、図12の例ではケース410のグループが選択されていることを示す。ユーザは、前ボタン434あるいは次ボタン435をクリックすることにより、表示するグループを変更できる。
図13では、波形グループ番号表示部433に「1/2」が表示され、波形選択部436に「3/3」が表示されているので、1番目のグループの3番目の波形が選択されていることを示している。これは、図12の例ではケース410のグループのケース416の波形が選択されていることを示す。ユーザは、前ボタン437あるいは次ボタン438をクリックすることにより、グループ内で表示する波形を選択できる。なお、波形グループ選択部431と波形選択部432は、ここでは極簡単な例により示したが、スライダー等ボタン以外の部品を用いたり、キーボードから波形番号を入力したり、一覧表から選択する等、様々なバリエーションの方法を用いてもよい。
波形グループ選択部431と波形選択部432の2つにより、波形選択部が構成されていると言うこともできる。そして、波形グループ選択部431は、グループ分けされたグループ別に対応関係を示す図を画面上に表示するためのグループを選択するためのグループ選択部を構成する。
以上説明したように、本実施の形態の設計解析装置によれば、1つのアサーションを満たすケースが複数存在する場合に、所定の条件で、類似する波形をグループ分けして表示できるようにしたので、波形の形の近いものをまとめて、多くのケースがグループ分けされる。よって、全てのケースを確認する作業が容易となる。特に、ケースが大量に存在する場合には、確認作業は容易となる。なお、所定の条件は、ユーザが設定可能である。
以上説明した各実施の形態によれば、アサーションの構造、アサーション記述を成立させる波形、及びそれらの各部分の対応関係が同時に表示されるので、設計者は、回路仕様記述中の各部分式が、信号波形中のどの部分に対応するかを容易に把握することができ、回路仕様記述の修正も容易に行うことができる。すなわち、アサーション記述が、設計者の意図通りであるかどうかが容易に把握でき、記述作成時の単純ミスの他、仕様記述が曖昧であることに起因する設計者間の仕様の認識の不一致による不具合を回避することが可能となる。
なお、上述した実施の形態では、丸印により識別可能に表示する例が用いられているが、識別可能に表示する方法は、異なる色表示による方法、点滅表示による方法等、種々の方法を用いてもよい。
さらになお、上述した各実施の形態では、部分式の成立と、信号のHIGHとLOWとの関係を示しているが、条件が値の範囲に規定する場合もある。例えば、ある値がある設定された範囲内にあるか否かが条件成立の有無を決定する場合がある。
図14は、条件が値の範囲を規定する場合における波形の表示形式の例を説明するための図である。
アサーションにおいては信号の値そのものは重要ではなく、信号の値がある範囲に入っているか否かが重要な場合がある。例えば、アドレスが多ビットで示されていると、そのアドレスが示す値は、複数のアドレスを取り得る。このようなアドレスについて、ある範囲内に入るか否かで、条件の成立か否かの判定が行われる場合がある。
例えば、アサーションの中に、図14に示すアサーション記述501及び502があったとする。この場合、多ビット信号addrの値が、16進数で300から3ff及び400から4ffの範囲に入っているか否かが重要であり、具体的な値は重要ではない。
このような場合に、点線で示すように、波形図503のような値の表示をしてもよい。しかし、波形503の場合、アドレスの値そのものを表示しているが、アドレスは変化するものであり、発生し得る値の全てについて、波形図503のような図を表示しても、設計者は、全ての波形を確認しなければならず、確認作業は繁雑なものとなる。逆に、アドレスが、所定の範囲に入っているか否かが重要な意味を持つ。
そこで、図14において、実線で示すように、波形図504と504のような表示をすることにより、アドレスの値が、ある範囲に入るか否かの時系列変化を示す波形図が表示される。波形図504は、多ビット信号addrの値が300から3ffの範囲に入るか否かの時系列変化を表している。波形図505は、addrの値が400から4ffの範囲に入るか否かの時系列変化を表している。
図14の波形図504と505のような表示を用いることにより、信号の値そのものではなく、アサーションについて本来調べたい「信号の値がある範囲に入っているか否か」を波形図の形で見ることができるので、波形とアサーションとの対応が、設計者には、より判り易くなる。
さらに、図14では信号の値がある範囲に入っているか否かが重要な場合について説明したが、信号同士がある関係を満たしているか否かが重要な場合についても同様に扱える。例えばアサーション記述の一部として「a+100==b」の様な記述が含まれていた場合、設計者に関心があるのはbの値がaより丁度100大きいか否かであり、aとbの個々の値ではない。
従って、このような場合は、aとbの波形図の代わりに、「a+100==b」が成立する時にHIGH、成立しない時にLOWとなる様な波形図を表示すれば良い。
本明細書における各「部」は、実施の形態の各機能に対応する概念的なもので、必ずしも特定のハードウエアやソフトウエア・ルーチンに1対1には対応しない。従って、本明細書では、以下、実施の形態の各機能を有する仮想的回路ブロック(部)を想定して実施の形態を説明した。また、本実施の形態における各手順の各ステップは、その性質に反しない限り、実行順序を変更し、複数同時に実行し、あるいは実行毎に異なった順序で実行してもよい。
なお、以上説明した動作を実行するプログラムは、コンピュータプログラム製品として、フレキシブルディスク、CD−ROM等の可搬媒体や、ハードディスク等の記憶媒体に、その全体あるいは一部のプログラムコードが記録され、あるいは記憶されている。そのプログラムがコンピュータにより読み取られて、動作の全部あるいは一部が実行される。あるいは、そのプログラムのコードの全体あるいは一部を通信ネットワークを介して流通または提供することができる。利用者は、通信ネットワークを介してそのプログラムをダウンロードしてコンピュータにインストールしたり、あるいは記録媒体からコンピュータにインストールすることで、容易に本発明の回路仕様記述視覚化装置を実現することができる。
本発明は、上述した実施の形態に限定されるものではなく、本発明の要旨を変えない範囲において、種々の変更、改変等が可能である。
1 設計解析装置、11 装置本体、12 入力装置、13 表示装置、13a 画面表示部、14 記憶装置、14a アサーション視覚化プログラム、14b データ部、22 回路仕様記述解析部、23 成立パターン生成部、24 適合波形演算部、25 表示データ出力部、41 視覚化対象アサーション表示部、42、432 波形選択部、101 波形表示部、102 構造表示部、103 対応表示部、431 波形グループ選択部

Claims (5)

  1. 回路仕様記述を構文解析して、前記回路仕様記述に含まれる部分式の構造データを生成する回路仕様記述解析部と、
    前記回路仕様記述解析部によって生成された前記構造データから、前記回路仕様記述が成立するパターンを場合分けした複数の成立パターンのデータを生成する成立パターン生成部と、
    前記成立パターン生成部によって生成された各成立パターンのデータに基づいて、前記回路仕様記述により規定された回路における少なくとも1つの信号の波形データを生成する波形データ生成部と、
    前記各成立パターンのデータに基づいて、前記少なくとも1つの信号の波形と、前記回路仕様記述に含まれる部分式との対応関係を示す対応関係データを生成する対応関係データ生成部と、
    前記構造データと前記波形データと前記対応関係データとに基づいて、前記各成立パターンにおいて、前記回路仕様記述に含まれる部分式と前記少なくとも1つの信号の波形との対応関係を示す図を表示するための表示データを出力する表示データ出力部と、
    を有することを特徴とする回路仕様記述視覚化装置。
  2. 前記表示データ出力部は、前記対応関係の一部、或いは前記波形の一部が指定されたときに、指定された対応関係部分に対応する波形部分と部分式、或いは指定された波形部分に対応する対応関係部分と部分式を識別可能に表示することを特徴とする請求項1に記載の回路仕様記述視覚化装置。
  3. 前記表示データ出力部は、前記対応関係の一部、或いは前記波形の一部が指定されたときに、指定された対応関係部分についての親或いは子の関係を有する対応関係部分と部分式を識別可能に表示することを特徴とする請求項1に記載の回路仕様記述視覚化装置。
  4. 前記成立パターン生成部により生成された前記複数の成立パターンのデータは、所定の条件に基づいて、グループ分けされることを特徴とする請求項1に記載の回路仕様記述視覚化装置。
  5. 回路仕様記述解析部と成立パターン生成部と波形データ生成部と対応関係データ生成部と表示データ出力部とを具備したコンピュータで回路仕様記述の視覚化をする方法であって、
    前記回路仕様記述解析部により、回路仕様記述を構文解析して、前記回路仕様記述に含まれる部分式の構造データを生成し、
    前記成立パターン生成部により、生成された前記構造データから、前記回路仕様記述が成立するパターンを場合分けした複数の成立パターンのデータを生成し、
    前記波形データ生成部により、生成された各成立パターンのデータに基づいて、前記回路仕様記述により規定された回路における少なくとも1つの信号の波形データを生成し、
    前記対応関係データ生成部により、前記各成立パターンのデータに基づいて、前記少なくとも1つの信号の波形と、前記回路仕様記述に含まれる部分式との対応関係を示す対応関係データを生成し、
    前記表示データ出力部により、前記構造データと前記波形データと前記対応関係データに基づいて、前記各成立パターンにおいて、前記回路仕様記述に含まれる部分式と前記少なくとも1つの信号の波形との対応関係を示す図を表示するための表示データを出力する、
    ことを特徴とする回路仕様記述視覚化方法。
JP2009042877A 2009-02-25 2009-02-25 回路仕様記述視覚化装置及び回路仕様記述視覚化方法 Expired - Fee Related JP5072882B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009042877A JP5072882B2 (ja) 2009-02-25 2009-02-25 回路仕様記述視覚化装置及び回路仕様記述視覚化方法
US12/578,659 US8234608B2 (en) 2009-02-25 2009-10-14 Circuit specification description visualizing device, circuit specification description visualizing method and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009042877A JP5072882B2 (ja) 2009-02-25 2009-02-25 回路仕様記述視覚化装置及び回路仕様記述視覚化方法

Publications (2)

Publication Number Publication Date
JP2010198363A JP2010198363A (ja) 2010-09-09
JP5072882B2 true JP5072882B2 (ja) 2012-11-14

Family

ID=42632013

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009042877A Expired - Fee Related JP5072882B2 (ja) 2009-02-25 2009-02-25 回路仕様記述視覚化装置及び回路仕様記述視覚化方法

Country Status (2)

Country Link
US (1) US8234608B2 (ja)
JP (1) JP5072882B2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5807452B2 (ja) * 2011-08-29 2015-11-10 富士通株式会社 修正プログラム、修正装置、および修正方法
US10065900B2 (en) * 2015-06-02 2018-09-04 Johann Haltermann Limited High volumetric energy density rocket propellant
US10628284B2 (en) * 2017-04-24 2020-04-21 Tektronix, Inc. System and method for bitstream decoding with compiler-generated syntax trees
EP3768369A1 (en) 2018-03-23 2021-01-27 Medtronic, Inc. Av synchronous vfa cardiac therapy
EP3768160B1 (en) 2018-03-23 2023-06-07 Medtronic, Inc. Vfa cardiac therapy for tachycardia
CN111902187A (zh) 2018-03-23 2020-11-06 美敦力公司 Vfa心脏再同步治疗
US10706195B1 (en) * 2018-05-25 2020-07-07 Cadence Design Systems, Inc. System, method, and computer program product for over-constraint/deadcode detection in a formal verification
US11235161B2 (en) 2018-09-26 2022-02-01 Medtronic, Inc. Capture in ventricle-from-atrium cardiac therapy
US11679265B2 (en) 2019-02-14 2023-06-20 Medtronic, Inc. Lead-in-lead systems and methods for cardiac therapy
US11697025B2 (en) 2019-03-29 2023-07-11 Medtronic, Inc. Cardiac conduction system capture
US11213676B2 (en) 2019-04-01 2022-01-04 Medtronic, Inc. Delivery systems for VfA cardiac therapy
US11712188B2 (en) 2019-05-07 2023-08-01 Medtronic, Inc. Posterior left bundle branch engagement
US11305127B2 (en) 2019-08-26 2022-04-19 Medtronic Inc. VfA delivery and implant region detection
US11497431B2 (en) 2019-10-09 2022-11-15 Medtronic, Inc. Systems and methods for configuring cardiac therapy
US11642533B2 (en) 2019-11-04 2023-05-09 Medtronic, Inc. Systems and methods for evaluating cardiac therapy
US11813466B2 (en) 2020-01-27 2023-11-14 Medtronic, Inc. Atrioventricular nodal stimulation
KR102350941B1 (ko) * 2021-05-25 2022-01-14 주식회사 바움디자인시스템즈 집적 회로 설계 방법 및 이를 수행하는 집적 회로 설계 시스템

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05101132A (ja) 1991-10-07 1993-04-23 Oki Electric Ind Co Ltd 論理回路動作検証装置
US6163763A (en) * 1998-10-06 2000-12-19 Cadence Design Systems, Inc. Method and apparatus for recording and viewing error data generated from a computer simulation of an integrated circuit
JP2008112277A (ja) * 2006-10-30 2008-05-15 Mitsubishi Electric Corp タイミングチャート生成装置
US7660884B2 (en) * 2006-11-10 2010-02-09 International Business Machines Corporation Apparatus, system, and method for generating a resource utilization description for a parallel data processing system
JP2008250808A (ja) * 2007-03-30 2008-10-16 Fujitsu Microelectronics Ltd 論理検証方法
JP4533918B2 (ja) * 2007-08-30 2010-09-01 株式会社東芝 回路仕様記述設計解析装置及び回路仕様記述設計解析方法

Also Published As

Publication number Publication date
JP2010198363A (ja) 2010-09-09
US20100218147A1 (en) 2010-08-26
US8234608B2 (en) 2012-07-31

Similar Documents

Publication Publication Date Title
JP5072882B2 (ja) 回路仕様記述視覚化装置及び回路仕様記述視覚化方法
JP4100630B2 (ja) Uml設計方法
Gupta Kibana essentials
JP4783658B2 (ja) 検証支援装置、検証支援方法、検証支援プログラム、および記録媒体
Magnaguagno et al. Web planner: A tool to develop classical planning domains and visualize heuristic state-space search
US20200319856A1 (en) Visual virtual programming machine for real-time interactive creation, playback, execution, inspection and manipulation of programming elements
JP5494999B1 (ja) テキストマイニングシステム、テキストマイニング方法及びプログラム
JP6199314B2 (ja) 演算子の優先順位のグラフィカル表現
JP4533918B2 (ja) 回路仕様記述設計解析装置及び回路仕様記述設計解析方法
JP4747034B2 (ja) 検証シナリオ作成プログラム、記録媒体、検証シナリオ作成装置および検証シナリオ作成方法
JP2008083780A (ja) タイミングダイアグラム編集プログラム、記録媒体、タイミングダイアグラム編集装置およびタイミングダイアグラム編集方法
Li et al. Research on a pattern-based user interface development method
JP4918907B2 (ja) テストデータ生成プログラム、テストデータ生成装置及びテストデータ生成方法
JP5001126B2 (ja) ハードウェア検証用プログラミング記述生成装置、ハードウェア検証用プログラミング記述生成方法、制御プログラムおよび可読記録媒体
Visochek Practical Data Wrangling: Expert techniques for transforming your raw data into a valuable source for analytics
Zant Hands-on prototyping in system analysis and design
US11501475B2 (en) Graphical representation of electronic circuit operation
Papp et al. TabularVis-a Circos-inspired interactive web client based tool for improving the clarity of tabular data visualization.
JP4985858B2 (ja) 検証支援装置、検証支援方法、検証支援プログラム、および記録媒体
Lin Human-Centered Circuit Board Design With Flexible Levels of Abstraction and Ambiguity
Costagliola et al. DrawSE2: an application for the visual definition of visual languages using the local context-based visual language specification
Koronkevich et al. Visualizing Compiler Passes with SecondPass
Burian Animated visualizations for IVIS framework
Koronkevich et al. Visualizing Compiler Passes with FirstPass
Marković An Application for Visual Representation of Deterministic Finite Automaton Generated by JFlex

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110309

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120724

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120821

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150831

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees