JP4987796B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP4987796B2
JP4987796B2 JP2008117677A JP2008117677A JP4987796B2 JP 4987796 B2 JP4987796 B2 JP 4987796B2 JP 2008117677 A JP2008117677 A JP 2008117677A JP 2008117677 A JP2008117677 A JP 2008117677A JP 4987796 B2 JP4987796 B2 JP 4987796B2
Authority
JP
Japan
Prior art keywords
film
silicon nitride
nitride film
forming
sin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2008117677A
Other languages
Japanese (ja)
Other versions
JP2008244490A (en
Inventor
正幸 田中
繁彦 齋田
祥隆 綱島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2008117677A priority Critical patent/JP4987796B2/en
Publication of JP2008244490A publication Critical patent/JP2008244490A/en
Application granted granted Critical
Publication of JP4987796B2 publication Critical patent/JP4987796B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Description

本発明は、シリコン窒化膜またはシリコン酸化膜を有する半導体装置の製造方法に関する。     The present invention relates to a method for manufacturing a semiconductor device having a silicon nitride film or a silicon oxide film.

高集積・微細化の潮流に伴い、次世代の半導体装置においては、今よりも微細かつ高アスペクト比の接続孔を有する層間絶縁膜(SiO2 膜)を形成し、この層間絶縁膜上に接続孔内において均質でかつ被覆性が良いシリコン窒化膜を形成することができ、さらに次工程のシリコン窒化膜の化学的機械的研磨(CMP:Chemical Mechanical Polishing)による研磨工程で、設計値通りの埋込み形状および平坦性の高い表面を実現できるプロセス技術が必須である。 Along with the trend of high integration and miniaturization, in next-generation semiconductor devices, an interlayer insulating film (SiO 2 film) having a connection hole with a finer and higher aspect ratio is formed and connected on this interlayer insulating film. It is possible to form a silicon nitride film that is homogeneous and has good coverage in the hole, and is embedded in accordance with the design value in the polishing process by chemical mechanical polishing (CMP) of the next silicon nitride film. Process technology capable of realizing a surface with high shape and flatness is essential.

この種の技術は、例えば図37に示すような素子構造を形成する場合に用いられる。図37は、DRAMセルのMOSトランジスタをチャネル長方向と垂直な方向で切断した断面を示している。   This type of technique is used, for example, when forming an element structure as shown in FIG. FIG. 37 shows a cross section of a MOS transistor of a DRAM cell cut in a direction perpendicular to the channel length direction.

図中、681はシリコン基板を示しており、このシリコン基板681の表面にはドレイン拡散層682が形成されている。シリコン基板681上には、ドレイン拡散層682に対してのコンタクトホール683およびこれを介してドレイン拡散層682に繋がる配線溝684を有する層間絶縁膜(SiO2 膜)685が形成されている。 In the figure, reference numeral 681 denotes a silicon substrate, and a drain diffusion layer 682 is formed on the surface of the silicon substrate 681. On the silicon substrate 681, an interlayer insulating film (SiO 2 film) 685 having a contact hole 683 for the drain diffusion layer 682 and a wiring groove 684 connected to the drain diffusion layer 682 via the contact hole 683 is formed.

コンタクトホール683および配線溝684の内部には、タングステンからなる埋込み配線686が形成されている。埋込み配線686が埋め込まれているところの、コンタクトホール683および配線溝684の側壁には、シリコン窒化膜687が形成されている。   A buried wiring 686 made of tungsten is formed inside the contact hole 683 and the wiring groove 684. A silicon nitride film 687 is formed on the side wall of the contact hole 683 and the wiring groove 684 where the embedded wiring 686 is embedded.

ここでは、埋込み配線686はコンタクトホール683に関してはその内部全体に形成されているが、配線溝684に関してはその途中の深さまでしか形成されていない。埋込み配線686で埋め込まれていない部分はシリコン窒化膜688が埋め込まれている。   Here, the embedded wiring 686 is formed in the entire interior with respect to the contact hole 683, but the wiring groove 684 is formed only to a midway depth. A silicon nitride film 688 is embedded in a portion not embedded by the embedded wiring 686.

この種のシリコン窒化膜688はキャップ絶縁膜と呼ばれている。キャップ絶縁膜の目的は、その上に形成される下部キャパシタ電極689と埋込み配線686との短絡を防止することにある。   This type of silicon nitride film 688 is called a cap insulating film. The purpose of the cap insulating film is to prevent a short circuit between the lower capacitor electrode 689 and the embedded wiring 686 formed thereon.

キャップ絶縁膜は、層間絶縁膜(SiO2 膜)685にキャパシタ用のコンタクトホール、すなわち下部キャパシタ電極をn+ 型ソース拡散層と接続するための接続孔をRIE(Reactive Ion Etching)で形成する際にマスクとして使用する。そのために、キャップ絶縁膜には選択比の取れるシリコン窒化膜688が使用されている。 The cap insulating film is formed when a contact hole for a capacitor, that is, a connection hole for connecting the lower capacitor electrode to the n + type source diffusion layer is formed in the interlayer insulating film (SiO 2 film) 685 by RIE (Reactive Ion Etching). Used as a mask. For this purpose, a silicon nitride film 688 having a selective ratio is used for the cap insulating film.

コンタクトホール683の底面には、後工程の熱工程によってドレイン拡散層682と埋込み配線686とが反応しないように、Ti/TiN積層膜690がバリアメタル膜として形成されている。   On the bottom surface of the contact hole 683, a Ti / TiN laminated film 690 is formed as a barrier metal film so that the drain diffusion layer 682 and the buried wiring 686 do not react with each other in a subsequent heat process.

配線溝684のアスペクト比が1以上の場合、Si原料としてジクロロシラン(DCS)を用いた段差被覆性の良いCVD法である減圧化学気相成長法(LPCVD法)により、シリコン窒化膜(DCS-SiN膜)688を形成していた。   When the wiring trench 684 has an aspect ratio of 1 or more, a silicon nitride film (DCS-) is formed by a low pressure chemical vapor deposition method (LPCVD method), which is a CVD method with good step coverage using dichlorosilane (DCS) as a Si raw material. SiN film) 688 was formed.

しかしながら、以上述べた形成方法には以下のような問題がある。   However, the forming method described above has the following problems.

DCS-SiN膜688に対する層間絶縁膜(SiO2 膜)685のCMPによる研磨速度(層間絶縁膜685の研磨速度/DCS-SiN膜688の研磨速度)は30程度で高くない。 The polishing rate by CMP of the interlayer insulating film (SiO 2 film) 685 with respect to the DCS-SiN film 688 (polishing rate of the interlayer insulating film 685 / polishing rate of the DCS-SiN film 688) is about 30 and not high.

そのため、配線溝684の外部の余剰なDCS-SiN膜688をCMPによって除去する工程で、層間絶縁膜685が研磨停止面として機能しなくなり、DCS-SiN膜688が過剰研磨される。   Therefore, in the step of removing the excess DCS-SiN film 688 outside the wiring trench 684 by CMP, the interlayer insulating film 685 does not function as a polishing stop surface, and the DCS-SiN film 688 is excessively polished.

その結果、図38に示すように、DCS-SiN膜688の膜厚が設計値よりも薄くなるので、埋込み配線686と下部キャパシタ電極689との間のリーク電流が増加したり、耐圧が低下するなどの問題が起こる。   As a result, as shown in FIG. 38, the thickness of the DCS-SiN film 688 becomes thinner than the design value, so that the leakage current between the embedded wiring 686 and the lower capacitor electrode 689 increases or the breakdown voltage decreases. Problems occur.

また、キャパシタのコンタクトホールをエッチングにより開口する際には、DCS-SiN膜688はマスクとして使用されるが、上述したような過剰研磨が生じると、最悪の場合には、図39に示すように、埋込み配線686と下部キャパシタ電極689とが短絡するという問題が起こる。   Further, when the contact hole of the capacitor is opened by etching, the DCS-SiN film 688 is used as a mask. However, when excessive polishing as described above occurs, in the worst case, as shown in FIG. This causes a problem that the embedded wiring 686 and the lower capacitor electrode 689 are short-circuited.

ところで、近年、半導体デバイスの高集積化および高速化に対する要求が高まりつつある。これらの要求を実現するために、素子間および素子寸法の縮小化、微細化が進められる一方、埋込み配線の低抵抗化および寄生容量の低減などが検討されている。   In recent years, demands for higher integration and higher speed of semiconductor devices are increasing. In order to realize these requirements, reduction in the size and miniaturization between elements and element dimensions are being promoted, while the resistance of the embedded wiring is reduced and the parasitic capacitance is reduced.

例えばDRAMでは、高集積化の進展が顕著であり、そのために、コンタクトホールを形成するためには、アスペクト比の大きい、狭い段差形状を形成する必要がある。   For example, in a DRAM, the progress of high integration is remarkable, and in order to form a contact hole, it is necessary to form a narrow step shape with a large aspect ratio.

このために例えばDRAMでは、コンタクトホールの形成時の、層間絶縁膜(TEOS酸化膜等)のRIEに対するエッチングストッパー膜として選択比の高いシリコン窒化膜(SiN膜)が使われるようになってきている。   For this reason, for example, in a DRAM, a silicon nitride film (SiN film) having a high selection ratio has been used as an etching stopper film for RIE of an interlayer insulating film (TEOS oxide film or the like) when forming a contact hole. .

この種のエッチングストッパー膜(RIEストッパー膜)として使われるSiN膜は、RIEの選択比がシリコン酸化膜、例えばBPSG膜やTEOS膜に対して充分高いことが必要である。更に、素子の高集積・微細化に伴い、よりアスペクトの厳しい狭い段差形状を均質かつ均一に被覆する必要がある。   The SiN film used as this kind of etching stopper film (RIE stopper film) needs to have a sufficiently high RIE selectivity relative to a silicon oxide film such as a BPSG film or a TEOS film. Furthermore, with the high integration and miniaturization of elements, it is necessary to uniformly and uniformly cover narrow stepped shapes with more severe aspects.

これらの要求を満足するために、従来より、コンタクトホール形成時のRIEストッパー膜としては、原料にジクロロシラン(DCS)とアンモニアを用い、780℃程度でLPCVD法で形成された比較的緻密なSiN膜が用いられてきた。   In order to satisfy these requirements, as a RIE stopper film at the time of forming a contact hole, a relatively dense SiN formed by LPCVD at about 780 ° C. using dichlorosilane (DCS) and ammonia as raw materials. Membranes have been used.

この方法により形成したSiN膜は、TEOS膜をRIEする際のTEOS膜のSiN膜に対するRIE選択比が7程度と高く、また、そのSiN膜の誘電率は7.5程度であった。   The SiN film formed by this method has a high RIE selectivity of the TEOS film to the SiN film when RIE of the TEOS film is about 7 and the dielectric constant of the SiN film is about 7.5.

しかしながら、この7.5という誘電率は比較的大きい。特に最近では素子寸法の縮小に伴いこのRIEストッパー膜の容量が素子全体の配線間容量またはRC遅延時間を左右するほどになっており、0.18ミクロン世代以降のDRAMではこのRIEストッパー膜の容量が素子の動作速度の遅延としてあらわれてきた。   However, the dielectric constant of 7.5 is relatively large. In recent years, in particular, the capacitance of the RIE stopper film has come to influence the inter-wiring capacitance or RC delay time of the entire device as the element size is reduced. In DRAMs of the 0.18 micron generation and later, the capacitance of the RIE stopper film is increased. Has appeared as a delay in the operating speed of the device.

また、このようなRIEストッパー膜としてのSiN膜の使用はビット線容量の増大につながり、これを補うために大きな容量のキャパシターを作る必要があり、素子特性上不利になっていた。   Further, the use of such a SiN film as an RIE stopper film leads to an increase in bit line capacitance, and it is necessary to make a capacitor with a large capacity to compensate for this, which is disadvantageous in terms of device characteristics.

更に、製造プロセスの点から、RIEストッパー膜としてSiN膜を使用した場合、BPSG膜、TEOS膜等の酸化膜に開口をエッチングにより形成した後に、RIEのガス条件をSiN膜をエッチングできる条件に切り替えて行うことが必要である。   Furthermore, from the viewpoint of the manufacturing process, when an SiN film is used as the RIE stopper film, the RIE gas condition is switched to a condition that allows the SiN film to be etched after an opening is formed in an oxide film such as a BPSG film or a TEOS film. Need to be done.

しかし、この場合、開口部のアスペクト比が大きく、開口径が小さいために、開口部底面のSiN膜に対するRIE時の面内均一性が充分に取れないこと、SiN膜の残さが底部に残りやすいこと、そしてシリコン基板を直接RIEにさらすために基板ダメージが懸念され、充分な過剰エッチングができず、SiN膜が残りコンタクト不良が起きてしまう懸念があること、などの問題があった。   However, in this case, since the aspect ratio of the opening is large and the opening diameter is small, in-plane uniformity at the time of RIE with respect to the SiN film on the bottom of the opening cannot be sufficiently obtained, and the residue of the SiN film tends to remain on the bottom. In addition, since the silicon substrate is directly exposed to RIE, there is a concern that the substrate may be damaged, there is a concern that sufficient over-etching cannot be performed, the SiN film remains, and a contact failure may occur.

更に、次工程では、コンタクト部分の自然酸化膜を除去するための希弗酸処理を行うが、780℃でジクロルシラン(DCS)を原料として成膜したDCS-SiN膜は希弗酸(1/200)によるエッチングレートが0.2(nm/min)程度で、自然酸化膜の1(nm/min)程度のエッチングレートよりも遅く、上記の自然酸化膜が希弗酸工程では除去できないという問題があった。   Further, in the next step, dilute hydrofluoric acid treatment for removing the natural oxide film at the contact portion is performed. However, the DCS-SiN film formed using dichlorosilane (DCS) as a raw material at 780 ° C. is diluted with hydrofluoric acid (1/200). ) Is about 0.2 (nm / min), slower than the etching rate of about 1 (nm / min) of the natural oxide film, and the above-mentioned natural oxide film cannot be removed by the dilute hydrofluoric acid process. there were.

一方、ロジックデバイスにおいては高速の処理速度が必要であるために、いわゆるRC遅延時間を低減すること、つまり配線間の容量および配線抵抗を低減することが必要になっている。配線の抵抗を下げるために、金属配線として銅(Cu)配線を使用することが検討されている。Cu配線を使用するためにはCu配線の酸化およびCu配線中のCuの拡散を防止するバリア層が必要になる。このバリア層の一つとして現在SiN膜が検討されている。   On the other hand, since a high processing speed is required in a logic device, it is necessary to reduce so-called RC delay time, that is, to reduce capacitance between wirings and wiring resistance. In order to reduce the resistance of the wiring, the use of a copper (Cu) wiring as a metal wiring has been studied. In order to use the Cu wiring, a barrier layer that prevents oxidation of the Cu wiring and diffusion of Cu in the Cu wiring is necessary. Currently, an SiN film is being studied as one of the barrier layers.

図40にCu配線上にSiN膜をバリア層として成膜した構造の一例を示した。図中、701はTEOS酸化膜、702はTaN膜、703はCu配線、704はSiN膜を示している。ここで、Cu配線技術を用いる場合でも、配線間のRC成分低減のため配線間が狭ピッチの部分には一部にAl配線が用いられている。そのため、後工程で成膜されるSiN膜704は、Alのリフロー温度である450℃を超えない温度での成膜が必要になる。また、配線形成時には既に形成済みの層間絶縁膜には誘電率低減のため(f)SG(弗素添加シリケートガラス)などの低誘電膜(通常low−k膜と称する)が用いられているが、これらの膜は400℃以下の低温で形成されているために、450℃以上ではクラックが発生してしまうことがある。これらのことから、SiN膜704の成膜は450℃以下の低温で行う必要が有り、通常は低温での成膜が容易なプラズマCVDによって行っている。   FIG. 40 shows an example of a structure in which a SiN film is formed as a barrier layer on a Cu wiring. In the figure, 701 is a TEOS oxide film, 702 is a TaN film, 703 is a Cu wiring, and 704 is a SiN film. Here, even in the case of using the Cu wiring technology, Al wiring is partially used in a portion where the pitch between the wirings is narrow in order to reduce the RC component between the wirings. Therefore, the SiN film 704 to be formed in a later step needs to be formed at a temperature not exceeding 450 ° C. which is the Al reflow temperature. In addition, a low dielectric film (usually referred to as a low-k film) such as (f) SG (fluorine-added silicate glass) is used for the interlayer insulating film already formed at the time of wiring formation in order to reduce the dielectric constant. Since these films are formed at a low temperature of 400 ° C. or lower, cracks may occur at 450 ° C. or higher. For these reasons, the SiN film 704 needs to be formed at a low temperature of 450 ° C. or lower, and is usually performed by plasma CVD, which can be easily formed at a low temperature.

半導体装置では、素子の微細化に伴い、STI構造おける素子分離溝やゲート電極間の凹部等のアスペクト比が大きくなってきている。このようなアスペクト比の増大に伴い、いわゆる“す”を作らずに、凹部内にシリコン酸化膜等の絶縁膜を埋め込むことがしだいに難しくなってきている。   In semiconductor devices, with the miniaturization of elements, the aspect ratio of element isolation trenches and recesses between gate electrodes in the STI structure is increasing. With such an increase in aspect ratio, it has become increasingly difficult to embed an insulating film such as a silicon oxide film in a recess without creating a so-called “soot”.

そのため、HDP(High-Density Plasma)−CVD法やTEOS−O3系のCVD法等の使用が試みられている。しかしながら、前者の方法では、下地へのプラズマダメージの問題、膜質が不均一になる問題、スループットが低いという問題等がある。また、後者の方法では、成膜後に膜質を改善するために高温処理が必要になるといった問題がある。 Therefore, use of HDP (High-Density Plasma) -CVD method, TEOS-O 3 -based CVD method or the like has been attempted. However, the former method has a problem of plasma damage to the base, a problem of non-uniform film quality, and a problem of low throughput. Further, the latter method has a problem that high-temperature treatment is required to improve film quality after film formation.

上述の如く、配線溝を埋め込むためのシリコン窒化膜の成膜方法として、Si原料としてジクロロシランを用いたLPCVD法が提案されている。   As described above, an LPCVD method using dichlorosilane as a Si raw material has been proposed as a method for forming a silicon nitride film for embedding a wiring trench.

しかしながら、この方法で形成されたシリコン窒化膜(DCS-SiN膜)に対する層間絶縁膜(SiO2 膜)のCMPによる研磨速度が30程度であるため、配線溝外部の余剰なDCS-SiN膜をCMPによって除去する工程で、DCS-SiN膜が過剰研磨され、その結果として埋込み配線と下部キャパシタ電極との間のリーク電流が増加するなどの問題があった。 However, since the polishing rate by CMP of the interlayer insulating film (SiO 2 film) with respect to the silicon nitride film (DCS-SiN film) formed by this method is about 30, the excess DCS-SiN film outside the wiring trench is removed by CMP. In the step of removing by this, there is a problem that the DCS-SiN film is excessively polished, resulting in an increase in leakage current between the buried wiring and the lower capacitor electrode.

本発明の第1の目的は、上記事情を考慮してなされたもので、被覆率としては従来と変わることなく、かつシリコン酸化膜との間で選択比の取れるシリコン窒化膜を有する半導体装置およびその製造方法を提供することにある。   A first object of the present invention has been made in consideration of the above circumstances, and a semiconductor device having a silicon nitride film in which a covering ratio is not different from that of a conventional one and a selection ratio can be obtained with respect to a silicon oxide film, and It is in providing the manufacturing method.

また、上述の如く、RIEストッパ膜としてのDCS−SiN膜は、被覆率、エッチング選択比の点では良かったが、自然酸化膜除去の希弗酸工程において完全に除去できる程度に希弗酸に対するエッチングレートが大きくなく、また配線間容量の低減の観点からは誘電率が比較的大きくという問題があった。   Further, as described above, the DCS-SiN film as the RIE stopper film was good in terms of the coverage ratio and the etching selection ratio. There is a problem that the etching rate is not large and the dielectric constant is relatively large from the viewpoint of reducing the capacitance between the wirings.

本発明の第2の目的は、上記事情を考慮してなされたものであり、被覆率およびエッチング選択比として従来と変わることなく、誘電率が低く、かつ希弗酸に対するエッチングレートが大きい、シリコン酸化膜のエッチング時に用いるエッチングストッパ膜として用いられるシリコン窒化膜を有する半導体装置およびその製造方法を提供することにある。   The second object of the present invention has been made in consideration of the above circumstances, and has a low dielectric constant and a high etching rate with respect to dilute hydrofluoric acid, without changing the coverage and etching selectivity. An object of the present invention is to provide a semiconductor device having a silicon nitride film used as an etching stopper film used for etching an oxide film and a method for manufacturing the same.

また、Cu配線のバリア膜としての、プラズマCVDによりシラン(SiH4 )とアンモニア(NH3 )を原料として成膜したSiN膜(プラズマSiN膜)は、誘電率が7程度と比較的大きい。また、370℃で成膜したプラズマSiN膜、Cu電極を用い、100℃、1(MV/cm)での高温バイアス試験を実施したところ、絶縁耐圧維持に必要な、Cuに対するSiN拡散・酸化バリア層の厚さは100nm程度であることが分かった。しかしながら、配線部分にこのように誘電率の大きなSiN膜を100nmの厚みで使用すると、配線間容量が著しく増大し、素子特性を損なってしまう。 In addition, a SiN film (plasma SiN film) formed using silane (SiH 4 ) and ammonia (NH 3 ) as raw materials by plasma CVD as a barrier film for Cu wiring has a relatively large dielectric constant of about 7. In addition, when a high temperature bias test was performed at 100 ° C. and 1 (MV / cm) using a plasma SiN film and Cu electrode formed at 370 ° C., an SiN diffusion / oxidation barrier against Cu required for maintaining a dielectric strength It was found that the thickness of the layer was about 100 nm. However, when such a SiN film having a large dielectric constant is used in the wiring portion with a thickness of 100 nm, the capacitance between the wirings is remarkably increased and the device characteristics are impaired.

本発明の第3の目的は、上記事情を考慮してなされたものであり、誘電率が低く、かつCuのバリア膜として用いられるシリコン窒化膜を有する半導体装置およびその製造方法を提供することにある。   The third object of the present invention is to provide a semiconductor device having a low dielectric constant and a silicon nitride film used as a Cu barrier film, and a method for manufacturing the same, in view of the above circumstances. is there.

また、上述のように、素子の微細化に伴い、高アスペクト比を有する凹部に埋め込み特性や膜特性に優れたシリコン酸化膜を形成することが困難になってきている。   Further, as described above, with the miniaturization of elements, it has become difficult to form a silicon oxide film excellent in embedding characteristics and film characteristics in a recess having a high aspect ratio.

本発明の第4の目的は、埋め込み特性や膜特性に優れたシリコン酸化膜を高アスペクト比を有する凹部に形成することが可能な半導体装置およびその製造方法を提供することにある。   A fourth object of the present invention is to provide a semiconductor device capable of forming a silicon oxide film excellent in embedding characteristics and film characteristics in a recess having a high aspect ratio, and a method for manufacturing the same.

本発明に係る半導体装置の製造方法は、半導体基板の主表面上に層間絶縁膜を形成する工程と、前記層間絶縁膜に前記半導体基板の主表面に達するコンタクトホールを形成する工程と、前記コンタクトホールの側壁にシリコン窒化膜を形成する工程と、前記側壁にシリコン窒化膜が形成されたコンタクトホール内にTi層およびTiN層を有するバリアメタル層を形成する工程と、前記バリアメタル層が形成されたコンタクトホール内に導電層を形成する工程と、Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度で、前記コンタクトホール内部を埋め込むように、前記コンタクトホール内の導電層上に塩素を含有するシリコン窒化膜をLPCVD法により形成する工程と、CMPにより前記コンタクトホール外の部分の前記シリコン窒化膜を除去する工程とを備えたことを特徴とする。
本発明に係る半導体装置の製造方法は、半導体基板上にゲート絶縁膜を介して形成されたゲート電極の側壁に側壁絶縁膜を形成する工程と、前記半導体基板上、前記側壁絶縁膜上および前記ゲート電極上にわたり、Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度でLPCVD法によりシリコン窒化膜を形成する工程と、前記シリコン窒化膜上に層間絶縁膜を形成する工程と、前記ゲート電極脇の前記層間絶縁膜に前記シリコン窒化膜に達するコンタクトホールを形成する工程と、前記コンタクトホール形成により露出した前記シリコン窒化膜を除去する工程とを備えたことを特徴とする。
The method of manufacturing a semiconductor device according to the present invention includes a step of forming an interlayer insulating film on a main surface of a semiconductor substrate, a step of forming a contact hole reaching the main surface of the semiconductor substrate in the interlayer insulating film, and the contact Forming a silicon nitride film on the sidewall of the hole ; forming a barrier metal layer having a Ti layer and a TiN layer in the contact hole having the silicon nitride film formed on the sidewall; and forming the barrier metal layer. Forming a conductive layer in the contact hole, and using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) , at a film forming temperature of 700 ° C. or less, the inside of the contact hole Forming a silicon nitride film containing chlorine on the conductive layer in the contact hole by an LPCVD method, and CMP Characterized by comprising a step of removing the silicon nitride film Kutohoru outside parts.
A method of manufacturing a semiconductor device according to the present invention includes a step of forming a sidewall insulating film on a sidewall of a gate electrode formed on a semiconductor substrate via a gate insulating film, the semiconductor substrate, the sidewall insulating film, and the Forming a silicon nitride film by LPCVD at a film forming temperature of 700 ° C. or lower using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) over the gate electrode; Forming an interlayer insulating film on the silicon nitride film; forming a contact hole reaching the silicon nitride film in the interlayer insulating film beside the gate electrode; and exposing the silicon nitride film exposed by the contact hole formation. And a removing step.

本発明に係る半導体装置の製造方法は、半導体基板上に、Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度で形成された第1のシリコン窒化膜を有するダミーゲートを形成する工程と、前記ダミーゲートをマスクに前記半導体基板の表面に第1の拡散層を形成する工程と、前記ダミーゲートの側壁にジクロロシランを用いて第2のシリコン窒化膜を形成する工程と、前記ダミーゲートおよび前記第2のシリコン窒化膜をマスクに前記半導体基板の表面に第2の拡散層を形成する工程と、前記第2の拡散層が形成された半導体基板上および前記ダミーゲート上に層間絶縁膜を形成する工程と、前記第1のシリコン窒化膜をストッパに前記層間絶縁膜を平坦化する工程と、前記平坦化工程により露出した前記ダミーゲートを除去する工程と、前記ダミーゲートの除去により露出した半導体基板上にゲート酸化膜を形成する工程と、前記ゲート酸化膜上にメタル膜を形成する工程とを備えたことを特徴とする。 The method of manufacturing a semiconductor device according to the present invention is formed on a semiconductor substrate at a film forming temperature of 700 ° C. or lower using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) . Forming a dummy gate having a first silicon nitride film, forming a first diffusion layer on the surface of the semiconductor substrate using the dummy gate as a mask, and using dichlorosilane on a side wall of the dummy gate. Forming a second silicon nitride film, forming a second diffusion layer on the surface of the semiconductor substrate using the dummy gate and the second silicon nitride film as a mask, and the second diffusion layer. Forming an interlayer insulating film on the semiconductor substrate on which the semiconductor layer is formed and the dummy gate; planarizing the interlayer insulating film using the first silicon nitride film as a stopper; and exposing by the planarizing process A step of removing the dummy gate, a step of forming a gate oxide film on the semiconductor substrate exposed by the removal of the dummy gate, and a step of forming a metal film on the gate oxide film. And

本発明のより具体的な構成は以下の通りである。   A more specific configuration of the present invention is as follows.

(1)シリコン窒化膜はシリコン過剰である。 (1) The silicon nitride film is excessive in silicon.

(2)窒素/シリコンの比が1.33より小さいシリコン過剰である。 (2) Excess silicon with a nitrogen / silicon ratio of less than 1.33.

(3)シリコン窒化膜は溝の内部に形成されている。この溝のアスペクト比は、1以上の高アスペクト比である。 (3) The silicon nitride film is formed inside the trench. The aspect ratio of the groove is a high aspect ratio of 1 or more.

(4)Si原料としてSi−Si結合およびSi−Cl結合を含む化合物を用いたLPCVD法により、塩素濃度が4×1020cm-3以上のシリコン窒化膜を形成する。 (4) A silicon nitride film having a chlorine concentration of 4 × 10 20 cm −3 or more is formed by LPCVD using a compound containing Si—Si bonds and Si—Cl bonds as a Si raw material.

具体的には、Si原料として、Sin Cl2n+2(nは2以上の自然数)なる化合物を用いる。より具体的には、Si2 Cl6 を用いる。また、窒素原料としてはNH3 を用いる。 Specifically, a compound of Si n Cl 2n + 2 (n is a natural number of 2 or more) is used as the Si raw material. More specifically, Si 2 Cl 6 is used. Further, NH 3 is used as a nitrogen source.

(5)バリアメタル膜としてTi膜とTiN膜との積層膜を用い、シリコン窒化膜の成膜温度を700℃以下に設定する。また、配線溝のアスペクト比は、1以上の高アスペクト比である。 (5) A laminated film of a Ti film and a TiN film is used as the barrier metal film, and the deposition temperature of the silicon nitride film is set to 700 ° C. or lower. Further, the aspect ratio of the wiring groove is a high aspect ratio of 1 or more.

本発明者らの研究によれば、LPCVD法を用いたシリコン窒化膜の成膜方法において、Si原料としてSi2 Cl6 等のようにSi−Si結合およびSi−Cl結合を含む化合物を用いれば、シリコン酸化膜との間で研磨やエッチングに関して選択比の取れるシリコン窒化膜を実現できることが分かった。また、被覆率は、被覆性の良い成膜方法であるLPCVD法を用いているので従来と変わない。 According to the study by the present inventors, in a method of forming a silicon nitride film using the LPCVD method, a compound containing Si—Si bonds and Si—Cl bonds such as Si 2 Cl 6 is used as a Si raw material. It was found that a silicon nitride film having a selective ratio with respect to polishing and etching with the silicon oxide film can be realized. Further, the coverage is not different from the conventional one because the LPCVD method which is a film forming method with good coverage is used.

また、この種のSi原料を用いた場合には、700℃以下の低温の成膜温度でも、シリコン窒化膜の成膜速度を確保できることが分かった。したがって、バリアメタル膜として、Ti/TiN膜を使用することができるようになる。また、このようなSi原料、成膜温度でもって形成したシリコン窒化膜の塩素濃度は4×1020cm-3以上であった。 Further, it has been found that when this type of Si raw material is used, the deposition rate of the silicon nitride film can be secured even at a low deposition temperature of 700 ° C. or lower. Therefore, a Ti / TiN film can be used as the barrier metal film. Further, the chlorine concentration of the silicon nitride film formed with such a Si raw material and the film forming temperature was 4 × 10 20 cm −3 or more.

また、成膜温度を600℃以下にすれば、シリコン過剰のシリコン窒化膜を形成できる。この種のシリコン窒化膜は密度が低く、シリコン酸化膜に対してより研磨速度が速くなる。   Further, if the film formation temperature is 600 ° C. or less, a silicon-excess silicon nitride film can be formed. This type of silicon nitride film has a low density and a higher polishing rate than the silicon oxide film.

上記第2および第3の目的を達成するために、本発明に係る半導体装置は、エッチングストッパ膜またはバリア膜として、塩素濃度が1×1021cm-3以上であるシリコン窒化膜を用いたことを特徴とする。 In order to achieve the second and third objects, the semiconductor device according to the present invention uses a silicon nitride film having a chlorine concentration of 1 × 10 21 cm −3 or more as an etching stopper film or a barrier film. It is characterized by.

LPCVD法を用いたシリコン窒化膜の成膜方法において、Si原料としてSi2 Cl6 等のようにSi−Si結合およびSi−Cl結合を含む化合物を用いれば、シリコン酸化膜との間でエッチングに関して選択比の取れるシリコン窒化膜を実現できることが分かった。 In the method of forming a silicon nitride film using the LPCVD method, if a compound containing Si—Si bond and Si—Cl bond, such as Si 2 Cl 6 , is used as the Si raw material, etching with the silicon oxide film is performed. It was found that a silicon nitride film having a high selectivity can be realized.

このようなSi原料を用いて形成したシリコン窒化膜の塩素濃度は1×1021cm-3以上であった。また、被覆率は、被覆性の良い成膜方法であるLPCVD法を用いているので従来と変わない。さらに、この種のSi原料を用いた場合、シリコン窒化膜の誘電率を小さくでき、シリコン窒化膜の希弗酸に対するエッチングレートを大きくでき、そしてCuに対するバリア性を高くできることが分かった。この点については、さらに実施形態の項で詳述する。 The chlorine concentration of the silicon nitride film formed using such Si raw material was 1 × 10 21 cm −3 or more. Further, the coverage is not different from the conventional one because the LPCVD method which is a film forming method with good coverage is used. Further, it has been found that when this type of Si raw material is used, the dielectric constant of the silicon nitride film can be reduced, the etching rate of the silicon nitride film against dilute hydrofluoric acid can be increased, and the barrier property against Cu can be increased. This point will be further described in the section of the embodiment.

以上詳説したように本発明によれば、Si原料としてSi−Si結合およびSi−Cl結合を含む化合物、成膜方法としてLPCVD法を用いることで、シリコン酸化膜との間で選択比の取れる、塩素濃度が4×1020cm-3以上であるシリコン窒化膜を実現できるようになる。 As described above in detail, according to the present invention, a compound containing Si—Si bond and Si—Cl bond as a Si raw material, and a LPCVD method as a film formation method can be used to obtain a selectivity with respect to a silicon oxide film. A silicon nitride film having a chlorine concentration of 4 × 10 20 cm −3 or more can be realized.

また、塩素濃度が1×1021cm-3以上であれば、被覆率およびエッチング選択比として従来と変わることなく、誘電率が低く、かつ希弗酸に対するエッチングレートが大きい、シリコン酸化膜のエッチング時に用いるエッチングストッパ膜として用いられ、さらにCuのバリア膜として用いられるシリコン窒化膜を実現できるようになる。 Further, when the chlorine concentration is 1 × 10 21 cm −3 or more, the silicon oxide film etching with a low dielectric constant and a high etching rate with respect to dilute hydrofluoric acid is not changed as the coverage and etching selectivity. It becomes possible to realize a silicon nitride film that is used as an etching stopper film that is sometimes used and also used as a Cu barrier film.

以下、図面を参照しながら本発明の実施形態を説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(第1の実施形態)
図1および図2は、本発明の第1の実施形態に係る半導体装置の製造方法を示す工程断面図である。これらの図は、DRAMセルのMOSトランジスタをチャネル長方向と垂直な方向で切断した断面を示している。
(First embodiment)
1 and 2 are process cross-sectional views illustrating a method of manufacturing a semiconductor device according to the first embodiment of the present invention. These drawings show a cross section of a MOS transistor of a DRAM cell cut in a direction perpendicular to the channel length direction.

まず、図1(a)に示すように、周知の方法により、シリコン基板101にn型ドレイン拡散層102等を形成してMOSトランジスタを完成させ、続いて層間絶縁膜(SiO2 膜)103を全面に形成する。 First, as shown in FIG. 1A, an n-type drain diffusion layer 102 and the like are formed on a silicon substrate 101 by a known method to complete a MOS transistor, and then an interlayer insulating film (SiO 2 film) 103 is formed. Form on the entire surface.

次に図1(b)に示すように、層間絶縁膜103にn型ドレイン拡散層102に対してのコンタクトホール104およびこのコンタクトホール104を介してn型ドレイン拡散層102に繋がる配線溝5を形成し、続いてシリコン窒化膜106を全面に形成する。   Next, as shown in FIG. 1B, the interlayer insulating film 103 has a contact hole 104 for the n-type drain diffusion layer 102 and a wiring groove 5 connected to the n-type drain diffusion layer 102 through the contact hole 104. Then, a silicon nitride film 106 is formed on the entire surface.

次に図1(c)に示すように、コンタクトホール104および配線層105の側壁以外のシリコン窒化膜106をRIEによって除去し、続いてイオン注入によりコンタクトホール104の底面の基板表面にTi層107を形成した後、CVD法によりTiN膜108を全面に形成する。   Next, as shown in FIG. 1C, the silicon nitride film 106 other than the sidewalls of the contact hole 104 and the wiring layer 105 is removed by RIE, and subsequently, a Ti layer 107 is formed on the substrate surface at the bottom of the contact hole 104 by ion implantation. Then, a TiN film 108 is formed on the entire surface by CVD.

次に図2(d)に示すように、タングステン(W)の選択成長により、コンタクトホール104の底面から配線溝105の途中の深さまでの部分を充填するW埋込み配線109を形成する。配線溝105のうちW埋め込み配線109で埋め込まれていない部分(以下、単に溝という)の深さは150nm、幅は150nmであり、したがって溝のアスペクト比は1である。   Next, as shown in FIG. 2D, a W buried wiring 109 that fills a portion from the bottom surface of the contact hole 104 to a depth in the middle of the wiring groove 105 is formed by selective growth of tungsten (W). A portion of the wiring trench 105 that is not buried with the W-embedded wiring 109 (hereinafter simply referred to as a trench) has a depth of 150 nm and a width of 150 nm. Therefore, the aspect ratio of the trench is 1.

このような構造は、全面にW埋込み配線109としてのタングステン膜を全面に形成し、次いでコンタクトホール104および配線溝105の外部の余剰なタングステン膜をCMPで除去し、次いで層間絶縁膜(SiO2 膜)を全面に形成し、そして配線溝105上に配線溝を形成することによっても得ることができる。 In such a structure, a tungsten film as the W buried wiring 109 is formed on the entire surface, and then the excess tungsten film outside the contact hole 104 and the wiring trench 105 is removed by CMP, and then an interlayer insulating film (SiO 2). The film can also be obtained by forming a wiring groove on the wiring groove 105.

コンタクトホール104の底面に形成されたTi層107およびTiN膜108は、後工程の熱工程によってドレイン拡散層102とW埋込み配線109との反応を防ぐためのバリアメタル膜として働く。   The Ti layer 107 and the TiN film 108 formed on the bottom surface of the contact hole 104 function as a barrier metal film for preventing a reaction between the drain diffusion layer 102 and the W buried wiring 109 in a subsequent thermal process.

Ti層107およびTiN膜108は、耐熱性の問題から700℃以上の高温で長時間の熱処理が施されると、その機能を保つことができない。そのため、シリコン窒化膜106の成膜条件を700℃未満の成膜温度にすることが必要である。   The Ti layer 107 and the TiN film 108 cannot maintain their functions when subjected to heat treatment at a high temperature of 700 ° C. or higher for a long time due to heat resistance. Therefore, it is necessary to set the silicon nitride film 106 to have a film forming temperature of less than 700 ° C.

シリコン窒化膜106はLPCVD法を用いて形成する。その理由は、プラズマを用いたCVD法は被覆率が悪いために、配線溝105のアスペクト比が1以上になると、図41に示すように、配線溝105の中央に隙間が残り、絶縁性を確保できなくなるからである。他の理由は、プラズマを用いたCVD法で形成したシリコン窒化膜は、シリコンのRIE条件においてエッチング耐性が無く、マスクとしての機能を果たさないからである。   The silicon nitride film 106 is formed using the LPCVD method. The reason is that the CVD method using plasma has a low coverage, so when the aspect ratio of the wiring groove 105 is 1 or more, a gap remains in the center of the wiring groove 105 as shown in FIG. This is because it cannot be secured. Another reason is that the silicon nitride film formed by the CVD method using plasma has no etching resistance under the silicon RIE condition and does not function as a mask.

なお、LPCVD法の場合でも、原料ガスとしてシランとアンモニアとの混合ガスを用いた場合には被覆性が悪く、しかもウェハ面内での均一性も悪いという問題がある。一方、ジクロロシランもしくはテトラクロロシランのように水素が塩素で置換されたSi原料を用いる場合には被覆性が良く、アスペクト比が20程度でも被覆率を100%にすることができる。しかし、この種の原料ガスを用いたLPCVD法には、従来技術で説明した問題がある。   Even in the case of the LPCVD method, when a mixed gas of silane and ammonia is used as the source gas, there is a problem that the coverage is poor and the uniformity within the wafer surface is also poor. On the other hand, when a Si raw material in which hydrogen is replaced with chlorine, such as dichlorosilane or tetrachlorosilane, is used, the coverage is good, and the coverage can be 100% even when the aspect ratio is about 20. However, the LPCVD method using this type of source gas has the problems described in the prior art.

次に図2(e)に示すように、ウエットエッチングによりW埋込み配線109よりも上の部分のTiN膜108を除去した後、Si2 Cl6 (ヘキサクロロジシラン:HCD)とNH3 との混合ガスを用いたLPCVD法により、溝の内部を埋め込むようにキャップ絶縁膜としてのシリコン窒化膜(HCD−SiN膜)110を全面に形成する。 Next, as shown in FIG. 2E, after the TiN film 108 above the W buried wiring 109 is removed by wet etching, a mixed gas of Si 2 Cl 6 (hexachlorodisilane: HCD) and NH 3 is used. A silicon nitride film (HCD-SiN film) 110 as a cap insulating film is formed on the entire surface so as to fill the inside of the trench by LPCVD using the above.

ここで、成膜温度は650℃、反応炉内圧は0.5Torr、流量比はNH3 /Si2 Cl6 =2000sccm/20sccmである。この成膜条件での成膜速度は2.7nm/minである。 Here, the film forming temperature is 650 ° C., the reactor internal pressure is 0.5 Torr, and the flow rate ratio is NH 3 / Si 2 Cl 6 = 2000 sccm / 20 sccm. The film forming speed under these film forming conditions is 2.7 nm / min.

最後に、図2(f)に示すように、溝外部の余剰なHCD−SiN膜110をCMPにより除去して表面を平坦化した後、周知の方法にしたがって図示しない下部キャパシタ電極、キャパシタ絶縁膜および上部キャパシタ電極を形成して、DRAMメモリセルが完成する。   Finally, as shown in FIG. 2F, after removing excess HCD-SiN film 110 outside the trench by CMP and planarizing the surface, a lower capacitor electrode and a capacitor insulating film (not shown) are formed according to a well-known method. The upper capacitor electrode is formed to complete the DRAM memory cell.

キャパシタ絶縁膜としてはBax Sr1-x TiO3 等の高誘電率の金属酸化物からなる絶縁膜、下部および上部キャパシタ電極としては酸化されても金属導電性を示すSrRuO3 等の金属酸化物からなる導電膜を使用すると良い。また、キャパシタ絶縁膜と上部および下部キャパシタ電極とは、同じ結晶構造、例えばペロブスカイト構造であることが好ましい。 The capacitor insulating film is an insulating film made of a metal oxide having a high dielectric constant such as Ba x Sr 1-x TiO 3 , and the lower and upper capacitor electrodes are metal oxides such as SrRuO 3 that exhibit metal conductivity even when oxidized. A conductive film made of may be used. The capacitor insulating film and the upper and lower capacitor electrodes preferably have the same crystal structure, for example, a perovskite structure.

また、本工程のCMPでは、スラリーとしては小粒径シリカと燐酸2.5wt%と水からなるものを用い、研磨パッド荷重は200g重とする。   In the CMP in this step, the slurry is made of silica having a small particle diameter, 2.5 wt% phosphoric acid and water, and the polishing pad load is 200 g weight.

CMP後のウェハ面内9点平均の膜厚測定結果より、研磨速度は従来のシリコン窒化膜であるDCS−SiN膜が約60nm/minであるのに対して、HCD−SiN膜10では約90nm/minに増加する。すなわち、本実施形態によれば、選択比(シリコン窒化膜の研磨速度/シリコン酸化膜の研磨速度)を従来の30から45に増加させるとができる。   From the film thickness measurement result of the average of 9 points in the wafer surface after CMP, the polishing rate is about 60 nm / min for the DCS-SiN film, which is a conventional silicon nitride film, but about 90 nm for the HCD-SiN film 10. / Min. That is, according to the present embodiment, the selection ratio (silicon nitride film polishing rate / silicon oxide film polishing rate) can be increased from the conventional 30 to 45.

このように選択比を大きくとれることから、CMPによるHCD−SiN膜110の研磨は層間絶縁膜103で停止し、層間絶縁膜3が多少除去されることはあってもW埋込み配線109が露出するという過剰研磨が起こらない。したがって、設計値通りの埋め込み形状および平坦性の高い加工を実現できるようになる。   Since the selection ratio can be increased in this way, polishing of the HCD-SiN film 110 by CMP stops at the interlayer insulating film 103, and the W buried wiring 109 is exposed even though the interlayer insulating film 3 is somewhat removed. This does not cause excessive polishing. Therefore, it becomes possible to realize a buried shape and high flatness processing as designed.

また、本実施形態によれば、従来のDCS−SiN膜と同等の高い被覆率を得ることができる。その理由は、成膜方法としてLPCVD法を用いているため、配線溝106の内部を均質に埋め込むことができることと、本実施形態でSi原料として使用しているSi2 Cl6 (ジシランの塩素化物)等の塩素化物の反応中間体は、その吸着確率が完全な水素化物に比べて小さくなっていることの2つが考えられる。 Further, according to the present embodiment, a high coverage equivalent to that of the conventional DCS-SiN film can be obtained. The reason is that the LPCVD method is used as the film forming method, so that the inside of the wiring trench 106 can be filled uniformly, and the Si 2 Cl 6 (chlorine of disilane) used as the Si raw material in this embodiment. Two of the reaction intermediates of chlorinated compounds such as) are considered to have a smaller adsorption probability than a complete hydride.

図3に、Si原料としてSi2 Cl6 を用いたLPCVD法により形成したシリコン窒化膜(HCD−SiN膜)中の塩素濃度の成膜温度依存性を示す。また、図には示していないが、Si原料としてジクロロシランを用い、成膜温度700℃でLPCVD法により形成したシリコン窒化膜(DCS−SiN膜)中の塩素濃度は8×1019であった。塩素濃度は2次イオン質量分析(SIMS)により求めた値である。 FIG. 3 shows the film formation temperature dependence of the chlorine concentration in a silicon nitride film (HCD-SiN film) formed by LPCVD using Si 2 Cl 6 as a Si raw material. Although not shown in the figure, the chlorine concentration in the silicon nitride film (DCS-SiN film) formed by LPCVD using a dichlorosilane as a Si raw material at a film forming temperature of 700 ° C. was 8 × 10 19 . . The chlorine concentration is a value determined by secondary ion mass spectrometry (SIMS).

本実施形態では、成膜温度が650℃の場合について述べたが、Si原料としてSi2 Cl6 を用いる場合には、図3から1000/T=1.1程度以上で塩素濃度が直線的に低下することから、成膜温度を800℃以下にすれば、従来のSi原料であるジクロロシランを用いた場合よりも、塩素濃度の高いシリコン窒化膜110を形成することが可能であると考えられる。 In this embodiment, the case where the film forming temperature is 650 ° C. has been described. However, when Si 2 Cl 6 is used as the Si raw material, the chlorine concentration is linearly about 1000 / T = 1.1 or more from FIG. Therefore, if the film formation temperature is set to 800 ° C. or lower, it is considered that the silicon nitride film 110 having a higher chlorine concentration can be formed than when dichlorosilane, which is a conventional Si raw material, is used. .

ただし、本実施形態のように、埋込み配線部分にシリコン窒化膜110を形成する場合には、700℃よりも高い温度ではTi膜107、TiN膜108の耐熱性が持たないため、700℃以下で成膜することが望ましい。   However, when the silicon nitride film 110 is formed in the buried wiring portion as in the present embodiment, the heat resistance of the Ti film 107 and the TiN film 108 is not high at a temperature higher than 700 ° C. It is desirable to form a film.

HCD−SiN膜がDCS−SiN膜に比べてCl濃度が高い理由としては、次の二つが主な理由として考えられる。第1の理由は、HCD−SiN膜の方がDCS−SiN膜に比べて成膜速度が速いため、同じ温度で同じ膜厚の条件であれば、短い成膜時間で済み、その結果として成膜中に膜中から失われるCl量が少なくて済むからである。HCD−SiN膜とDCS−SiN膜とで成膜速度が異なるのは、Si−Si結合の解離が成膜に有利に働いているためであると考えられる。   There are two main reasons why the HCD-SiN film has a higher Cl concentration than the DCS-SiN film. The first reason is that the HCD-SiN film has a higher film formation speed than the DCS-SiN film, and therefore, a shorter film formation time is required under the same temperature and the same film thickness conditions. This is because less Cl is lost from the film. The reason why the film formation speed differs between the HCD-SiN film and the DCS-SiN film is considered to be because the dissociation of the Si-Si bond works favorably in the film formation.

結合エネルギーから大雑把に議論すると、Si−Cl結合は4.16eVとHCD+NH3 系を用いた場合に考えられる結合種の中で最も高エネルギーであるため、仮に成膜時にDCS−SiN膜およびHCD−SiN膜の表面にそれぞれ同数のCl原子が吸着していたとすると、切れにくいSi−Cl結合は成膜速度の速いHCD−SiN膜中においてより多く含まれることになる。 When roughly discussing the bond energy, the Si—Cl bond is the highest energy among the bond types conceivable when the 4.16 eV and HCD + NH 3 system is used. Therefore, it is assumed that the DCS-SiN film and the HCD- If the same number of Cl atoms are adsorbed on the surface of the SiN film, more Si-Cl bonds that are difficult to break are included in the HCD-SiN film having a high film formation rate.

第2の理由は、HCD−SiN膜の方がより低い温度でも成膜できるからである。図3に示したように、成膜温度が低くなるほどCl濃度が高くなり、また450℃未満では成膜速度のより大きな条件(NH3 /HCD=1000/50)でよりCl濃度が高くなっていることが分かる。 The second reason is that the HCD-SiN film can be formed at a lower temperature. As shown in FIG. 3, the Cl concentration increases as the film formation temperature decreases, and the Cl concentration increases under a condition (NH 3 / HCD = 1000/50) where the film formation rate is higher than 450 ° C. I understand that.

図4に、C−V測定により求めたHCD−SiN膜の誘電率と成膜温度との関係を示す。なお、図中、白抜きの□は原料としてアンモニアとHCDを用い、黒塗りの□はこれらの原料にさらに窒素(N2 )を成膜中に流したデータを示している。 FIG. 4 shows the relationship between the dielectric constant of the HCD-SiN film obtained by CV measurement and the film formation temperature. In the figure, white squares indicate data in which ammonia and HCD are used as raw materials, and black squares indicate data in which nitrogen (N 2 ) is further flowed during film formation.

図から、HCD−SiN膜の誘電率は700℃以下の成膜温度において、通常のシリコン窒化(Si3 4 )膜の誘電率(=7.8)よりも低いことが分かる。例えば、黒四角で示した450℃形成のHCD−SiN膜は、図中に点線で示したP−CVD−SiNと比較して20−30%誘電率が低い。黒四角で示した450℃形成のHCD−SiN膜は、550℃乃至700℃で形成した白丸で示したHCD−SiN膜(アンモニア流量(R[SCCM])=100、0.5Torr、誘電率=7.3)と比較して、誘電率が5.4と小さい。また、白四角は1.4Torr、R=100のHCD膜である。また、450℃以下の成膜温度においては、誘電率が6以下と非常に小さい。この値は、プラズマ−シリコン窒化膜(p−SiN膜)の誘電率(=7程度)よりも小さい。誘電率が小さいことから、配線容量を著しく減少させることが可能となり、いわゆる多層配線部分に上記のHCD−SiN膜を絶縁膜として使用する場合には大きな利点になる。また、600℃以上と450℃以下とでは異なる試料を用いたが、同じ試料を用いても同様な結果が得られた。なお、図4は成膜中に窒素を流していないHCD−SiN膜の結果であるが、窒素を流した場合でも誘電率には大差は無い。 From the figure, it can be seen that the dielectric constant of the HCD-SiN film is lower than the dielectric constant (= 7.8) of the normal silicon nitride (Si 3 N 4 ) film at the deposition temperature of 700 ° C. or less. For example, an HCD-SiN film formed at 450 ° C. indicated by a black square has a dielectric constant 20-30% lower than that of P-CVD-SiN indicated by a dotted line in the drawing. The HCD-SiN film formed at 450 ° C. indicated by a black square is an HCD-SiN film (ammonia flow rate (R [SCCM]) = 100, 0.5 Torr, dielectric constant = shown by white circles formed at 550 ° C. to 700 ° C. Compared with 7.3), the dielectric constant is as small as 5.4. A white square is an HCD film of 1.4 Torr and R = 100. Further, at a film forming temperature of 450 ° C. or lower, the dielectric constant is as very small as 6 or lower. This value is smaller than the dielectric constant (about 7) of the plasma-silicon nitride film (p-SiN film). Since the dielectric constant is small, the wiring capacity can be remarkably reduced, which is a great advantage when the above HCD-SiN film is used as an insulating film in a so-called multilayer wiring portion. Further, different samples were used at 600 ° C. or higher and 450 ° C. or lower, but similar results were obtained even when the same sample was used. Note that FIG. 4 shows the result of the HCD-SiN film in which nitrogen is not supplied during film formation, but there is no large difference in dielectric constant even when nitrogen is supplied.

図5に、シリコン窒化膜中の塩素濃度と研磨速度との関係を示す。図から研速度は塩素濃度に比例して速くなることが分かる。その理由は、塩素濃度が高いほど、Si−Nからなるネットワーク中にイオン半径の大きな塩素イオンが多数存在することによって、ネットワークがより乱されるからだと考えられる。すなわち、塩素濃度が高いほど密度の小さいシリコン窒化膜が形成され、その結果としてCMPによる研磨速度が速くなると考えられる。   FIG. 5 shows the relationship between the chlorine concentration in the silicon nitride film and the polishing rate. It can be seen from the figure that the polishing speed increases in proportion to the chlorine concentration. The reason is considered that the higher the chlorine concentration is, the more disturbed the network is due to the presence of a large number of chlorine ions having a large ion radius in the Si-N network. That is, it is considered that the higher the chlorine concentration, the lower the density of the silicon nitride film, and the faster the polishing rate by CMP.

ここでは、CMPでシリコン窒化膜を除去する場合について説明したが、RIEの場合には以下のような結果が得られた。   Although the case where the silicon nitride film is removed by CMP has been described here, the following results were obtained in the case of RIE.

すなわち、図6に示すように、成膜温度700℃で形成したDCS−SiN膜に対し、HCD−SiN膜の方がいずれの成膜温度でもエッチング速度が遅いことが分かった。   That is, as shown in FIG. 6, it was found that the etching rate of the HCD-SiN film was slower at any film formation temperature than the DCS-SiN film formed at a film formation temperature of 700 ° C.

したがって、本実施形態のHCD−SiN膜10は、従来のDCS−SiN膜に比べて、下部キャパシタ電極をn+ 型ソース拡散層2と接続するための接続孔をRIEで層間絶縁膜3に形成する際に使用するマスクとしてより適しているといえる。 Therefore, in the HCD-SiN film 10 of this embodiment, a connection hole for connecting the lower capacitor electrode to the n + -type source diffusion layer 2 is formed in the interlayer insulating film 3 by RIE, as compared with the conventional DCS-SiN film. It can be said that it is more suitable as a mask to be used.

なお、図6(a)はコンタクトホール開口のエッチング条件、図6(b)はテーパ加工のエッチング条件での結果をそれぞれ示している。   6A shows the results under the contact hole opening etching conditions, and FIG. 6B shows the results under the taper etching conditions.

図7に、HCD−SiN膜に対するTEOS酸化膜のRIEの選択比(TEOS酸化膜エッチング速度/HCD−SiN膜エッチング速度)の成膜温度およびアンモニア流量(R[SCCM])の依存性を示す。図には、成膜温度700℃で形成したDCS−SiN膜に対するTEOS酸化膜のRIEの選択比も示してある。ここで、黒丸はDCSを材料として、0.5Torr、アンモニア/DCS流量比(以下Rと略す)を100として、600℃、650℃、700℃で成膜した時のエッチング選択比で、いずれも7程度が得られている。これに対し、黒四角、黒三角は、それぞれHCDを材料とし、1.4Torr、アンモニア/HCD流量比(以下Rと略す)を50,20とした時の選択比で、何れも450℃の低温であるのもかかわらず、選択比6程度が得られることが判明した。また、図から、RIEの場合には、アンモニア流量(R)や成膜温度に関係なく、DCS−SiN膜とほぼ同様の選択比が得られることが分かる。   FIG. 7 shows the dependency of the RIE selection ratio (TEOS oxide film etching rate / HCD-SiN film etching rate) of the TEOS oxide film on the HCD-SiN film on the deposition temperature and ammonia flow rate (R [SCCM]). The drawing also shows the RIE selectivity of the TEOS oxide film to the DCS-SiN film formed at a film formation temperature of 700 ° C. Here, black circles are etching selectivity ratios when films are formed at 600 ° C., 650 ° C., and 700 ° C. with DCS as the material, 0.5 Torr, and the ammonia / DCS flow rate ratio (hereinafter abbreviated as R) as 100. About 7 is obtained. In contrast, black squares and black triangles are selective ratios when HCD is used as the material, 1.4 Torr, and the ammonia / HCD flow rate ratio (hereinafter abbreviated as R) is 50 and 20, both of which are low temperatures of 450 ° C. However, it has been found that a selection ratio of about 6 can be obtained. From the figure, it can be seen that, in the case of RIE, almost the same selection ratio as that of the DCS-SiN film can be obtained regardless of the ammonia flow rate (R) and the film formation temperature.

図8に、HCD−SiN膜の成膜速度の成膜温度依存性を示す。図から、HCD−SiN膜の場合には、成膜温度250℃でも十分な成膜速度を確保できることが分かる。   FIG. 8 shows the deposition temperature dependence of the deposition rate of the HCD-SiN film. From the figure, it can be seen that in the case of the HCD-SiN film, a sufficient film formation rate can be secured even at a film formation temperature of 250 ° C.

したがって、本実施形態のように、成膜温度650℃でシリコン窒化膜110を形成すれば、バリアメタル膜としてのTiN膜108の機能を失わずに、シリコン窒化膜110の成膜速度を確保できる。   Therefore, if the silicon nitride film 110 is formed at a film formation temperature of 650 ° C. as in this embodiment, the film formation speed of the silicon nitride film 110 can be secured without losing the function of the TiN film 108 as a barrier metal film. .

また、本実施形態では、埋込み配線が途中の深さまで形成された配線溝105の内部を埋め込むようにシリコン窒化膜を形成する場合について述べたが、本発明は次世代の半導体装置で現れる溝、例えば種々の積層膜構造が途中の深さまで埋め込まれた溝に対しても有効である。   Further, in the present embodiment, the case where the silicon nitride film is formed so as to fill the inside of the wiring groove 105 in which the embedded wiring is formed to an intermediate depth has been described. For example, it is also effective for a groove in which various laminated film structures are embedded to a halfway depth.

具体的には、酸窒化膜/ポリシリコン膜/タングステン膜の積層膜(ポリメタルゲート)によって途中まで埋め込まれている、シリコン酸化膜に形成された溝があげられる。   Specifically, a groove formed in a silicon oxide film, which is buried partway with a laminated film (polymetal gate) of oxynitride film / polysilicon film / tungsten film, is mentioned.

また、本実施形態では、Si原料としてSi2 Cl6 を用いた場合について説明したが、塩素濃度の高いシリコン窒化膜を形成する場合には、 Si3 Cl8 ,Si4 Cl10 などSi−Si結合を1つ以上持つ塩化物、Sin Cl2n+2 (ただし、n=2以上)などのSi原料を用いても同様の効果が得られる。 In the present embodiment, the case where Si 2 Cl 6 is used as the Si raw material has been described. However, when a silicon nitride film having a high chlorine concentration is formed, Si—Si such as Si 3 Cl 8 and Si 4 Cl 10 is used. The same effect can be obtained by using Si raw materials such as chloride having one or more bonds, Si n Cl 2n + 2 (where n = 2 or more).

(第2の実施形態)
第1の実施形態では、塩素濃度の高いシリコン窒化膜を形成する場合について説明したが、本実施形態では、塩素濃度が高く、かつシリコン過剰なシリコン窒化膜の形成方法について説明する。なお、工程断面図は第1の実施形態と変わらないので、図1および図2を用いて説明する。
(Second Embodiment)
In the first embodiment, a case where a silicon nitride film having a high chlorine concentration is formed has been described. In this embodiment, a method for forming a silicon nitride film having a high chlorine concentration and excessive silicon will be described. The process cross-sectional view is the same as that of the first embodiment, and will be described with reference to FIGS.

まず、第1の実施形態と同様にして図2(d)の工程まで行い、続いて図2(e)に示すように、Si2 Cl6 とNH3 との混合ガスを用いたLPCVD法により、配線溝106の内部を埋め込むようにシリコン窒化膜(HCD−SiN膜)8を全面に形成する。 First, similarly to the first embodiment, the process up to the step of FIG. 2D is performed, and then, as shown in FIG. 2E, by the LPCVD method using a mixed gas of Si 2 Cl 6 and NH 3. Then, a silicon nitride film (HCD-SiN film) 8 is formed on the entire surface so as to fill the inside of the wiring trench 106.

ここで、成膜温度は600℃、反応炉内圧は0.5Torr、流量比はNH3 /Si2 Cl6 =2000sccm/20sccmである。この成膜条件での成膜速度は1.4nm/minである。 Here, the film forming temperature is 600 ° C., the reactor internal pressure is 0.5 Torr, and the flow rate ratio is NH 3 / Si 2 Cl 6 = 2000 sccm / 20 sccm. The deposition rate under these deposition conditions is 1.4 nm / min.

次に図2(f)に示すように、第1の実施形態と同じ条件で、配線溝外部の余剰なHCD−SiN膜110をCMPにより除去して表面を平坦化する。   Next, as shown in FIG. 2F, under the same conditions as in the first embodiment, the excess HCD-SiN film 110 outside the wiring trench is removed by CMP to planarize the surface.

CMP後の膜厚測定結果より、本実施形態の方法で形成したHCD−SiN膜110の研磨速度は、従来のSi原料としてジクロロシランを用いた方法で形成したDCS−SiN膜に比べて、速いことが分かった。   From the film thickness measurement results after CMP, the polishing rate of the HCD-SiN film 110 formed by the method of this embodiment is faster than the DCS-SiN film formed by the method using dichlorosilane as a conventional Si raw material. I understood that.

このように本実施形態によれば、研磨速度を速くできることから、シリコン酸化膜に対する選択比を大きく取れ、CMPによる研磨はシリコン酸化膜で停止できる。そのため、過剰研磨が抑制され、設計値通りの埋め込み形状を実現できるとともに、平坦性の高い加工を行えるようになる。   Thus, according to the present embodiment, since the polishing rate can be increased, the selectivity to the silicon oxide film can be increased, and polishing by CMP can be stopped at the silicon oxide film. For this reason, excessive polishing is suppressed, an embedding shape as designed can be realized, and processing with high flatness can be performed.

図9に、本実施形態の方法において成膜温度を変えて形成した各シリコン窒化膜中のシリコンの結合状態を、光電子分光測定(XPS)による表面分析にて調べた結果を示す。図から、本実施形態の方法によれば、成膜温度が変わってもSi−N結合を有するシリコン窒化膜が形成されていることが分かる。   FIG. 9 shows the result of examining the bonding state of silicon in each silicon nitride film formed by changing the deposition temperature in the method of this embodiment by surface analysis by photoelectron spectroscopy (XPS). From the figure, it can be seen that according to the method of the present embodiment, a silicon nitride film having a Si—N bond is formed even when the film forming temperature changes.

図10に、本実施形態の方法において成膜温度を変えて形成した各シリコン窒化膜のN/Si比を化学分析にて調べた結果を示す。   FIG. 10 shows the results of examining the N / Si ratio of each silicon nitride film formed by changing the deposition temperature in the method of this embodiment by chemical analysis.

図から、成膜温度が700℃以下であれば、化学量子論比を有するシリコン窒化膜(Si3 4 膜)よりもシリコン過剰(N/Si≦1.33)のシリコン窒化膜(HCD−SiN膜)を形成できることが分かる。また、図から、HCD−SiN膜はDCS−シリコン窒化膜よりもシリコンリッチであることが分かる。 From the figure, when the film forming temperature is 700 ° C. or less, the silicon nitride film (HCD−) having silicon excess (N / Si ≦ 1.33) than the silicon nitride film (Si 3 N 4 film) having the chemical quantum ratio. It can be seen that a SiN film) can be formed. Further, it can be seen from the figure that the HCD-SiN film is silicon richer than the DCS-silicon nitride film.

Si−Si結合距離は0.225nmでSi−N結合距離0.157nmよりも長いため、シリコン過剰なシリコン窒化膜が形成されると、Si−Nからなるネットワークが大きく乱されると考えられる。すなわち、シリコン過剰なシリコン窒化膜ほど密度が小さく、CMPによる研磨速度が速くなる。また、図3で示したように膜中の塩素濃度も高くなる。   Since the Si—Si bond distance is 0.225 nm and longer than the Si—N bond distance 0.157 nm, it is considered that when a silicon-excess silicon nitride film is formed, the Si—N network is greatly disturbed. That is, the silicon-rich silicon nitride film has a lower density and a higher polishing rate by CMP. Further, as shown in FIG. 3, the chlorine concentration in the film also increases.

図11に、本実施形態の方法において成膜温度を変えて形成したHCD−SiN膜の密度および成膜温度700℃で形成したDCS−SiN膜の密度を調べた結果を示す。   FIG. 11 shows the results of examining the density of an HCD-SiN film formed at different film formation temperatures and the density of a DCS-SiN film formed at a film formation temperature of 700 ° C. in the method of this embodiment.

密度は以下のようにして調べた。まず、DHF溶液で溶解するべき領域以外のシリコン窒化膜表面をHF耐性のあるテープで覆った。次に、一辺が6cmの正方形の領域のシリコン窒化膜表面をDHF溶液で溶解させた。この後、DHF溶液中のシリコンおよび窒素の重さを求めて密度を求めた。   The density was examined as follows. First, the surface of the silicon nitride film other than the region to be dissolved with the DHF solution was covered with an HF-resistant tape. Next, the surface of the silicon nitride film in a square region having a side of 6 cm was dissolved with a DHF solution. Thereafter, the weight of silicon and nitrogen in the DHF solution was determined to determine the density.

図中、成膜温度700℃の黒四角はDCS−SiNであり、他の3点はHCD−SiNである。ここで、DCS−SiNはアンモニア流量(R[SCCM])=10であり、HCD−SiNはR=100である。   In the figure, the black square at a film forming temperature of 700 ° C. is DCS-SiN, and the other three points are HCD-SiN. Here, DCS-SiN has an ammonia flow rate (R [SCCM]) = 10, and HCD-SiN has R = 100.

図から、成膜温度が低いほど密度の低いHCD−SiN膜が得られることが分かる。HCD−SiN膜は、DCS−SiN膜とは異なり、700℃よりも低い成膜温度でも成膜レートの低下は顕著では無く、実用的な成膜時間で成膜することができる。したがって、成膜温度を低くすることによって、DCS−SiN膜よりも密度の低いHCD−SiN膜を容易に得られる。   From the figure, it can be seen that the lower the film formation temperature, the lower the density of the HCD-SiN film. Unlike the DCS-SiN film, the HCD-SiN film does not significantly decrease the film formation rate even at a film formation temperature lower than 700 ° C., and can be formed in a practical film formation time. Therefore, an HCD-SiN film having a density lower than that of the DCS-SiN film can be easily obtained by lowering the film formation temperature.

また、NH3 とSi2 Cl6 の流量比(NH3 /Si2 Cl6 )を10以下に下げることで、成膜温度700℃、炉内圧0.5Torrにおいてもシリコン過剰な膜を形成することが可能である。 Further, by reducing the flow ratio (NH 3 / Si 2 Cl 6 ) between NH 3 and Si 2 Cl 6 to 10 or less, a silicon-excess film can be formed even at a film forming temperature of 700 ° C. and a furnace pressure of 0.5 Torr. Is possible.

ただし、シリコン過剰になると電導性も増加するため、流量比を小さくしすぎると絶縁性が保てなくなるので、希望の性能を満足する程度に流量比を設定する必要がある。   However, since the conductivity increases when the silicon is excessive, the insulation cannot be maintained if the flow rate ratio is too small. Therefore, it is necessary to set the flow rate ratio so as to satisfy the desired performance.

また、原料としてはSi2 Cl6 のみ述べたが、塩素濃度が高く、かつシリコン過剰であるようなシリコン窒化膜を形成するには、Si3 Cl8 ,Si4 Cl10などSi−Si結合を1つ以上持つような塩化物、Sin nCl2n+2 (ただし、n=2以上)などのSi原料を用いても同様の効果が得られる。 Although only Si 2 Cl 6 has been described as a raw material, in order to form a silicon nitride film having a high chlorine concentration and excessive silicon, Si—Si bonds such as Si 3 Cl 8 and Si 4 Cl 10 are formed. Similar effects can be obtained by using Si raw materials such as chlorides having one or more and Si n nCl 2n + 2 (where n = 2 or more).

(第3の実施形態)
素子の微細化とともにゲート電極の低抵抗化が必要である。そこで、次世代では現在のポリメタルゲート構造からメタルゲート電極に変更することが必要となる。一方、エッチングによる金属膜の微細加工は困難であることから、メタルゲート電極の形成にはダマシンゲートプロセス(A. Yagishita, et.al., IEDM Tech Digest,1998:p.785.)が用いられ、またメタルゲート電極が埋め込まれる溝の形成に際してダミーゲートが必要になる。以下、図12〜図4を参照して、本発明の第3の実施形態に係るメタルゲート電極を用いたMOSトランジスタの製造方法について説明する。
(Third embodiment)
It is necessary to reduce the resistance of the gate electrode along with the miniaturization of elements. Therefore, it is necessary to change the current polymetal gate structure to a metal gate electrode in the next generation. On the other hand, since it is difficult to finely process a metal film by etching, a damascene gate process (A. Yagishita, et.al., IEDM Tech Digest, 1998: p.785.) Is used to form a metal gate electrode. In addition, a dummy gate is required when forming the trench in which the metal gate electrode is embedded. A method for manufacturing a MOS transistor using a metal gate electrode according to the third embodiment of the present invention will be described below with reference to FIGS.

まず、図12(a)に示すように、シリコン基板121の表面に浅い溝を形成し、続いて全面に熱酸化膜122を形成した後、溝内に素子分離絶縁膜123を埋込み形成することによって、STI(Shallow Trench Isoiation)による素子分離を行う。素子分離絶縁膜123は原料にTEOSを用いて形成した酸化膜である。   First, as shown in FIG. 12A, a shallow trench is formed on the surface of the silicon substrate 121. Subsequently, a thermal oxide film 122 is formed on the entire surface, and then an element isolation insulating film 123 is embedded in the trench. Thus, element isolation is performed by STI (Shallow Trench Isoiation). The element isolation insulating film 123 is an oxide film formed using TEOS as a raw material.

次に図12(b)に示すように、LPCVD法を用いて通常の条件で厚さ150nmの多結晶シリコン膜124を形成する。   Next, as shown in FIG. 12B, a polycrystalline silicon film 124 having a thickness of 150 nm is formed under normal conditions using the LPCVD method.

次に同図(b)に示すように、本発明の原料であるSi2 Cl6 +NH3 系ガスを用い、流量比(NH3 /Si2 Cl6 )=1000/10、成膜温度550℃、成膜圧力1.4TorrとしてLPCVD法により、厚さ150nmのHCD−SiN膜125を多結晶シリコン膜124上に形成する。 Next, as shown in FIG. 5B, the flow rate ratio (NH 3 / Si 2 Cl 6 ) = 1000/10, the film forming temperature is 550 ° C., using the Si 2 Cl 6 + NH 3 gas as the raw material of the present invention. Then, an HCD-SiN film 125 having a thickness of 150 nm is formed on the polycrystalline silicon film 124 by LPCVD at a deposition pressure of 1.4 Torr.

ここで、本実施形態のシリコン窒化膜であるHCD−SiN膜125は500℃という低い成膜温度でもって形成するが、従来のシリコン窒化膜であるDCS−SiN膜は、通常、700−780℃程度の高い成膜温度でもって形成する。   Here, the HCD-SiN film 125, which is the silicon nitride film of the present embodiment, is formed at a film forming temperature as low as 500 ° C., but the DCS-SiN film, which is a conventional silicon nitride film, is usually 700-780 ° C. The film is formed at a high film formation temperature.

上記成膜条件(流量比、成膜温度,成膜圧力)では、成膜速度は1.5nm/minであるので、成膜時間は100minとなる。Si2 Cl6 の分圧比を増加させることで、例えば全圧を増加させたり、もしくはNH3 流量を減少させることで、成膜速度をさらに速めることも可能である。 Under the above film forming conditions (flow rate ratio, film forming temperature, film forming pressure), the film forming speed is 1.5 nm / min, so the film forming time is 100 min. By increasing the partial pressure ratio of Si 2 Cl 6 , for example, it is possible to further increase the film formation rate by increasing the total pressure or decreasing the NH 3 flow rate.

次に図12(c)に示すように、フォトリソグラフィまたはEB描画を用いてレジストパターン126を形成し、このレジストパターン126をマスクにしてHCD−SiN膜125および多結晶シリコン膜124をRIE法にてエッチングして、HCD−SiN膜125と多結晶シリコン膜124との積層膜からなるダミーゲート127を形成する。この後、レジストパターン126を剥離する。   Next, as shown in FIG. 12C, a resist pattern 126 is formed using photolithography or EB drawing, and the HCD-SiN film 125 and the polycrystalline silicon film 124 are formed by RIE using the resist pattern 126 as a mask. Etching is performed to form a dummy gate 127 made of a laminated film of the HCD-SiN film 125 and the polycrystalline silicon film 124. Thereafter, the resist pattern 126 is peeled off.

次に図13(d)に示すように、厚さ6nm程度の後酸化膜128を熱酸化により形成した後、HCD−SiN膜125をマスクにしてイオン注入を行って、低不純物濃度で浅い拡散層(LDD)129を形成する。拡散層129の導電型がn型の場合には、例えばAsイオンを加速電圧1KeV、ドーズ量3×1014cm-2の条件でもって注入する。 Next, as shown in FIG. 13D, after a post-oxide film 128 having a thickness of about 6 nm is formed by thermal oxidation, ion implantation is performed using the HCD-SiN film 125 as a mask, and shallow diffusion is performed at a low impurity concentration. A layer (LDD) 129 is formed. When the conductivity type of the diffusion layer 129 is n-type, for example, As ions are implanted under the conditions of an acceleration voltage of 1 KeV and a dose of 3 × 10 14 cm −2 .

次に図13(e)に示すように、従来の原料であるジクロロシラン系を用いLPCVD法により、ゲート側壁DCS−SiN膜130となる厚さ70nmのDCS−SiN膜を全面に形成した後、このDCS−SiN膜をRIE法にて全面エッチングすることによってゲート側壁DCS−SiN膜130を形成する。ここで、成膜条件は、例えば成膜温度が700℃、成膜圧力が0.5Torr、流量比(NH3 /SiH2 Cl2 )が500/50である。 Next, as shown in FIG. 13E, after forming a 70 nm thick DCS-SiN film to be the gate sidewall DCS-SiN film 130 on the entire surface by LPCVD using the conventional raw material dichlorosilane system, The DCS-SiN film is etched on the entire surface by the RIE method to form the gate sidewall DCS-SiN film 130. Here, the film formation conditions are, for example, a film formation temperature of 700 ° C., a film formation pressure of 0.5 Torr, and a flow rate ratio (NH 3 / SiH 2 Cl 2 ) of 500/50.

次に同図(e)に示すように、ゲート側壁DCS−SiN膜130およびHCD−SiN膜125をマスクにしてイオン注入を行って、高不純物濃度のソース/ドレイン拡散層131を形成する。ソース/ドレイン拡散層の導電型がn型の場合には、例えばAsイオンを加速電圧45KeV、ドーズ量3×1015cm-2の条件でもって注入する。 Next, as shown in FIG. 4E, ion implantation is performed using the gate sidewall DCS-SiN film 130 and the HCD-SiN film 125 as a mask to form a source / drain diffusion layer 131 having a high impurity concentration. When the conductivity type of the source / drain diffusion layer is n-type, for example, As ions are implanted under the conditions of an acceleration voltage of 45 KeV and a dose of 3 × 10 15 cm −2 .

なお、浅い拡散層129、ソース/ドレイン拡散層131中の不純物の活性化アニールは注入直後に毎回行っても良いし、全てのイオン注入が終了した後に一括して行っても良い。   It should be noted that the activation annealing of the impurities in the shallow diffusion layer 129 and the source / drain diffusion layer 131 may be performed every time immediately after the implantation, or may be performed collectively after all the ion implantations are completed.

次に図13(f)に示すように、原料にTEOS系を用いたLPCVD法により厚さ350nm程度の層間絶縁膜132を全面に形成した後、CMP法により層間絶縁膜132を研磨して表面を平坦にする。このとき、HCD−SiN膜125はCMPストッパとして働く。   Next, as shown in FIG. 13 (f), an interlayer insulating film 132 having a thickness of about 350 nm is formed on the entire surface by LPCVD using TEOS as a raw material, and then the interlayer insulating film 132 is polished by CMP to polish the surface. To flatten. At this time, the HCD-SiN film 125 functions as a CMP stopper.

次に図14(g)に示すように、160℃の熱燐酸溶液を用いてHCD−SiN膜125を選択的に除去し、続いてCDE法を用いて多結晶シリコン膜124を除去した後、希弗酸溶液を用いてその下の熱酸化膜122を除去する。   Next, as shown in FIG. 14G, after selectively removing the HCD-SiN film 125 using a hot phosphoric acid solution at 160 ° C., and subsequently removing the polycrystalline silicon film 124 using the CDE method, The underlying thermal oxide film 122 is removed using a diluted hydrofluoric acid solution.

ここで、本実施形態の場合には、ダミーゲート127を構成するシリコン窒化膜としてHCD−SiN膜125、ゲート側壁絶縁膜としてゲート側壁DCS−SiN膜130を用いているので、後述するように成膜温度を制御することによって、HCD−SiN膜125に対するゲート側壁DCS−SiN膜130のウエットエッチングの選択比を高く取ることができる。   In this embodiment, the HCD-SiN film 125 is used as the silicon nitride film constituting the dummy gate 127 and the gate side wall DCS-SiN film 130 is used as the gate side wall insulating film. By controlling the film temperature, the wet etching selectivity of the gate sidewall DCS-SiN film 130 with respect to the HCD-SiN film 125 can be increased.

このようにダミーゲート127を構成するシリコン窒化膜に対するゲート側壁絶縁膜のウエットエッチングの選択比が高いことが重要である。何故なら、両者が同時にエッチングされてしまうと、多結晶シリコン膜124をCDE法により除去する工程で、シリコン基板121にダメージが入ったり、もしくは最悪の場合にはシリコン基板121が研削されてしまうからである。   Thus, it is important that the wet etching selectivity of the gate sidewall insulating film with respect to the silicon nitride film constituting the dummy gate 127 is high. This is because if both of them are etched at the same time, the silicon substrate 121 is damaged in the step of removing the polycrystalline silicon film 124 by the CDE method, or the silicon substrate 121 is ground in the worst case. It is.

図15に、従来技術のみを用いて、ダミーゲート127およびゲート側壁絶縁膜を形成した場合の図14(g)の断面図に相当する断面図を示す。図に示すように、従来技術のみでは、シリコン基板121が研削されるという問題が起きる。このような問題が起きるのを防止するために、本実施形態のようにダミーゲートとゲート側壁絶縁膜との間で、処理に用いられる薬液に対して選択比が取れるようにすることが必要になる。   FIG. 15 is a cross-sectional view corresponding to the cross-sectional view of FIG. 14G when the dummy gate 127 and the gate sidewall insulating film are formed using only the conventional technique. As shown in the figure, the conventional technique alone causes a problem that the silicon substrate 121 is ground. In order to prevent such a problem from occurring, it is necessary to make it possible to obtain a selective ratio between the dummy gate and the gate sidewall insulating film with respect to the chemical solution used for processing as in this embodiment. Become.

ここで、図16に、本発明によるヘキサクロロジシランを用いて形成したシリコン窒化膜(HCD−SiN膜)の希弗酸(水:HF=200:1)によるエッチングレートの成膜温度依存性を示す。なお、成膜温度550℃以下において成膜圧力を1.4Torrとしているのは、試料であるHCD−SiN膜を形成するのに要する所要時間を短くするためである。   Here, FIG. 16 shows the film formation temperature dependency of the etching rate of dilute hydrofluoric acid (water: HF = 200: 1) of the silicon nitride film (HCD-SiN film) formed using hexachlorodisilane according to the present invention. . The reason why the film formation pressure is set to 1.4 Torr at a film formation temperature of 550 ° C. or less is to shorten the time required to form the HCD-SiN film as the sample.

図より明らかなように、低温で成膜するほどエッチングレートが大きくなっていることが分かる。また、成膜温度700℃で形成したDCS−SiN膜の希弗酸(水:HF=200:1)によるエッチングレートは0.19nm/minである。したがって、成膜温度600℃および450℃で形成したHCD−SiN膜の成膜温度700℃で形成したDCS−SiN膜に対する選択比はそれぞれ1.6および119、本実施形態で述べた成膜温度550℃の場合では選択比24を実現できる。   As is apparent from the figure, the etching rate increases as the film is formed at a lower temperature. The etching rate of dilute hydrofluoric acid (water: HF = 200: 1) of the DCS-SiN film formed at a film formation temperature of 700 ° C. is 0.19 nm / min. Therefore, the selection ratios of the HCD-SiN film formed at the film formation temperature of 600 ° C. and 450 ° C. with respect to the DCS-SiN film formed at the film formation temperature of 700 ° C. are 1.6 and 119, respectively. In the case of 550 ° C., a selection ratio of 24 can be realized.

また、薬液として熱燐酸を用いた場合、成膜温度650℃で形成したHCD−SiN膜の成膜温度700℃で形成したDCS−SiN膜に対する選択比は3.7であることが分かっている。すなわち、熱燐酸に対しても希弗酸で見出された傾向(成膜温度とともに薬液によるエッチングレートが増大する。)が同様に起こると考えられる。   In addition, when hot phosphoric acid is used as the chemical solution, it is known that the selectivity of the HCD-SiN film formed at the film formation temperature of 650 ° C. with respect to the DCS-SiN film formed at the film formation temperature of 700 ° C. is 3.7. . That is, it is considered that the tendency found in dilute hydrofluoric acid with respect to hot phosphoric acid (the etching rate by the chemical increases with the film formation temperature) similarly occurs.

また、図17に示すように、Si原料としてSi2 Cl6 系を用いた場合、シリコン窒化膜の成膜中に窒素(N2 )を流すと、流さない場合に比べてエッチングレートが2倍弱大きくなる。 As shown in FIG. 17, when Si 2 Cl 6 is used as the Si raw material, if nitrogen (N 2 ) is flowed during the formation of the silicon nitride film, the etching rate is doubled compared to the case where no flow is performed. Become weaker and bigger.

この値は、成膜温度700℃で形成したDCS−SiN膜に対する選択比にして240程度取れることになる。他の成膜温度で形成したDCS−SiN膜に関しても同様の効果があると考えられ、したがって成膜温度を制御することでHCD−SiN膜およびDCS−SiN膜のウエットエッチングレートを操作でき、選択比を大きく取れると考えられる。   This value can be about 240 as a selective ratio with respect to the DCS-SiN film formed at a film forming temperature of 700 ° C. The DCS-SiN film formed at other film formation temperatures is considered to have the same effect. Therefore, by controlling the film formation temperature, the wet etching rate of the HCD-SiN film and the DCS-SiN film can be controlled and selected. It is thought that a large ratio can be obtained.

以上より明らかなように、ダミーゲートのシリコン窒化膜には本発明によるHCD−SiN膜を用い、ゲート側壁絶縁膜には従来技術によるDCS−SiN膜を用いることにより、ウエットエッチングを行う際の選択比を大きく取ることができる。   As is apparent from the above, the HCD-SiN film according to the present invention is used for the silicon nitride film of the dummy gate, and the DCS-SiN film according to the prior art is used for the gate side wall insulating film, so that selection during wet etching is performed. A large ratio can be taken.

このようにしてCDE工程によって多結晶シリコン膜124の除去工程においてゲート側壁DCS−SiN膜130の膜減を効果的に抑制でき、CDE工程の際に基板ダメージ等の問題を招かずに済む。また、多結晶シリコン膜124およびHCD−SiN膜125はそれぞれ適切なエッチングで除去できるので、ダミーゲート127は容易に除去できることになる。   In this way, the reduction of the gate sidewall DCS-SiN film 130 in the removal process of the polycrystalline silicon film 124 can be effectively suppressed by the CDE process, and problems such as substrate damage can be avoided during the CDE process. Further, since the polycrystalline silicon film 124 and the HCD-SiN film 125 can be removed by appropriate etching, the dummy gate 127 can be easily removed.

本実施形態では、ダミーゲート127として従来と同様に多結晶シリコン膜124とHCD−SiN膜125の積層膜を用いている。多結晶シリコン膜124は、HCD−SiN膜125をエッチング除去する際に、ゲート側壁DCS−SiN膜130も同時にエッチングされてしまうことを確実に抑制するために形成している。   In the present embodiment, a laminated film of the polycrystalline silicon film 124 and the HCD-SiN film 125 is used as the dummy gate 127 as in the prior art. The polycrystalline silicon film 124 is formed to surely prevent the gate sidewall DCS-SiN film 130 from being etched at the same time when the HCD-SiN film 125 is removed by etching.

しかしながら、ダミーゲート27とゲート側壁DCS−SiN膜130との選択比が確実に十分取れる場合には必要はなくなる。すなわち、本実施形態の場合、HCD−シリコン窒化膜125とゲート側壁DCS−SiN膜130との間でもともと選択比が取れるので、ダミーゲート127をHCD−シリコン窒化膜125のみとした構造も可能である。この場合、多結晶シリコン膜124の成膜工程、CDEによる除去工程および後酸化膜128の成膜工程(図13(d))が不要になる。   However, it is not necessary when the selection ratio between the dummy gate 27 and the gate sidewall DCS-SiN film 130 can be sufficiently ensured. That is, in the case of this embodiment, since the selection ratio can be originally obtained between the HCD-silicon nitride film 125 and the gate sidewall DCS-SiN film 130, a structure in which the dummy gate 127 is only the HCD-silicon nitride film 125 is possible. is there. In this case, the step of forming the polycrystalline silicon film 124, the step of removing by CDE, and the step of forming the post oxide film 128 (FIG. 13D) are unnecessary.

次に図14(h)に示すように、ダミーゲート27を除去して生じた溝内にゲート絶縁膜133を形成する。ゲート絶縁膜133としては、例えばTa2 5 や(Ba,Sr)TiO3 などの強誘電体からなる絶縁膜が考えられる。 Next, as shown in FIG. 14H, a gate insulating film 133 is formed in the groove formed by removing the dummy gate 27. As the gate insulating film 133, for example, an insulating film made of a ferroelectric such as Ta 2 O 5 or (Ba, Sr) TiO 3 can be considered.

ここでは、Ta2 5 膜を用いた場合について具体的に説明する。まず、基板表面に酸素ラジカルを照射して厚さ0.2−0.3nm程度のSiO2 膜(不図示)を形成し、次にアンモニア、シラン等を用いて厚さ0.6nmのシリコン窒化膜(不図示)を形成する。この後、シリコン窒化膜上にゲート絶縁膜133としての厚さ1nm程度のTa2 5 膜を形成する。 Here, the case where a Ta 2 O 5 film is used will be specifically described. First, the surface of the substrate is irradiated with oxygen radicals to form a SiO 2 film (not shown) with a thickness of about 0.2 to 0.3 nm, and then silicon nitride with a thickness of 0.6 nm using ammonia, silane or the like. A film (not shown) is formed. Thereafter, a Ta 2 O 5 film having a thickness of about 1 nm is formed as a gate insulating film 133 on the silicon nitride film.

最後に、図14(i)に示すように、ゲート電極としての厚さ10nm程度のTiN膜134と厚さ250nm程度のAl膜135を溝の内部を充填するように全面に堆積した後、溝の外部の余剰なゲート絶縁膜133、TiN膜134およびAl膜135をCMPで除去して表面を平坦にすることによって、MOSトランジスタが完成する。   Finally, as shown in FIG. 14I, after depositing a TiN film 134 having a thickness of about 10 nm and an Al film 135 having a thickness of about 250 nm as a gate electrode over the entire surface so as to fill the inside of the groove, The excess gate insulating film 133, the TiN film 134, and the Al film 135 outside are removed by CMP to flatten the surface, thereby completing the MOS transistor.

なお、第1〜第3の実施形態では、いわゆるM0部分(シリコン基板上からコンタクトを取る部分)の下部キャパシタ電極とプラグ電極との短絡を防止するためのシリコン窒化膜の場合について説明したが、本発明は他の目的のためのシリコン窒化膜にも適用できる。   In the first to third embodiments, the case of the silicon nitride film for preventing a short-circuit between the lower capacitor electrode and the plug electrode of the so-called M0 portion (portion where contact is made from the silicon substrate) has been described. The present invention can also be applied to silicon nitride films for other purposes.

(第4の実施形態)
図18は本発明の第4の実施形態に係る半導体装置の製造工程を示す工程断面図である。これらの図は、DRAMセルのMOSトランジスタおよびコンタクト開口部をチャネル幅方向と垂直な方向で切断した断面を示している。
(Fourth embodiment)
FIG. 18 is a process cross-sectional view illustrating the manufacturing process of the semiconductor device according to the fourth embodiment of the present invention. These drawings show a cross section in which a MOS transistor and a contact opening of a DRAM cell are cut in a direction perpendicular to the channel width direction.

図18(a)では、シリコン基板201上に、図示しないゲート絶縁膜を介して、ポリシリコン膜208、WN(窒化タングステン)膜209、W(タングステン)膜210、SiN膜212が積層され、所望領域のみをRIEにより選択的に残したゲート電極200をマスクとして、イオン注入により、n- 層形成用に15keV、513cm-2の条件でAsイオンが注入され、ゲート電極200の両側にソース領域206、ドレイン領域207がそれぞれ形成されている。 In FIG. 18A, a polysilicon film 208, a WN (tungsten nitride) film 209, a W (tungsten) film 210, and a SiN film 212 are laminated on a silicon substrate 201 via a gate insulating film (not shown). By using the gate electrode 200 that selectively leaves only the region by RIE as a mask, As ions are implanted by ion implantation under the conditions of 15 keV and 5 13 cm −2 for forming an n layer. A region 206 and a drain region 207 are formed.

次にDCSを原料とする減圧化学気相成長(LPCVD)法によりシリコン基板201全面にSiN膜を形成し、エッチバックすることにより、ゲート電極200の側壁のみにSiNからなるゲート側壁絶縁膜211を形成する。   Next, a SiN film is formed on the entire surface of the silicon substrate 201 by low pressure chemical vapor deposition (LPCVD) using DCS as a raw material, and etched back to form a gate sidewall insulating film 211 made of SiN only on the sidewall of the gate electrode 200. Form.

このようにして、シリコン基板201ゲート電極200およびゲート側壁絶縁膜211からなり、アスペクト比2が程度で、セル部分での最も狭いスペースが0.15ミクロン程度の段差構造を持つ下地が完成する。   In this way, a base comprising the silicon substrate 201, the gate electrode 200, and the gate sidewall insulating film 211, having a step structure with an aspect ratio of about 2 and a narrowest space of about 0.15 microns in the cell portion is completed.

この下地上に、LPCVD法によりSi2 Cl6 (ヘキサクロルジシラン、以下HCDと略記する)とアンモニア(NH3 )を原料ガス、窒素(N2 )をキャリアガスとして、成膜温度が450℃、反応炉内圧が1.4Torr、流量比がアンモニア:HCD:窒素=1000sccm:50sccm:50sccmの条件により、SiN膜213を15nm形成する(このSiN膜をHCD−SiN膜と称する)。このHCD−SiN膜は後の層間絶縁膜へのコンタクト開口時にRIEストッパー膜となる(図18(b))。 On this underlayer, a film forming temperature of 450 ° C. using LP 2 CVD method using Si 2 Cl 6 (hexachlorodisilane, hereinafter abbreviated as HCD) and ammonia (NH 3 ) as a source gas and nitrogen (N 2 ) as a carrier gas, The SiN film 213 is formed to a thickness of 15 nm under the conditions of the reactor internal pressure of 1.4 Torr and the flow rate ratio of ammonia: HCD: nitrogen = 1000 sccm: 50 sccm: 50 sccm (this SiN film is referred to as an HCD-SiN film). This HCD-SiN film becomes an RIE stopper film when a contact is opened to the interlayer insulating film later (FIG. 18B).

上記の成膜条件でのHCD−SiN膜の成膜速度は2.6(nm/min)であった。ちなみに、成膜時に窒素は流さなくても成膜可能であった。   The deposition rate of the HCD-SiN film under the above deposition conditions was 2.6 (nm / min). Incidentally, it was possible to form a film without flowing nitrogen during film formation.

また、先に示した図7から、RIE選択比は、HCDを用いた場合も従来のDCSを用いたSiN膜とほぼ同程度であることから、HCDを用いたSiN膜の場合もストッパーとしての膜厚は従来と同じ15nmで問題ない。   Further, from FIG. 7 shown above, since the RIE selection ratio is almost the same as that of the conventional SiN film using DCS even when HCD is used, the SiN film using HCD is also used as a stopper. The film thickness is 15 nm, which is the same as the conventional film, and there is no problem.

次に層間絶縁膜220としてBPSG膜を成膜し、次にH2 とO2 とを含む雰囲気中で800℃の熱処理(2H2 +O2 →2H2 O(水蒸気))を行って層間絶縁膜220を緻密化し、次にSiN膜213をCMPストッパーとして、CMPにより層間絶縁膜220の表面を370nm程度除去し、層間絶縁膜220の表面を平坦化した。 Next, a BPSG film is formed as the interlayer insulating film 220, and then heat treatment (2H 2 + O 2 → 2H 2 O (water vapor)) at 800 ° C. in an atmosphere containing H 2 and O 2 is performed. 220 was densified, and then the surface of the interlayer insulating film 220 was removed by about 370 nm by CMP using the SiN film 213 as a CMP stopper to flatten the surface of the interlayer insulating film 220.

次に、平坦化が終わった時点でレジスト塗布、露光、現像を行い、図示しないレジストをマスクにして、層間絶縁膜220(BPSG)をRIEによりエッチングし、コンタクトホール214を開口する(図18(c))。   Next, when planarization is completed, resist coating, exposure, and development are performed. Using the resist (not shown) as a mask, the interlayer insulating film 220 (BPSG) is etched by RIE to open a contact hole 214 (FIG. 18 ( c)).

この際、SiN膜(HCD−SiN膜)213はBPSGと比較してエッチングレートが遅いためRIEストッパーとして作用し、RIEが停止する。上記RIEストッパーであるHCD−SiN膜は、上記のセル部分でのコンタクト開口のほか、周辺部分でコンタクトを開口する際にもRIEストッパーとして用いることができる。   At this time, since the SiN film (HCD-SiN film) 213 has a slower etching rate than BPSG, it acts as an RIE stopper, and RIE stops. The HCD-SiN film serving as the RIE stopper can be used as an RIE stopper when a contact is opened in the peripheral portion in addition to the contact opening in the cell portion.

次いでガス条件を切り替えてコンタクトホール214の底面のSiN膜213をRIEする。しかし、この際、下地のシリコン基板201をエッチングしない程度の弱いエッチング条件に押さえる必要が有るため、SiNの膜残りが発生してコンタクトを取れない部分が生じる。この膜残りは、次工程でコンタクトプラグとなるポリシリコンの埋め込み成膜の前処理として自然酸化膜1nm相当を除去するために行う希弗酸処理で除去する(図18(d))。   Next, the gas conditions are switched, and the SiN film 213 on the bottom surface of the contact hole 214 is subjected to RIE. However, at this time, since it is necessary to suppress the underlying silicon substrate 201 to such a weak etching condition as not to etch, a portion of the SiN film is generated and a contact cannot be obtained. This film residue is removed by dilute hydrofluoric acid treatment for removing the equivalent of 1 nm of the natural oxide film as a pretreatment for buried polysilicon film to be a contact plug in the next process (FIG. 18D).

先に示した図16から、550℃以上(成膜時圧力:0.5Torr)で成膜したHCD−SiNのエッチングレートは20(オングストローム/min)、すなわち、2(nm/min)程度と低いが、450℃で成膜したHCN−SiNはエッチングレートが20(nm/min)以上と、自然酸化膜の20倍以上とれる。   As shown in FIG. 16, the etching rate of HCD-SiN formed at 550 ° C. or higher (deposition pressure: 0.5 Torr) is as low as about 20 (angstrom / min), that is, about 2 (nm / min). However, HCN-SiN deposited at 450 ° C. has an etching rate of 20 (nm / min) or more, which is 20 times or more that of a natural oxide film.

このため、図18(d)の工程でのSiNエッチング時にRIEのエッチング面内不均一性があっても、希弗酸による前処理で残っていたSiN膜も同時に全て除去することが可能になり、SiNの膜残りによるコンタクト不良は回避可能になる。   For this reason, even if there is non-uniformity in the etching surface of the RIE during the SiN etching in the step of FIG. 18D, it is possible to remove all of the SiN film remaining in the pretreatment with dilute hydrofluoric acid at the same time. , Contact failure due to the SiN film residue can be avoided.

ちなみに、図16は成膜中に窒素(N2 )を流していないHCD−SiN膜の結果である。窒素を流した場合、例えば450℃では1/200希弗酸によるエッチングレートは45nm/minに増大するので、更にエッチングは容易になる。 Incidentally, FIG. 16 shows the result of the HCD-SiN film in which nitrogen (N 2 ) is not flowed during film formation. When nitrogen is flowed, for example, at 450 ° C., the etching rate with 1/200 dilute hydrofluoric acid increases to 45 nm / min, so that the etching is further facilitated.

本発明者らの確認したところでは、HCD−SiN膜は450℃で2(nm/min)の成膜速度が得られ、780℃でのDCS−SiN膜の3(nm/min)よりもやや小さいが十分実用可能であることが分かった。なお、同時に確認したプラズマSiN膜は370℃で100(nm/min)と成膜速度はもっとも速かった。   As confirmed by the present inventors, the HCD-SiN film has a deposition rate of 2 (nm / min) at 450 ° C., which is slightly higher than 3 (nm / min) of the DCS-SiN film at 780 ° C. It was found to be small but practical enough. In addition, the plasma SiN film | membrane confirmed simultaneously was 100 (nm / min) at 370 degreeC, and the film-forming speed | rate was the fastest.

上記の様にHCDを用いて450℃程度の低温でSiNを形成することにより、低密度で、低誘電率のSiNを得ることが可能になった。   By forming SiN at a low temperature of about 450 ° C. using HCD as described above, it has become possible to obtain SiN having a low density and a low dielectric constant.

ここで、誘電率が小さいのは、密度が小さい事と密接に関連している。すなわち、誘電率と密度は、下記のClausius−Mossottiの式に従うと考えられる。   Here, the low dielectric constant is closely related to the low density. That is, the dielectric constant and density are considered to follow the following Clausius-Mossotti equation.

なお、下記のClausius−Mossottiの式は、Ashcroft.Mermin著のSolid State Physics(Saunders College社(1976))のP542によった。   Note that the following Clausius-Mossotti equation is calculated according to Ashcroft. According to P542 of Solid State Physics (Saunders College (1976)) by Mermin.

(ε−1)/(ε+2)={(N0 ×α)/(3×ε0 )}×(ρ/M)
…Clausius−Mossottiの式
ここで、ρは密度、εは誘電率、Mは分子量、αは分極率である。また、εo は真空誘電率、N0 はアボガドロ数であり、何れも定数である。この式から、一般には密度と誘電率は比例関係にあることがわかる。すなわち、上記の様に低誘電率のHCD−SiN膜が実用できたのは、低密度のHCD−SiN膜が実現できたことによると考えられる。
(Ε-1) / (ε + 2) = {(N 0 × α) / (3 × ε 0 )} × (ρ / M)
... Clauus-Mossotti equation where ρ is density, ε is dielectric constant, M is molecular weight, and α is polarizability. Further, ε o is a vacuum dielectric constant, N 0 is Avogadro's number, and both are constants. From this equation, it can be seen that density and dielectric constant are generally proportional. That is, the reason why the low dielectric constant HCD-SiN film was practically used as described above is considered to be due to the realization of the low density HCD-SiN film.

一方、前述したようにHCD−SiN膜はRIEストッパーとして機能するために必要な膜厚はDCS−SiN膜と同じで、かつ誘電率がそれよりも小さいことから、従来のDCS−SiN膜に比べて同一のRIEバリア性を確保した上で、配線間容量を著しく低減することができる。   On the other hand, as described above, the film thickness required for the HCD-SiN film to function as an RIE stopper is the same as that of the DCS-SiN film and the dielectric constant is smaller than that, so that the HCD-SiN film is smaller than the conventional DCS-SiN film. In addition, it is possible to remarkably reduce the inter-wiring capacitance while ensuring the same RIE barrier property.

また、トランジスタ特性を考えた時、ゲート絶縁膜界面の界面準位が水素シンターによって減少し、トランジスタの保持時間が増加することが一般的に知られている。これはシリコンダングリングボンドが水素によって終端されることによりリーク電流の原因となる欠陥が減少するためだといわれている(ターミネーション効果)。   Further, when considering transistor characteristics, it is generally known that the interface state at the gate insulating film interface is reduced by hydrogen sintering, and the retention time of the transistor is increased. This is said to be due to the fact that silicon dangling bonds are terminated with hydrogen, thereby reducing defects that cause leakage current (termination effect).

HCD−SiN膜は、従来のLP−SiN膜に比べて膜中水素が1×1022cm-3と多く、かつ成膜温度より高温でその水素を脱ガスするため、より顕著なターミネーション効果がある。 The HCD-SiN film has more hydrogen in the film as 1 × 10 22 cm -3 than the conventional LP-SiN film, and degass the hydrogen at a temperature higher than the film formation temperature. is there.

図19は、HCD−SiN膜のSIMSによる深さ方向の元素プロファイルを、1000℃、30分の熱処理前後について示した図である。この図は、表面からスパッタエッチングを行ってその部分のSIMSによる水素、塩素各原子の原子カウント数(CPS)を調べたものであり、横軸に時間(分)、縦軸にカウント数(CPS:1秒あたりのカウント数)を示している。なお、熱処理前を実線、熱処理後を点線で示した。この図の横軸の0分乃至9分程度の範囲がHCD−SiN膜に相当する部分である。   FIG. 19 is a diagram showing the element profile in the depth direction by SIMS of the HCD-SiN film before and after heat treatment at 1000 ° C. for 30 minutes. In this figure, sputter etching is performed from the surface, and the atomic count number (CPS) of each atom of hydrogen and chlorine is examined by SIMS of the portion. The horizontal axis indicates time (minutes), and the vertical axis indicates the count number (CPS). : Count number per second). The solid line before the heat treatment and the dotted line after the heat treatment are shown. The range of about 0 to 9 minutes on the horizontal axis in this figure corresponds to the HCD-SiN film.

ここに示した様に、水素は、熱処理により1.5×105 CPS程度から4×102 CPS程度に2桁以上減少していることが確認された。また、塩素(Cl)は熱処理前後で有意な変化は見られなかった。 As shown here, it was confirmed that the hydrogen decreased by about two orders of magnitude from about 1.5 × 10 5 CPS to about 4 × 10 2 CPS by heat treatment. Chlorine (Cl) did not change significantly before and after the heat treatment.

なお、ここで、アニール前のH濃度は1×1022cm-3に相当し、アニール後のH濃度は1×1020cm-3以下(検出限界以下)に相当する。また、塩素濃度は1×1021cm-3に相当する。このようにHCD−SiN膜はアニールで大量の水素を脱ガスするので、効果的にシリコンダングリングボンドをターミネートすることが可能と思われることが分かった。 Here, the H concentration before annealing corresponds to 1 × 10 22 cm −3, and the H concentration after annealing corresponds to 1 × 10 20 cm −3 or less (below the detection limit). The chlorine concentration corresponds to 1 × 10 21 cm −3 . As described above, since the HCD-SiN film degass a large amount of hydrogen by annealing, it was found that silicon dangling bonds could be effectively terminated.

プラズマを用いた化学気相成長(P−CVD)法もしくはシランとアンモニアを原料とする減圧化学気相成長(LPCVD)法で成膜したSiN膜では上述したように段差被覆率が悪く、アスペクト比2程度の溝上に成膜すると、段差部の最上部で厚く、下部および側壁で薄くなったり、また最上部のエッジ部分でオーバーハングした部分ができたりしてしまうことがある。   The SiN film formed by the chemical vapor deposition (P-CVD) method using plasma or the low pressure chemical vapor deposition (LPCVD) method using silane and ammonia as raw materials has a poor step coverage as described above, and the aspect ratio. If the film is formed on about two grooves, the uppermost portion of the stepped portion may be thick, the lower portion and the side wall may be thinned, or an overhanging portion may be formed at the uppermost edge portion.

このような状態になると、層間絶縁膜形成時にそのオーバーハング部下部への原料ガスの廻り込みが困難となり層間絶縁膜(BPSG等)を埋め込むことができなくなってしまう。また上記のSiN膜では、膜質が均質でなくエッジ部分でストッパーとしての機能が充分とれない。   In such a state, it becomes difficult to wrap the source gas into the lower portion of the overhang portion when forming the interlayer insulating film, and the interlayer insulating film (BPSG or the like) cannot be embedded. Further, the above-described SiN film has a non-homogeneous film quality and cannot function as a stopper at the edge portion.

これに対し、ジクロロシラン(DCS)もしくはテトラクロロシランのようにシランの水素が塩素で置換されたシリコン原料を用いる場合には段差被覆率が良く、アスペクト比が20程度でも被覆率が100%になる。しかし、この効果はシラン系の元素に限られるものではなく、本発明者らが確認したところでは、ジシランの塩素化物であるHCDを用いたLPCVD法によっても段差構造を均質に被覆率良く成膜できることが分かった。   On the other hand, when using a silicon raw material in which hydrogen of silane is replaced with chlorine, such as dichlorosilane (DCS) or tetrachlorosilane, the step coverage is good, and the coverage is 100% even when the aspect ratio is about 20. . However, this effect is not limited to silane-based elements, and the present inventors have confirmed that a step structure can be formed uniformly and with good coverage by LPCVD using HCD, which is a chlorinated disilane. I understood that I could do it.

本実施形態においては、RIEストッパーとしてのSiN膜にHCD−SiN膜を用いた例についてのみ述べた。しかしながら、HCD−SiN膜の誘電率削減効果は、ゲート電極上のSiN膜212もしくはゲート側壁のSiN膜211にも有効である。すなわち、これらのSiN膜としてHCD−SiN膜を成膜することにより、低誘電率のSiN膜が得られるので、配線間容量を低減させることが可能である。   In the present embodiment, only the example in which the HCD-SiN film is used as the SiN film as the RIE stopper has been described. However, the effect of reducing the dielectric constant of the HCD-SiN film is also effective for the SiN film 212 on the gate electrode or the SiN film 211 on the gate sidewall. That is, by forming an HCD-SiN film as these SiN films, a SiN film having a low dielectric constant can be obtained, so that the capacitance between wirings can be reduced.

また、本実施形態では、ゲート電極としてポリシリコン/WN/Wの積層構造からなるものを例に挙げたが、これに限ることは無く、メタルのみから形成されるメタルゲート電極、ポリシリコンのみからなる電極でもよいことは言うまでも無い。   In the present embodiment, the gate electrode has a polysilicon / WN / W laminated structure as an example. However, the present invention is not limited to this, and the gate electrode is made of only metal and polysilicon. It goes without saying that an electrode may be used.

(第5の実施形態)
図20は本発明の第5の実施形態に係る半導体装置の製造工程を示す工程断面図である。これらの図は、半導体装置に用いるCu配線近傍を配線の長手方向に垂直な方向で切断した断面図である。
(Fifth embodiment)
FIG. 20 is a process cross-sectional view illustrating the manufacturing process of the semiconductor device according to the fifth embodiment of the present invention. These figures are cross-sectional views in which the vicinity of a Cu wiring used in a semiconductor device is cut in a direction perpendicular to the longitudinal direction of the wiring.

TEOS層間酸化膜203の配線溝中にバリアメタル膜としてTaN(窒化タンタル)膜204、金属配線201’としてCuが埋め込まれ、CMPにより表面が平坦化された下地(配線層)が形成されている(図20(a))。   In the wiring groove of the TEOS interlayer oxide film 203, a TaN (tantalum nitride) film 204 as a barrier metal film and Cu as a metal wiring 201 ′ are embedded, and a base (wiring layer) whose surface is flattened by CMP is formed. (FIG. 20 (a)).

この下地上に、LPCVD法により、Si2 Cl6 (HCD、ヘキサクロルジシラン)とアンモニア(NH3 )を原料ガスとして、成膜温度を450℃、反応炉内圧を1.4Torr、流量比をアンモニア:HCD:窒素=1000sccm:50sccm:50sccmとした条件で、SiN膜205を10nm形成した(図20(b))。 On this base, by LPCVD, Si 2 Cl 6 (HCD, hexachlorodisilane) and ammonia (NH 3 ) are used as source gases, the film forming temperature is 450 ° C., the reactor pressure is 1.4 Torr, and the flow rate ratio is ammonia. : HCD: nitrogen = 1000 sccm: 50 sccm: 50 sccm The SiN film 205 was formed to a thickness of 10 nm (FIG. 20B).

このSiN膜205の耐圧を確認するために以下の試験を行った。試験用のサンプルは、シリコン基板上にSiN膜を所定膜厚形成し、その上にCu膜を形成した。この、シリコン基板とCu膜に所定の電圧を印加し、リーク電流の経時変化を測定し、その結果を図21に示す。   In order to confirm the breakdown voltage of the SiN film 205, the following test was performed. In the test sample, a SiN film having a predetermined thickness was formed on a silicon substrate, and a Cu film was formed thereon. A predetermined voltage is applied to the silicon substrate and the Cu film, and the change in leakage current with time is measured. The result is shown in FIG.

図21は、シリコン基板上にSiN膜として厚さ50nmのP−SiN膜、厚さ10nmのHCD−SiN膜、厚さ50nmのHCD−SiN膜の何れかを用い、100℃で、1(MV/cm)の電圧を印加した時のリーク電流(Leakage current(アンペア)の経時変化を横軸に印加時間(Stress timc(分)、縦軸にリーク電流をとって示した。いわゆるバイアス−温度ストレステスト(BTテスト)の測定結果である。   FIG. 21 shows a case where a P-SiN film having a thickness of 50 nm, an HCD-SiN film having a thickness of 10 nm, or an HCD-SiN film having a thickness of 50 nm is used as an SiN film on a silicon substrate at 100 ° C. and 1 (MV The change in leakage current (Leakage current) over time is shown with the horizontal axis representing the application time (Stress timc (min)) and the vertical axis representing the leakage current. It is a measurement result of a test (BT test).

なお、通常Cuの拡散はCu1+イオンによるといわれており、バイアスはシリコン基板中にCu1+が拡散するようにCu電極が高電位となる条件でかけている。図中、縦軸がリーク電流、横軸がストレスをかけた時間になっており、より長い時間破壊していない(リーク電流が安定している)膜がバリア性が高いといえる。図より明らかなように、HCD−SiN膜は、膜厚が50nmおよび10nmのいずれの場合にも、プラズマSiN膜よりもCuの拡散に対してバリア性があることが分かる。 In general, Cu diffusion is said to be caused by Cu 1+ ions, and the bias is applied under the condition that the Cu electrode is at a high potential so that Cu 1+ diffuses into the silicon substrate. In the figure, the vertical axis indicates the leakage current, and the horizontal axis indicates the time during which stress is applied. It can be said that a film that has not been broken for a longer time (leakage current is stable) has high barrier properties. As is apparent from the figure, the HCD-SiN film has a barrier property against Cu diffusion as compared with the plasma SiN film, regardless of whether the film thickness is 50 nm or 10 nm.

なお、ここで破壊とはリーク電流が急激に変化する点を意味し、21から厚さ50nmのP−SiN膜では13分程度、厚さ10nmのHCD−SiN膜では1000分程度、厚さ50nmのHCD−SiN膜では5000分以上である。   Here, the breakdown means a point where the leakage current changes abruptly. The P-SiN film having a thickness of 21 to 50 nm is about 13 minutes, the HCD-SiN film having a thickness of 10 nm is about 1000 minutes, and the thickness is 50 nm. It takes 5000 minutes or more for the HCD-SiN film.

HCD−SiN膜がプラズマ−SiN膜よりも薄くてもバリア性が高い理由は膜中Cl濃度が高いためであると考えられる。   The reason why the barrier property is high even if the HCD-SiN film is thinner than the plasma-SiN film is considered to be because the Cl concentration in the film is high.

図22に、破壊に要する時間(Break Time)を縦軸に、膜中Cl濃度(Cl concentration)を横軸にとってプロットした図を示した。22から分かるようにCl濃度が高いほど破壊に至るまでの時間が長くなっている。すなわちP−SiN膜では、塩素(Cl)含有原料を用いないのでClはまったく入っておらず破壊に至るまでの時間が非常に短いのに対し、HCD−SiN膜では、Cl濃度は3.4×1021cm-3に達しており、破壊に至る時間は1000分を超えていた。 FIG. 22 shows a plot in which the time required for breakage (Break Time) is plotted on the vertical axis and the Cl concentration in the film (Cl concentration) is plotted on the horizontal axis. As can be seen from FIG. 22, the higher the Cl concentration, the longer the time until destruction. That is, in the P-SiN film, since no chlorine (Cl) -containing raw material is used, no Cl is contained and the time until breakdown is very short, whereas in the HCD-SiN film, the Cl concentration is 3.4. × 10 21 cm -3 was reached, and the time to failure exceeded 1000 minutes.

ここで、Clは電気陰性度が大きく負に帯電しているため、Cu1+拡散種がClサイトにトラップされることにより、より長い時間破壊されなかったと考えられる。また、図4に示したように低温成膜したHCD−SiN膜は誘電率が5.4と小さいことが分かっている。つまり、HCD−SiN膜を用いると、誘電率の小さな膜をより薄膜で使用しても高い絶縁耐圧を得ることが可能である。このことによる配線間容量の低減は従来のDCS−SiN膜と比較して約20%になる。 Here, since Cl has a large electronegativity and is negatively charged, it is considered that Cu 1+ diffusion species were not destroyed for a longer time by being trapped at the Cl site. Further, as shown in FIG. 4, it is known that the HCD-SiN film formed at a low temperature has a dielectric constant as small as 5.4. That is, when an HCD-SiN film is used, a high withstand voltage can be obtained even if a film having a small dielectric constant is used as a thin film. This reduces the inter-wiring capacitance by about 20% compared to the conventional DCS-SiN film.

なお、第4、第5の実施形態に係る発明の実施は上記に記載の半導体装置ないしはその製造方法に限ることは無く、広く低誘電率を求められる絶縁膜、高耐圧を求められる絶縁膜に適用可能である。例えば、IGBT等のパワー素子に適用することも可能である。   The implementation of the inventions according to the fourth and fifth embodiments is not limited to the semiconductor device or the manufacturing method thereof described above, and it is widely applied to an insulating film that requires a low dielectric constant and an insulating film that requires a high breakdown voltage. Applicable. For example, it can be applied to a power element such as an IGBT.

また、上記第4、第5の実施形態では、シリコン窒化膜の形成原料としてヘキサクロルジシランを用いた例について説明したが、本発明の実施はこれに限られることは無く、一般にSin Cl2n+2(nは2以上の整数)記載可能なシリコン塩化物ガスであれば実施可能である。これらのCl基の多い気体を用いることで塩素の濃度の高いシリコン窒化膜を形成することが出来る。 In the fourth and fifth embodiments, the example in which hexachlorodisilane is used as the raw material for forming the silicon nitride film has been described. However, the present invention is not limited to this, and generally Si n Cl 2n is used. +2 (n is an integer of 2 or more) are feasible if possible silicon chloride gas described. A silicon nitride film having a high chlorine concentration can be formed by using a gas containing a large amount of Cl groups.

(第6の実施形態)
図23は、本発明の第6の実施形態を示した図であり、隣接するゲート電極(或いはゲート配線)間の凹部にシリコン酸化膜を埋め込む工程を示した工程断面図である。
(Sixth embodiment)
FIG. 23 is a process cross-sectional view showing a sixth embodiment of the present invention and showing a process of embedding a silicon oxide film in a recess between adjacent gate electrodes (or gate wirings).

図23(a)は、通常の方法によってシリコン基板310上に形成されたゲート電極およびその周囲の構成を示している。ゲート電極はポリシリコン膜311、WN膜312およびW膜313によって形成されており、ゲート電極下にゲート絶縁膜314が形成されている。ゲート電極の上面にはキャップシリコン窒化膜315が形成され、ゲート電極の側面には側壁シリコン窒化膜316が形成されている。これらによって構成されたゲート構造の周囲にはライナーシリコン窒化膜317が形成され、さらにライナーシリコン窒化膜317の側部にはBPSG膜318が形成されている。また、隣接するゲート電極間には、ソース/ドレインとなる拡散層319が形成されている。   FIG. 23A shows a gate electrode formed on the silicon substrate 310 by a normal method and a configuration around the gate electrode. The gate electrode is formed by a polysilicon film 311, a WN film 312 and a W film 313, and a gate insulating film 314 is formed under the gate electrode. A cap silicon nitride film 315 is formed on the upper surface of the gate electrode, and a sidewall silicon nitride film 316 is formed on the side surface of the gate electrode. A liner silicon nitride film 317 is formed around the gate structure constituted by these, and a BPSG film 318 is formed on the side of the liner silicon nitride film 317. Further, a diffusion layer 319 serving as a source / drain is formed between adjacent gate electrodes.

次に、図23(b)に示すように、ゲート電極間に凹部320が形成された基板上にシリコン酸化膜321を以下のようにして形成する。   Next, as shown in FIG. 23B, a silicon oxide film 321 is formed on the substrate in which the recesses 320 are formed between the gate electrodes as follows.

まず、図23(a)に示した構造を形成した後、LPCVD法により、シリコン窒化膜を形成する。原料ガスには、ヘキサクロロジシラン(HCD、Si2Cl6)およびアンモニア(NH3)を用いる。なお、希釈ガスとして、N2ガス或いは希ガスを用いてもよい。成膜条件は、成膜温度250℃、ガス流量比NH3/HCD=1000/10、反応炉内圧力1.4Torrとする。これにより、全面に塩素を含有するシリコン窒化膜(SiN:HCl組成)が形成される。上述した条件での成膜速度は0.26nm/分であった。 First, after forming the structure shown in FIG. 23A, a silicon nitride film is formed by LPCVD. As the source gas, hexachlorodisilane (HCD, Si 2 Cl 6 ) and ammonia (NH 3 ) are used. Note that N 2 gas or rare gas may be used as the dilution gas. The film formation conditions are a film formation temperature of 250 ° C., a gas flow rate ratio NH 3 / HCD = 1000/10, and a reactor pressure of 1.4 Torr. As a result, a silicon nitride film (SiN: HCl composition) containing chlorine is formed on the entire surface. The film formation rate under the above-described conditions was 0.26 nm / min.

図24は、成膜されたシリコン窒化膜に含まれる各元素のSIMSプロファイルを示した図である、酸素(O)、水素(H)および塩素(Cl)については濃度を、窒素(N)についてはイオンカウントを示している。ここでは、HCDを用いて250℃で成膜したシリコン窒化膜が酸化されないようにするため、その上面に450℃で成膜したシリコン窒化膜を形成している。250℃で成膜したシリコン窒化膜中には、1×1022cm-3程度の塩素が含有されていることがわかる。 FIG. 24 is a diagram showing SIMS profiles of each element contained in the formed silicon nitride film. Concentrations for oxygen (O), hydrogen (H), and chlorine (Cl), and nitrogen (N) Indicates the ion count. Here, in order not to oxidize the silicon nitride film formed at 250 ° C. using HCD, the silicon nitride film formed at 450 ° C. is formed on the upper surface thereof. It can be seen that the silicon nitride film formed at 250 ° C. contains about 1 × 10 22 cm −3 of chlorine.

次に、成膜したシリコン窒化膜を緩やかな条件で酸化し、塩素を含有するシリコン酸化膜321に変換する。このときの条件は、例えば、O2雰囲気、酸化温度600℃、酸化時間10分とする。この膜変換処理により、膜厚が20%程度増加する(例えば、22.9nmから27.8nmに膜厚が増加する)。また、屈折率は、1.56から1.43へと減少し、通常のシリコン酸化膜とほぼ同等の値を示す。すなわち、緩やかな条件で酸化を行うことにより、シリコン窒化膜は体積膨張を伴ってシリコン酸化膜321へと変換される。ちなみに、上述した条件で成膜したシリコン窒化膜は、室温で大気中に長時間放置することによっても、シリコン酸化膜へと変化する。 Next, the formed silicon nitride film is oxidized under mild conditions, and converted into a silicon oxide film 321 containing chlorine. The conditions at this time are, for example, an O 2 atmosphere, an oxidation temperature of 600 ° C., and an oxidation time of 10 minutes. By this film conversion treatment, the film thickness increases by about 20% (for example, the film thickness increases from 22.9 nm to 27.8 nm). Further, the refractive index decreases from 1.56 to 1.43, and shows a value almost equivalent to that of a normal silicon oxide film. That is, by performing oxidation under a mild condition, the silicon nitride film is converted into the silicon oxide film 321 with volume expansion. Incidentally, a silicon nitride film formed under the above-described conditions can be changed into a silicon oxide film even when left in the atmosphere at room temperature for a long time.

図25は、膜変換されたシリコン酸化膜に含まれる各元素のSIMSプロファイルを示した図である、酸素(O)、水素(H)および塩素(Cl)については濃度を、窒素(N)についてはイオンカウントを示している。シリコン酸化膜中には、塩素が6×1019cm-3程度、水素が1×1021cm-3程度含有されている。測定条件は、1次イオン種:Cs+、1次加速電圧:5kV、スパッタレート:0.4nm/秒である。また、NSi43(原子量14のNと原子量29のSiからなるセグメントのイオン)のイオンカウントは、6×102(CPS)程度であった。なお、HCDを用いて650℃で成膜した窒素を4×1022cm-3含むシリコン窒化膜では、上記測定条件においてNSi43のイオンカウントは5×105(CPS)であった。 FIG. 25 is a diagram showing SIMS profiles of each element contained in the silicon oxide film after film conversion. Concentrations are shown for oxygen (O), hydrogen (H) and chlorine (Cl), and nitrogen (N). Indicates the ion count. The silicon oxide film contains about 6 × 10 19 cm −3 of chlorine and about 1 × 10 21 cm −3 of hydrogen. The measurement conditions are primary ion species: Cs + , primary acceleration voltage: 5 kV, and sputtering rate: 0.4 nm / second. Moreover, the ion count of NSi43 (segment ion composed of N of atomic weight 14 and Si of atomic weight 29) was about 6 × 10 2 (CPS). In the silicon nitride film containing 4 × 10 22 cm −3 of nitrogen formed at 650 ° C. using HCD, the ion count of NSi43 was 5 × 10 5 (CPS) under the above measurement conditions.

本実施形態によれば、原料ガスにHCDを用いたLPCVD法により低温で塩素を含有するシリコン窒化膜を形成し、このシリコン窒化膜を酸化してシリコン酸化膜に変換することにより、凹部や段差部に均一かつ均質にシリコン酸化膜を埋め込むことができる。また、仮にシリコン窒化膜に“す”が存在していたとしても、シリコン窒化膜をシリコン酸化膜に変換する際に体積膨張を伴うので、“す”のないシリコン酸化膜を得ることができる。   According to this embodiment, a silicon nitride film containing chlorine is formed at a low temperature by LPCVD using HCD as a source gas, and the silicon nitride film is oxidized to be converted into a silicon oxide film. The silicon oxide film can be embedded uniformly and uniformly in the part. Even if “soot” is present in the silicon nitride film, volume expansion occurs when the silicon nitride film is converted into a silicon oxide film, so that a silicon oxide film without “soot” can be obtained.

なお、上述した例では、シリコン窒化膜の成膜温度を250℃としたが、450℃未満であれば、酸化条件を適切に選ぶことにより、同様の効果が期待できる。また、上述した例では、酸化雰囲気をO2雰囲気としたが、オゾン(O3)雰囲気でもよく、オゾン雰囲気とすることでより低温でシリコン窒化膜をシリコン酸化膜に変換可能である。また、水蒸気中での酸化処理、酸化剤として機能する薬液(例えば、オゾン水や過酸化水素水等)による酸化処理等によっても、シリコン窒化膜をシリコン酸化膜に変換可能である。 In the above example, the silicon nitride film is formed at a temperature of 250 ° C. However, if it is lower than 450 ° C., the same effect can be expected by appropriately selecting the oxidation conditions. In the above-described example, the oxidizing atmosphere is the O 2 atmosphere. However, an ozone (O 3 ) atmosphere may be used, and by using the ozone atmosphere, the silicon nitride film can be converted into the silicon oxide film at a lower temperature. Also, the silicon nitride film can be converted into a silicon oxide film by oxidation treatment in water vapor, oxidation treatment using a chemical solution functioning as an oxidant (for example, ozone water, hydrogen peroxide solution, or the like).

(第7の実施形態)
図26は、本発明の第7の実施形態を示した図であり、STI構造おける素子分離溝にシリコン酸化膜を埋め込む工程を示した工程断面図である。
(Seventh embodiment)
FIG. 26 is a view showing a seventh embodiment of the present invention, and is a process sectional view showing a process of embedding a silicon oxide film in an element isolation trench in the STI structure.

図26(a)は、通常の方法によってシリコン基板330上に素子分離溝331を形成したときの構成を示している。332はシリコン酸化膜、333はシリコン窒化膜であり、本例ではさらに薄いシリコン酸化膜334を全面に形成している。   FIG. 26A shows a configuration when the element isolation trench 331 is formed on the silicon substrate 330 by a normal method. Reference numeral 332 denotes a silicon oxide film, and reference numeral 333 denotes a silicon nitride film. In this example, a thinner silicon oxide film 334 is formed on the entire surface.

図26(b)は、素子分離溝331が形成された基板上に塩素を含有するシリコン酸化膜335を形成した状態を示している。このシリコン酸化膜335は、第6の実施形態と同様、原料ガスにHCDを用いたLPCVD法により塩素を含有するシリコン窒化膜を形成し、このシリコン窒化膜を酸化してシリコン酸化膜に変換することによって得られる。   FIG. 26B shows a state in which a silicon oxide film 335 containing chlorine is formed on the substrate on which the element isolation trench 331 is formed. As in the sixth embodiment, the silicon oxide film 335 is formed by forming a silicon nitride film containing chlorine by LPCVD using HCD as a source gas, and oxidizing the silicon nitride film to convert it into a silicon oxide film. Can be obtained.

最後に、図26(c)に示すように、素子分離溝331の外部のシリコン酸化膜335をCMPにより除去して、STIによる素子分離工程が終了する。   Finally, as shown in FIG. 26C, the silicon oxide film 335 outside the element isolation trench 331 is removed by CMP, and the element isolation process by STI is completed.

本実施形態によっても、第6の実施形態と同様、素子分離溝内に“す”のないシリコン酸化膜を均一かつ均質に埋め込むことができる。   Also according to this embodiment, similarly to the sixth embodiment, a silicon oxide film without “soot” can be uniformly and uniformly embedded in the element isolation trench.

(第8の実施形態)
図27は、本発明の第8の実施形態を示した図であり、凹部を有する下地領域上にシリコン酸化膜を埋め込む工程を示した工程断面図である。下地領域としては、第6の実施形態における図23(a)の構造、或いは第7の実施形態における図26(a)の構造等があげられる。
(Eighth embodiment)
FIG. 27 is a process sectional view showing a process of embedding a silicon oxide film on a base region having a recess, showing the eighth embodiment of the present invention. Examples of the base region include the structure of FIG. 23A in the sixth embodiment, the structure of FIG. 26A in the seventh embodiment, and the like.

第6および第7の実施形態では、原料ガスにHCDを用いたLPCVD法により塩素を含有するシリコン窒化膜を凹部内全体に成膜し、これをシリコン酸化膜に変換するようにしたが、本実施形態では、シリコン窒化膜の成膜工程とシリコン酸化膜への変換工程とを複数回繰り返すことにより、最終的に凹部内全体にシリコン酸化膜を埋め込むようにしている。   In the sixth and seventh embodiments, a silicon nitride film containing chlorine is formed in the entire recess by LPCVD using HCD as a source gas, and this is converted into a silicon oxide film. In the embodiment, the silicon oxide film is finally embedded in the entire recess by repeating the silicon nitride film forming step and the silicon oxide film converting step a plurality of times.

まず、図27(a)に示すように、凹部51が形成された下地領域351上に塩素を含有するシリコン窒化膜352を形成する。このシリコン窒化膜352の形成条件等は第6の実施形態と同様である。   First, as shown in FIG. 27A, a silicon nitride film 352 containing chlorine is formed on the base region 351 in which the recess 51 is formed. The conditions for forming the silicon nitride film 352 are the same as those in the sixth embodiment.

続いて、図27(b)に示すように、シリコン窒化膜352を酸化して塩素を含有するシリコン酸化膜353に変換する。この変換処理の条件等も第6の実施形態と同様である。   Subsequently, as shown in FIG. 27B, the silicon nitride film 352 is oxidized and converted into a silicon oxide film 353 containing chlorine. The conditions for this conversion processing are the same as in the sixth embodiment.

さらに、図27(c)および図27(d)に示すように、図27(a)および図27(b)と同様にして、塩素を含有するシリコン窒化膜354を成膜した後、このシリコン窒化膜354を酸化してシリコン酸化膜355に変換する。   Further, as shown in FIGS. 27 (c) and 27 (d), a silicon nitride film 354 containing chlorine is formed in the same manner as in FIGS. 27 (a) and 27 (b). The nitride film 354 is oxidized and converted into a silicon oxide film 355.

上述したシリコン窒化膜の成膜工程とシリコン酸化膜への変換工程とを複数回繰り返すことにより、図27(e)に示すように、最終的に凹部内全体に塩素を含有するシリコン酸化膜356が形成される。   By repeating the above-described silicon nitride film forming step and silicon oxide film converting step a plurality of times, as shown in FIG. 27E, finally the silicon oxide film 356 containing chlorine in the entire recess portion. Is formed.

本実施形態によれば、シリコン窒化膜の成膜工程とシリコン酸化膜への変換工程とを複数回繰り返すので、各シリコン窒化膜の膜厚を薄くすることができる。したがって、凹部が深い場合等、1回の酸化処理でシリコン窒化膜全体をシリコン酸化膜に変換することが困難な場合であっても、容易に凹部内全体にシリコン酸化膜を形成することができる。   According to the present embodiment, since the silicon nitride film forming process and the silicon oxide film converting process are repeated a plurality of times, the thickness of each silicon nitride film can be reduced. Therefore, even when it is difficult to convert the entire silicon nitride film into a silicon oxide film by a single oxidation process, such as when the recess is deep, the silicon oxide film can be easily formed in the entire recess. .

なお、以上説明した第6〜第8の実施形態では、LPCVD法で塩素を含有するシリコン窒化膜を形成したが、シリコン窒化膜中にさらにリン(P)およびボロン(B)の少なくとも一方を含有させるようにしてもよい。リンを含有させるためには、原料ガスとしてHCDおよびアンモニアの他にさらにPH3を用い、ボロンを含有させるためには、原料ガスとしてHCDおよびアンモニアの他にさらにB26を用いるようにする。 In the sixth to eighth embodiments described above, the silicon nitride film containing chlorine is formed by LPCVD. However, the silicon nitride film further contains at least one of phosphorus (P) and boron (B). You may make it make it. In order to contain phosphorus, PH 3 is further used in addition to HCD and ammonia as a source gas, and in order to contain boron, B 2 H 6 is further used as a source gas in addition to HCD and ammonia. .

リンおよびボロンの少なくとも一方を含有したシリコン窒化膜を第6の実施形態等と同様にして酸化処理することにより、塩素の他にリンおよびボロンの少なくとも一方を含有するシリコン酸化膜(例えば、塩素を含有するBPSG膜)を凹部内に形成することができる。なお、シリコン酸化膜中のリンおよびボロンの含有量は、それぞれ3−10wt%程度にすることが好ましい。   A silicon nitride film containing at least one of phosphorus and boron is oxidized in the same manner as in the sixth embodiment, so that a silicon oxide film containing at least one of phosphorus and boron in addition to chlorine (for example, chlorine is added). BPSG film) can be formed in the recess. Note that the contents of phosphorus and boron in the silicon oxide film are each preferably about 3 to 10 wt%.

このように、シリコン酸化膜中にリンやボロンを含有させることにより、第6〜第8で述べたような効果を得られることは勿論、NaやFe等の電気特性上の劣化を引き起こす不純物のゲッタリング効果を得ることができる。また、図23で示したような構造に用いた場合には、シリコン酸化膜321(この場合、塩素の他にリンやボロンを含有するシリコン酸化膜)にRIEによってコンタクト孔を開ける際に、下層側に形成されているシリコン窒化膜に対して高選択比でエッチングを行うことができ、コンタクト孔を容易に形成することができる。   As described above, by incorporating phosphorus or boron into the silicon oxide film, the effects described in the sixth to eighth aspects can be obtained, as well as impurities such as Na and Fe that cause deterioration in electrical characteristics. A gettering effect can be obtained. Further, when the structure shown in FIG. 23 is used, when a contact hole is formed in the silicon oxide film 321 (in this case, a silicon oxide film containing phosphorus or boron in addition to chlorine) by RIE, a lower layer is formed. Etching can be performed at a high selectivity with respect to the silicon nitride film formed on the side, and a contact hole can be easily formed.

(第9の実施形態)
先ず、本発明の動機となった背景について説明する。高集積・微細化をさらに進めて、次世代半導体を実現するためには、種々の技術的な問題がある。
(Ninth embodiment)
First, the background that has motivated the present invention will be described. There are various technical problems in order to further advance high integration and miniaturization and realize a next-generation semiconductor.

例えば、適用個所が多岐にわたっているシリコン窒素化膜について問題点を示す。ここで、半導体集積回路で多岐にわたって使用されるシリコン窒化膜の用途には、電気的絶縁膜、キャパシタもしくはゲート用絶縁膜、エッチングストッパー、ハードマスク、バリア膜、パッシベーション膜などがある。   For example, problems with silicon nitride films with various application points will be shown. Here, applications of silicon nitride films widely used in semiconductor integrated circuits include electrical insulating films, capacitors or gate insulating films, etching stoppers, hard masks, barrier films, and passivation films.

半導体装置にシリコン窒化膜を適用する上での問題点とは、大きく分けて次の3つが挙げられる。   The problems in applying a silicon nitride film to a semiconductor device can be broadly divided into the following three.

1. 高集積・微細化が進んだ次世代半導体装置においては、微細な凹凸を持つ下地上に被覆性良く成膜する必要がある。通常、被覆率の良い成膜方法としてはLPCVD法が用いられる。LPCVD法を用いた場合のシリコン窒化膜の通常の成膜温度は80O℃程度である。しかしながら、次世代半導体装置においては、金属配線、バリアメタル膜、シリサイド層、浅い拡散層の形成など、耐熱性の無いものが数多く使用されるために、成膜温度80O℃程度は高すぎる。   1. In next-generation semiconductor devices with advanced integration and miniaturization, it is necessary to form a film with good coverage on a substrate having fine irregularities. Usually, the LPCVD method is used as a film forming method with a good coverage. The normal film formation temperature of the silicon nitride film when the LPCVD method is used is about 80 ° C. However, in a next-generation semiconductor device, a film temperature of about 80 ° C. is too high because many non-heat-resistant devices such as metal wiring, barrier metal film, silicide layer, and shallow diffusion layer are used.

2. エッチングストッパ膜もしくはハードマスクとして使用されるシリコン窒化膜のエッチング耐性は低いため、必要なエッチング耐性を確保するためには、シリコン窒化膜の膜厚を厚くする必要がある。膜厚が厚くなると、シリコン窒化膜の成膜時間が長くかかり、サーマルバジェットが大きくなる。このようなサーマルバジェットの下では、拡散層の伸び(再拡散)や不活性化、金属膜の凝集や腐蝕、シリサイド層の凝集など、耐熱性の無い部分でさまざまな問題が起こり、素子特性を悪化させる。また、生産性が悪くコストが増大するなどの問題がある。   2. Since the silicon nitride film used as an etching stopper film or hard mask has low etching resistance, it is necessary to increase the film thickness of the silicon nitride film in order to ensure the necessary etching resistance. As the film thickness increases, the time for forming the silicon nitride film increases and the thermal budget increases. Under such a thermal budget, various problems occur in areas with no heat resistance, such as elongation (re-diffusion) and inactivation of diffusion layers, aggregation and corrosion of metal films, and aggregation of silicide layers. make worse. In addition, there are problems such as poor productivity and increased costs.

3. シリコン窒化膜の誘電率は7.5と高い。誘電率の高い絶縁膜を複数箇所において使用すると、配線間もしくは配線層間の寄生容量が著しく増大してしまう。今後、微細化が進むことによるゲート電極間距離の縮小および配線間距離の狭ピッチ化により、今までと同じ誘電率の絶縁膜を使用すると、寄生容量はさらに増大することになってしまう。また、寄生容量が大きいことで、例えば記憶を保持するキャパシタの容量は、寄生容量の分だけ実効的な容量が減少してしまう。減少した分の容量を稼ぐためには、キャパシタの容量および面積を大きくすることが必要になる。これは、チップサイズの大型化や、生産コストの増大を招くことになる。   3. The dielectric constant of the silicon nitride film is as high as 7.5. When an insulating film having a high dielectric constant is used at a plurality of locations, the parasitic capacitance between wirings or between wiring layers is remarkably increased. In the future, if the insulating film having the same dielectric constant as before is used due to the reduction of the distance between the gate electrodes and the narrowing of the distance between the wirings as the miniaturization progresses, the parasitic capacitance will further increase. In addition, since the parasitic capacitance is large, for example, the effective capacitance of the capacitor holding the memory is reduced by the amount of the parasitic capacitance. In order to earn the reduced capacity, it is necessary to increase the capacity and area of the capacitor. This leads to an increase in chip size and an increase in production cost.

図28および図29は、本発明の第9の実施形態に係る半導体装置の製造方法を示す工程断面図である。これらの図は、DRAMセルのMOSトランジスタをチャネル長方向と垂直な方向で切断した断面を示している。   28 and 29 are process sectional views showing a method for manufacturing a semiconductor device according to the ninth embodiment of the present invention. These drawings show a cross section of a MOS transistor of a DRAM cell cut in a direction perpendicular to the channel length direction.

まず、周知の方法に従って、図28(a)に示した構造を形成する。図28(a)は、メモリセルを構成する複数のMOSトランジスタの作製が終了し、ゲート電極よりも1層上の層にビット線もしくはワード線としての金属配線を埋込み形成した後の断面図を示している。   First, the structure shown in FIG. 28A is formed according to a known method. FIG. 28A is a cross-sectional view after the fabrication of a plurality of MOS transistors constituting the memory cell is completed and a metal wiring as a bit line or a word line is embedded in a layer one layer above the gate electrode. Show.

図中、401はシリコン基板、402は多結晶シリコン膜(ゲート)、403は窒化タングステン膜(ゲート)、404はタングステン膜(ゲート)、405はシリコン窒化膜、406はシリコン酸化膜(層間絶縁膜)、407はトレンチ、408はシリコン窒化膜、409はバリアメタル膜(例えばTi膜/TiN膜)、410は金属配線(例えばW配線)を示している。   In the figure, 401 is a silicon substrate, 402 is a polycrystalline silicon film (gate), 403 is a tungsten nitride film (gate), 404 is a tungsten film (gate), 405 is a silicon nitride film, 406 is a silicon oxide film (interlayer insulating film) , 407 is a trench, 408 is a silicon nitride film, 409 is a barrier metal film (for example, Ti film / TiN film), and 410 is a metal wiring (for example, W wiring).

トレンチ407の金属配線410が埋め込まれていない部分の最大アスペクト比は、1程度(深さ150nm程度、幅150nm程度)である。バリアメタル膜409、金属配線410は、金属膜(例えばTiN膜)、金属膜(例えばW膜)を順次堆積した後、これらの金属膜をエッチバックすることで形成する。   The maximum aspect ratio of the portion of the trench 407 where the metal wiring 410 is not buried is about 1 (depth is about 150 nm, width is about 150 nm). The barrier metal film 409 and the metal wiring 410 are formed by sequentially depositing a metal film (for example, a TiN film) and a metal film (for example, a W film) and then etching back these metal films.

次に図28(b)に示すように、厚さ200nmのキャップ絶縁膜としてのシリコン窒化膜411を、制御性および被覆性に優れた成膜法であるLPCVD法により形成する。   Next, as shown in FIG. 28B, a silicon nitride film 411 as a cap insulating film having a thickness of 200 nm is formed by the LPCVD method which is a film forming method excellent in controllability and covering property.

シリコン窒化膜411は均質かつ均一である必要があり、さらにシリコン窒化膜411はトレンチ407に隙間を生じることなく形成する必要がある。そのため、シリコン窒化膜411の成膜には、LPCVD法のような被覆性の良い成膜方法が用いられる。   The silicon nitride film 411 needs to be uniform and uniform, and the silicon nitride film 411 needs to be formed without generating a gap in the trench 407. Therefore, a film forming method with good coverage such as the LPCVD method is used for forming the silicon nitride film 411.

また、バリアメタル膜409は耐熱性が無いため、ジクロロシラン(DCS)を原料に用いたシリコン窒化膜の成膜方法、すなわちシリコン窒化膜の成膜に高温および長時間(例えば、7OO℃、330分)を要する従来の成膜方法では、コンタクト部分のチタンシリサイド層が凝集したり、拡散層中の不純物の不活性化が起きてしまう。   Further, since the barrier metal film 409 has no heat resistance, a silicon nitride film forming method using dichlorosilane (DCS) as a raw material, that is, a silicon nitride film is formed at a high temperature and for a long time (for example, 7OO ° C., 330 In the conventional film forming method that requires a minute), the titanium silicide layer in the contact portion aggregates or the impurities in the diffusion layer are deactivated.

そこで、本実施形態では、7OO℃以下の低温成膜が可能なシリコンソース、例えばヘキサクロロジシラン(HCD)およびアンモニアを用い、成膜温度600℃、反応炉内圧0.5Torr、ガス流量比アンモニア/HCD/メチルアミン=2000/2O/2O(それぞれ、単位はsccm)の成膜条件で、LPCVD法によりシリコン窒化膜411を形成する。   Therefore, in the present embodiment, a silicon source capable of forming a film at a low temperature of 7 OO ° C. or lower, for example, hexachlorodisilane (HCD) and ammonia, a film forming temperature of 600 ° C., a reactor internal pressure of 0.5 Torr, and a gas flow ratio ammonia / HCD is used. A silicon nitride film 411 is formed by LPCVD under the film formation conditions of / methylamine = 2000 / 2O / 2O (each unit is sccm).

本条件でのシリコン窒化膜411の成膜速度は1.3nm/min.である。本方法により、シリコン窒化膜411膜中には、不純物として、水素、塩素および炭素が含まれる。水素濃度は5×1O21cm-3、塩素濃度は9x1020cm-3および炭素濃度は5x1021cm-3である。本発明の効果を十分に得るには、塩素濃度および炭素濃度は4×1020cm-3以上が好ましい。そのためにはシリコン窒化膜411の成膜温度を700℃以下に設定すると良い。 The deposition rate of the silicon nitride film 411 under this condition is 1.3 nm / min. It is. By this method, the silicon nitride film 411 contains hydrogen, chlorine and carbon as impurities. The hydrogen concentration is 5 × 10 21 cm −3 , the chlorine concentration is 9 × 10 20 cm −3, and the carbon concentration is 5 × 10 21 cm −3 . In order to sufficiently obtain the effects of the present invention, the chlorine concentration and the carbon concentration are preferably 4 × 10 20 cm −3 or more. For this purpose, the film formation temperature of the silicon nitride film 411 is preferably set to 700 ° C. or lower.

本実施形態では、炭素の供給源としてメチルアミンについて述ぺたが、炭化水素化合物やアミン系炭化物、例えばメタン、エタン、エチレン、アセチレン、ジメチルアミンなどのいずれでも可能である。   In the present embodiment, methylamine is described as the carbon supply source, but any of hydrocarbon compounds and amine-based carbides such as methane, ethane, ethylene, acetylene, dimethylamine and the like can be used.

次に図29(c)に示すように、トレンチ407の外部のシリコン窒化膜411をCMPにより除去し、表面を平坦にする。このとき、シリコン酸化膜406をCMPストッパーに用いて平坦化を行う。上記CMPは、シリコン窒化膜を研磨する一般的な条件、例えば小粒径シリカとりん酸2.5wt.%と水からなるスラリーを用い、研磨パッド荷重は2OOg重とする。   Next, as shown in FIG. 29C, the silicon nitride film 411 outside the trench 407 is removed by CMP to flatten the surface. At this time, planarization is performed using the silicon oxide film 406 as a CMP stopper. The CMP is performed under general conditions for polishing a silicon nitride film, for example, small particle size silica and phosphoric acid 2.5 wt. % And water, and the polishing pad load is 2 OOg.

CMPの研磨速度は、成膜温度を低温化することおよびシリコンソースを変えたことによって影響を受けず、上記研磨条件の場合、従来方法および本実施形態の方法により形成されたいずれのシリコン窒化膜もその研磨速度は2Onm/min.であった。すなわち、本発明の方法によりキャップ絶縁膜としてのシリコン窒化膜を形成しても、平坦化に関しては従来技術と変わらない研磨加工特性が得られることが確認された。   The polishing rate of CMP is not affected by lowering the film forming temperature and changing the silicon source. In the case of the above polishing conditions, any silicon nitride film formed by the conventional method and the method of this embodiment is used. The polishing rate is 2 Onm / min. Met. That is, it was confirmed that even if a silicon nitride film as a cap insulating film is formed by the method of the present invention, polishing characteristics that are the same as those of the prior art can be obtained with respect to planarization.

このように本実施形態の方法によれば、シリコン窒化膜を低温で形成することができるので、キャップ絶縁膜の形成工程(シリコン窒化膜411の形成工程)でデバイス特性が劣化するという問題は起こらない。   As described above, according to the method of the present embodiment, the silicon nitride film can be formed at a low temperature. Therefore, there is a problem that the device characteristics are deteriorated in the cap insulating film forming process (silicon nitride film 411 forming process). Absent.

また、本実施形態の方法によれば、シリコン窒化膜の密度を小さくでき、シリコン窒化膜の誘電率を小さくできることが分かった。   Further, according to the method of the present embodiment, it was found that the density of the silicon nitride film can be reduced and the dielectric constant of the silicon nitride film can be reduced.

図30に、メチルアミンを添加していないシリコン窒化膜、すなわち炭素を導入していないシリコン窒化膜の誘電率の成膜温度依存性の結果を示す。ちなみに、炭素を導入したシリコン窒化膜の誘電率は、成膜温度60O℃で、6.4であった。図中、白丸はDCS−SiN膜、黒丸はHCD−SiN膜を示している。   FIG. 30 shows the result of the film formation temperature dependence of the dielectric constant of a silicon nitride film to which methylamine is not added, that is, a silicon nitride film into which carbon is not introduced. Incidentally, the dielectric constant of the silicon nitride film introduced with carbon was 6.4 at a film forming temperature of 60 ° C. In the figure, white circles indicate DCS-SiN films, and black circles indicate HCD-SiN films.

次に図29(d)に示すように、レジストパターン(不図示)を形成し、シリコン窒化膜411および上記レジストパターンをマスクに用いて、シリコン酸化膜406をRIE(Reactive Ion Etcher)によりエッチングし、コンタクトホール412を自己整合的に開口する。   Next, as shown in FIG. 29D, a resist pattern (not shown) is formed, and the silicon oxide film 406 is etched by RIE (Reactive Ion Etcher) using the silicon nitride film 411 and the resist pattern as a mask. The contact hole 412 is opened in a self-aligning manner.

シリコン窒化膜411のRIE(Reactive Ion Etching)エッチングレートの成膜温度に対する依存性はほとんど無い。   The RIE (Reactive Ion Etching) etching rate of the silicon nitride film 411 hardly depends on the film forming temperature.

図31に、炭素を含まないシリコン窒化膜のRIEレートの成膜温度依存性を示す。図から、成膜温度550℃まで、成膜温度7O0℃のDCS−SiN膜(従来のシリコン窒化膜)と変わらない。成膜温度を450℃にまで下げると、RIEレートはやや大きくなる。   FIG. 31 shows the deposition temperature dependence of the RIE rate of a silicon nitride film that does not contain carbon. From the figure, it is the same as a DCS-SiN film (conventional silicon nitride film) having a film forming temperature of 70 ° C. up to a film forming temperature of 550 ° C. When the film forming temperature is lowered to 450 ° C., the RIE rate increases slightly.

図32に、RIEレートとシリコン窒化膜中の炭素濃度との関係を示す。図から、シリコン窒化膜中に炭素を導入することにより、炭素を導入しないシリコン窒化膜に比べて、RIEレートを2割程度小さくできることが分かる。   FIG. 32 shows the relationship between the RIE rate and the carbon concentration in the silicon nitride film. From the figure, it can be seen that by introducing carbon into the silicon nitride film, the RIE rate can be reduced by about 20% compared to the silicon nitride film without introducing carbon.

図29(c)の工程(RIE工程)で、シリコン窒化膜411のレジストパターンで覆われていない露出分(マスクとして機能する部分)は、RIEのエッチング種(イオン、ラジカル)により、角が落ち、全体が丸まってしまう。   In the step (RIE step) of FIG. 29C, the exposed portion of the silicon nitride film 411 that is not covered with the resist pattern (the portion that functions as a mask) drops due to the RIE etching species (ions, radicals). , The whole curls up.

図33に、RIE工程でシリコン窒化膜411の全体が丸まった様子を示す。図中、点線は、CMP工程終了後かつRIE工程前のシリコン窒化膜411を示している。   FIG. 33 shows a state in which the entire silicon nitride film 411 is rounded in the RIE process. In the drawing, the dotted line shows the silicon nitride film 411 after the CMP process and before the RIE process.

キャップ絶縁膜としてのシリコン窒化膜は、その真横に形成される電極とその上部に形成されるキャパシタの下部電極とを電気的に絶縁する機能を有する必要がある。そのため、キャップ絶縁膜としてのシリコン窒化膜は、RIE工程の終了後も、ある程度の厚さを残していなければならない。   The silicon nitride film as the cap insulating film needs to have a function of electrically insulating the electrode formed right next to the cap insulating film and the lower electrode of the capacitor formed thereon. For this reason, the silicon nitride film as the cap insulating film must remain to some extent even after the RIE process is finished.

従来の炭素の導入されていないシリコン窒化膜の削れ量は、上部で18nm、角の部分で70nmであったが、本発明の炭素が導入されたシリコン窒化膜411の削れ量は、上部で14nn、角部で54nmであった。   The conventional silicon nitride film into which carbon has not been introduced is 18 nm at the top and 70 nm at the corners, but the silicon nitride film 411 into which the carbon of the present invention has been introduced is 14 nn at the top. The corner was 54 nm.

すなわち、従来のシリコン窒化膜を用いた場合、RIE工程後にキャップ絶縁膜として使用可能な程度の膜厚を確保するためには、RIE工程前のシリコン窒化膜の膜厚を200nmにする必要があるが、本発明のシリコン窒化膜を用いれば、RIE工程前の膜厚を160nmまで薄くできる。   That is, when a conventional silicon nitride film is used, in order to secure a film thickness that can be used as a cap insulating film after the RIE process, the film thickness of the silicon nitride film before the RIE process needs to be 200 nm. However, if the silicon nitride film of the present invention is used, the film thickness before the RIE process can be reduced to 160 nm.

以上述べたように本実施形態によれば、従来よりも低誘電率かつエッチング耐性のあるシリコン窒化膜を形成することができる。したがって、従来よりも低誘電率かつ薄膜のシリコン窒化膜を使用できるようになり、半導体デバイスの層間絶縁膜による寄生容量を低減できるようになる。   As described above, according to this embodiment, it is possible to form a silicon nitride film having a lower dielectric constant and etching resistance than the conventional one. Therefore, it becomes possible to use a silicon nitride film having a lower dielectric constant and thinner than the conventional one, and the parasitic capacitance due to the interlayer insulating film of the semiconductor device can be reduced.

以下、次世代のDRAMである1G−DRAMの寄生容量の低減について具体的に説明する。   Hereinafter, the reduction of the parasitic capacitance of the 1G-DRAM which is the next generation DRAM will be specifically described.

図34(a)は本発明のシリコン窒化膜を用いたDRAMの断面図、図34(b)は従来のシリコン窒化膜を用いたDRAMの断面図を示している。   34A is a cross-sectional view of a DRAM using the silicon nitride film of the present invention, and FIG. 34B is a cross-sectional view of a DRAM using a conventional silicon nitride film.

なお、実際の半導体デバイスにおいては、配線同士や、電極と配線が複雑に交差しているため、生成する電界分布も複雑になっている。そのため、図には、寄生容量に寄与する電極配置の一例のみを示してある。また、図28および図29と対応する部分には、図28および図29と同一符号を付してある。図中、413はLDD構造を有するソース/ドレイン拡散層、414および415はゲート側壁絶縁膜を示している。   In an actual semiconductor device, since the wirings and the electrodes and the wirings intersect in a complicated manner, the generated electric field distribution is also complicated. Therefore, only one example of the electrode arrangement that contributes to the parasitic capacitance is shown in the drawing. 28 and 29 are denoted by the same reference numerals as in FIGS. 28 and 29. In the figure, reference numeral 413 denotes a source / drain diffusion layer having an LDD structure, and reference numerals 414 and 415 denote gate side wall insulating films.

寄生容量は、例えば、ゲート電極402−404と金属配線410との間に発生する。本発明によれば、ゲート電極・金属配線間には、従来よりも誘電率が低く、かつ膜厚の薄いシリコン窒化膜411が形成されているため、寄生容量を十分に低減することが可能になる。   For example, the parasitic capacitance is generated between the gate electrodes 402 to 404 and the metal wiring 410. According to the present invention, since the silicon nitride film 411 having a lower dielectric constant and a smaller film thickness is formed between the gate electrode and the metal wiring, the parasitic capacitance can be sufficiently reduced. Become.

図34(a)には、ゲート電極・金属配線間の距離が大きい例を示した。この場合において、ゲート電極のピッチがより狭くなると、本発明のシリコン窒化膜の低誘電率化・薄膜化による効果はより顕著になる。   FIG. 34A shows an example in which the distance between the gate electrode and the metal wiring is large. In this case, when the pitch of the gate electrodes is narrower, the effect of lowering the dielectric constant and reducing the thickness of the silicon nitride film of the present invention becomes more remarkable.

寄生容量を小さくできると、キャパシタ面積を小さくでき、配線間距離およびゲート間距離も小さくすることが可能になり、最終的にはチップサイズも小さくすることができる。また、いわゆるRC遅延抵抗が小さくなることから、デバイス特性も向上する。   If the parasitic capacitance can be reduced, the capacitor area can be reduced, the distance between wirings and the distance between gates can be reduced, and finally the chip size can be reduced. In addition, since so-called RC delay resistance is reduced, device characteristics are also improved.

一方、従来の技術では、シリコン窒化膜411を200nm形成する。従来のシリコン窒化膜411は、典型的には、成膜温度780℃、反応炉内圧66.5Pa、ジククロロシラン/アンモニア流量比150sccm/1500sccmの条件で形成する。この場合のシリコン窒化膜411成膜速度は3.0nm/min.程度である。しかし、シリコン窒化膜411を780℃で形成すると、バリアメタル膜409の熱耐圧が持たず、金属配線410とシリコン基板401と反応してしまう。   On the other hand, in the conventional technique, the silicon nitride film 411 is formed to 200 nm. The conventional silicon nitride film 411 is typically formed under the conditions of a film forming temperature of 780 ° C., a reactor internal pressure of 66.5 Pa, and a dichlorosilane / ammonia flow rate ratio of 150 sccm / 1500 sccm. In this case, the deposition rate of the silicon nitride film 411 is 3.0 nm / min. Degree. However, when the silicon nitride film 411 is formed at 780 ° C., the barrier metal film 409 does not have the thermal pressure resistance, and reacts with the metal wiring 410 and the silicon substrate 401.

そもそも、シリコン窒化膜411を780℃で形成すると、既に形成したあったMOSトランジスタがダメージを受け、MOSトランジスタは使い物にならなくなってしまう。   In the first place, when the silicon nitride film 411 is formed at 780 ° C., the already formed MOS transistor is damaged, and the MOS transistor becomes useless.

従来の技術でも、成膜温度を700℃に下げることは可能である。しかし、成膜温度700℃での成膜速度は0.7nm/min.であるため、厚さ200nmのキャップシリコン窒化膜を形成するためには5時間弱かかってしまう。   Even with the conventional technique, the film forming temperature can be lowered to 700 ° C. However, the deposition rate at a deposition temperature of 700 ° C. is 0.7 nm / min. Therefore, it takes less than 5 hours to form a cap silicon nitride film having a thickness of 200 nm.

実際のプロセスでは、温度が均一になるのに要する時間およびパージに要する時間などが必要であり、プロセス全体では9時間程度の成膜時間を要する。すなわち、700℃という比較的高温の成膜温度で、キャップシリコン窒化膜の成膜を行っても、生産性が非常に悪くなる。   In an actual process, the time required for the temperature to become uniform and the time required for purging are required, and the entire process requires a film formation time of about 9 hours. That is, even if the cap silicon nitride film is formed at a relatively high film formation temperature of 700 ° C., the productivity is very poor.

このような比較的高温・長時間のサーマルバジェットの下では、コンタクトホールの底面に形成されたTixSiy(チタンシリサイド)層(不図示)が一部分に凝集してしまうため、コンタクト抵抗が上昇してしまう。さらに、上記サーマルバジェットの下では、いったん活性化した拡散層が再び不活性化したり、あるいは拡散層が再拡散して拡散層の抵抗が上昇してしまう。   Under such a relatively high temperature / long-time thermal budget, a TixSiy (titanium silicide) layer (not shown) formed on the bottom surface of the contact hole is agglomerated in part, resulting in an increase in contact resistance. . Furthermore, under the thermal budget, the diffusion layer once activated is deactivated again, or the diffusion layer is re-diffused to increase the resistance of the diffusion layer.

以上述べたように、ジククロロシランを用いたシリコン窒化膜の形成方法において、成膜温度を下げると、生産性が非常に悪くなるという問題がある。しかし、本発明によれば、低温、かつ高速のシリコン窒化膜の形成方法、すなわち次世代の半導体装置に使用されるシリコン窒化膜の形成方法を確立することが可能となる。   As described above, in the method of forming a silicon nitride film using dichlorosilane, there is a problem that if the film forming temperature is lowered, the productivity becomes very poor. However, according to the present invention, it is possible to establish a method for forming a silicon nitride film at a low temperature and a high speed, that is, a method for forming a silicon nitride film used in the next-generation semiconductor device.

本実施形態では、本発明をキャップシリコン窒化膜に適用した場合について説明したが、ゲート上部絶縁膜414、ゲート側壁絶縁膜414,415にも適用できる。   In the present embodiment, the case where the present invention is applied to the cap silicon nitride film has been described. However, the present invention can also be applied to the gate upper insulating film 414 and the gate sidewall insulating films 414 and 415.

本実施形態では、シリコン窒化膜のRIEレートを遅くできる例について説明したが、他のエッチングレートも遅くできる。例えば、シリコン窒化膜の希フッ酸によるエッチングレートも遅くできる。   In this embodiment, the example in which the RIE rate of the silicon nitride film can be slowed has been described, but other etching rates can also be slowed. For example, the etching rate of the silicon nitride film with dilute hydrofluoric acid can be slowed.

図35に、シリコン窒化膜の炭素濃度とシリコン窒化膜の希フッ酸によるエッチングレートとの関係を示す。本実験で使用した希フッ酸溶液は、46%フッ酸を、それよりも体積が2OO倍ある水で希釈したものである。   FIG. 35 shows the relationship between the carbon concentration of the silicon nitride film and the etching rate of the silicon nitride film with dilute hydrofluoric acid. The dilute hydrofluoric acid solution used in this experiment is obtained by diluting 46% hydrofluoric acid with water having a volume 2OO times larger than that.

図から、シリコン窒化膜中に炭素を導入することで、シリコン窒化膜の希フッ酸によるエッチングレートを小さくできることが分かる。これは、炭素の有無により、シリコン窒化膜どうしでエッチング選択比が取れることを意味する。   From the figure, it can be seen that by introducing carbon into the silicon nitride film, the etching rate of the silicon nitride film with dilute hydrofluoric acid can be reduced. This means that the etching selectivity can be obtained between silicon nitride films depending on the presence or absence of carbon.

これを積極的に利用したプロセスとしては、例えばダマシンメタルゲートプロセスがあげられる。すなわち、図36(a)に示すように、ダミーゲートとして炭素を含まないシリコン窒化膜501を形成し、ゲート側壁絶縁膜として炭素を含むシリコン窒化膜502を形成した後、図36(b)に示すように、希フッ酸溶液を用いたウエットエッチングによりシリコン窒化膜502を容易に選択的に除去することができる。なお、図中、500はシリコン基板、503はゲート絶縁膜、504はLDD構造を有するソース/ドレイン拡散層、505は層間絶縁膜を示している。   For example, a damascene metal gate process can be cited as a process that actively uses this. That is, as shown in FIG. 36A, a silicon nitride film 501 not containing carbon is formed as a dummy gate, and a silicon nitride film 502 containing carbon is formed as a gate side wall insulating film. As shown, the silicon nitride film 502 can be easily and selectively removed by wet etching using a diluted hydrofluoric acid solution. In the figure, 500 is a silicon substrate, 503 is a gate insulating film, 504 is a source / drain diffusion layer having an LDD structure, and 505 is an interlayer insulating film.

本発明の第1および第2の実施形態に係る半導体装置の製造方法の前半を示す工程断面図Process sectional drawing which shows the first half of the manufacturing method of the semiconductor device which concerns on the 1st and 2nd embodiment of this invention 本発明の第1および第2の実施形態に係る半導体装置の製造方法の後半を示す工程断面図Process sectional drawing which shows the second half of the manufacturing method of the semiconductor device which concerns on the 1st and 2nd embodiment of this invention 本発明のシリコン窒化膜(HCD−SiN膜)の塩素濃度の成膜温度依存性を示す図The figure which shows the film-forming temperature dependence of the chlorine concentration of the silicon nitride film (HCD-SiN film) of this invention HCD−SiNの誘電率の成膜温度依存性を示す図The figure which shows the film-forming temperature dependence of the dielectric constant of HCD-SiN シリコン窒化膜中の塩素濃度のCMP速度依存性を示す特性図Characteristic diagram showing CMP rate dependence of chlorine concentration in silicon nitride film HCD−SiN膜のRIEレートの成膜温度依存性およびDCS−SiN膜の成膜温度700℃におけるRIEレートを示す図The figure which shows the film formation temperature dependence of the RIE rate of a HCD-SiN film | membrane, and the RIE rate in the film formation temperature of 700 degreeC of a DCS-SiN film | membrane. HCD−SiN膜に対するTEOS酸化膜のRIEの選択比の成膜温度およびアンモニア流量の依存性を示す図The figure which shows the dependence of the film forming temperature and the ammonia flow rate of the RIE selection ratio of the TEOS oxide film with respect to the HCD-SiN film HCD−SiN膜の成膜速度の成膜温度依存性を示す図The figure which shows the film-forming temperature dependence of the film-forming speed of a HCD-SiN film HCD−SiN膜のシリコンの結合状態を光電子分光測定によって調べた結果を示す図The figure which shows the result of having investigated the bonding state of the silicon | silicone of a HCD-SiN film | membrane by photoelectron spectroscopy measurement 第2の実施形態の方法において成膜温度を変えて形成した各シリコン窒化膜のN/Si比を化学分析にて調べた結果を示す図The figure which shows the result of having investigated the N / Si ratio of each silicon nitride film formed by changing the film-forming temperature in the method of 2nd Embodiment by chemical analysis 第2の実施形態の方法において成膜温度を変えて形成したHCD−SiN膜の密度および成膜温度700℃で形成したDCS−SiN膜の密度を調べた結果を示す図The figure which shows the result of having investigated the density of the HCD-SiN film formed by changing the film-forming temperature in the method of 2nd Embodiment, and the density of the DCS-SiN film formed at the film-forming temperature of 700 degreeC. 本発明の第3の実施形態に係るMOSトランジスタの製造方法の前半を示す工程断面図Process sectional drawing which shows the first half of the manufacturing method of the MOS transistor which concerns on the 3rd Embodiment of this invention 本発明の第3の実施形態に係るMOSトランジスタの製造方法の中半を示す工程断面図Process sectional drawing which shows the middle half of the manufacturing method of the MOS transistor which concerns on the 3rd Embodiment of this invention 本発明の第3の実施形態に係るMOSトランジスタの製造方法の後半を示す工程断面図Process sectional drawing which shows the second half of the manufacturing method of the MOS transistor which concerns on the 3rd Embodiment of this invention 従来技術のみを用いて、ダミーゲートおよびゲート側壁絶縁膜を形成した場合の図14(g)の断面図に相当する断面図Sectional drawing equivalent to sectional drawing of FIG.14 (g) at the time of forming a dummy gate and a gate side wall insulating film only using a prior art ヘキサクロロジシランを用いて形成したシリコン窒化膜の希弗酸によるエッチングレートの成膜温度依存性を示す図Diagram showing the dependence of the etching rate of dilute hydrofluoric acid on the silicon nitride film formed using hexachlorodisilane. Si原料がSi2 Cl6 系のシリコン窒化膜の成膜中に流す窒素流量とシリコン窒化膜のウエットエッチングレートとの関係を示す図Figure Si raw material showing the relationship between the wet etching rate of the nitrogen flow rate and the silicon nitride film flowing during deposition of the silicon nitride film of Si 2 Cl 6 system 本発明の第4の実施例に係る半導体装置の製造工程を示す工程断面図Process sectional drawing which shows the manufacturing process of the semiconductor device based on 4th Example of this invention HCD−SiN膜の熱処理前後のClとHのSIMSプロファイルを示す図The figure which shows the SIMS profile of Cl and H before and behind heat processing of a HCD-SiN film | membrane. 本発明の第5の実施例に係る半導体装置の製造工程を示す工程断面図Process sectional drawing which shows the manufacturing process of the semiconductor device which concerns on the 5th Example of this invention 各種SiN膜のリーク電流の経時変化を示す図The figure which shows the time-dependent change of the leakage current of various SiN films SiN膜が破壊に至る時間とSiN膜中のCi濃度との関係を示す図The figure which shows the relationship between the time until a SiN film | membrane breaks, and the Ci density | concentration in a SiN film | membrane. 本発明の第6の実施例に係る製造工程を示す工程断面図Process sectional drawing which shows the manufacturing process which concerns on 6th Example of this invention 本発明に係るシリコン窒化膜に含まれる各元素のSIMSプロファイルを示す図The figure which shows the SIMS profile of each element contained in the silicon nitride film which concerns on this invention 本発明に係るシリコン酸化膜に含まれる各元素のSIMSプロファイルを示す図The figure which shows the SIMS profile of each element contained in the silicon oxide film which concerns on this invention 本発明の第7の実施例に係る製造工程を示す工程断面図Process sectional drawing which shows the manufacturing process which concerns on 7th Example of this invention 本発明の第8の実施例に係る製造工程を示す工程断面図Process sectional drawing which shows the manufacturing process which concerns on the 8th Example of this invention. 本発明の第9の実施例に係る半導体装置の製造方法の前半を示す工程断面図Process sectional drawing which shows the first half of the manufacturing method of the semiconductor device based on 9th Example of this invention 本発明の第9の実施例に係る半導体装置の製造方法の後半を示す工程断面図Process sectional drawing which shows the second half of the manufacturing method of the semiconductor device based on 9th Example of this invention 炭素を導入していないシリコン窒化膜の誘電率の成膜温度依存性の結果を示す図The figure which shows the result of the deposition temperature dependence of the dielectric constant of the silicon nitride film which has not introduce | transduced carbon 炭素を含まないシリコン窒化膜のRIEレートの成膜温度依存性を示す図The figure which shows the film formation temperature dependence of the RIE rate of the silicon nitride film which does not contain carbon RIEレートとシリコン窒化膜中の炭素濃度の関係を示す図The figure which shows the relationship between the RIE rate and the carbon concentration in the silicon nitride film RIE工程でシリコン窒化膜の全体が丸まった様子を示す図The figure which shows a mode that the whole silicon nitride film was rounded by the RIE process. 本発明および従来のシリコン窒化膜を用いたDRAMの断面図Sectional view of DRAM using the present invention and a conventional silicon nitride film シリコン窒化膜の炭素濃度とシリコン窒化膜の希フッ酸によるエッチングレートとの関係を示す図The figure which shows the relationship between the carbon concentration of a silicon nitride film, and the etching rate by the diluted hydrofluoric acid of a silicon nitride film 第9の実施例の変形例を説明するための図The figure for demonstrating the modification of 9th Example 従来のDRAMセルをMOSトランジスタのチャネル長方向と垂直な方向で切断した断面を示す断面図Sectional drawing which shows the cross section which cut | disconnected the conventional DRAM cell in the direction perpendicular | vertical to the channel length direction of MOS transistor ジクロロシラン等を用いたLPCVD法によりシリコン窒化膜を形成する場合の問題点を説明するための断面図Sectional drawing for demonstrating the problem in forming a silicon nitride film by LPCVD method using dichlorosilane etc. ジクロロシラン等を用いたLPCVD法によりシリコン窒化膜を形成する場合の他の問題点を説明するための断面図Sectional drawing for demonstrating the other problem in the case of forming a silicon nitride film by LPCVD method using dichlorosilane etc. 従来のCu配線のCu配線部近傍の断面図Sectional view of the Cu wiring portion near the conventional Cu wiring シリコン窒化膜はLPCVD法を用いて形成する理由を説明するための図The figure for explaining the reason why the silicon nitride film is formed by the LPCVD method

符号の説明Explanation of symbols

101,121…シリコン基板、102…n型ドレイン拡散層、103…層間絶縁膜(SiO2 膜)、104…コンタクトホール、105…配線溝、106…シリコン窒化膜、107…Ti層、108,134…TiN膜、109…W埋込み配線、110…シリコン窒化膜(HCD−SiN膜)、122…熱酸化膜、123…素子分離絶縁膜、124…多結晶シリコン膜、125…HCD―SiN膜、126…レジストパターン、127…ダミーゲート、128…後酸化膜、129…拡散層(LDD)、130…ゲート側壁DCS−SiN膜、131…ソース/ドレイン拡散層、132…層間絶縁膜、133…ゲート絶縁膜、135…Al膜、200…ゲート電極、201…シリコン基板、201'…金属配線、203…TEOS層間酸化膜、204…TaN膜、205…SiN膜、206…ソース領域、207…ドレイン領域、208…ポリシリコン膜、209…WN膜、210…W膜、211…ゲート側壁絶縁膜、212,213…SiN膜、214…コンタクトホール、220…層間絶縁膜、311…ポリシリコン膜、312…WN膜、313…W膜、314…ゲート絶縁膜、315、316、317,350…シリコン窒化膜、318…BPSG膜、319…ソース/ドレイン拡散層、320…凹部、330…シリコン基板、331…素子分離溝、332、334…シリコン酸化膜、350…下地領域、351…凹部、352、354…塩素を含有するシリコン窒化膜、321,335,353、355、356…塩素を含有するシリコン酸化膜。   DESCRIPTION OF SYMBOLS 101,121 ... Silicon substrate, 102 ... N-type drain diffusion layer, 103 ... Interlayer insulating film (SiO2 film), 104 ... Contact hole, 105 ... Wiring groove, 106 ... Silicon nitride film, 107 ... Ti layer, 108, 134 ... TiN film, 109 ... W buried wiring, 110 ... silicon nitride film (HCD-SiN film), 122 ... thermal oxide film, 123 ... element isolation insulating film, 124 ... polycrystalline silicon film, 125 ... HCD-SiN film, 126 ... Resist pattern, 127 ... dummy gate, 128 ... post oxide film, 129 ... diffusion layer (LDD), 130 ... gate sidewall DCS-SiN film, 131 ... source / drain diffusion layer, 132 ... interlayer insulation film, 133 ... gate insulation film 135 ... Al film, 200 ... gate electrode, 201 ... silicon substrate, 201 '... metal wiring, 203 ... TEOS interlayer oxide film, 04 ... TaN film, 205 ... SiN film, 206 ... source region, 207 ... drain region, 208 ... polysilicon film, 209 ... WN film, 210 ... W film, 211 ... gate sidewall insulating film, 212, 213 ... SiN film, 214 ... contact hole, 220 ... interlayer insulating film, 311 ... polysilicon film, 312 ... WN film, 313 ... W film, 314 ... gate insulating film, 315, 316, 317, 350 ... silicon nitride film, 318 ... BPSG film, 319 ... Source / drain diffusion layer, 320 ... recess, 330 ... silicon substrate, 331 ... element isolation trench, 332, 334 ... silicon oxide film, 350 ... base region, 351 ... recess, 352, 354 ... silicon nitride containing chlorine Films, 321, 335, 353, 355, 356... Silicon oxide films containing chlorine.

Claims (4)

半導体基板の主表面上に層間絶縁膜を形成する工程と、
前記層間絶縁膜に前記半導体基板の主表面に達するコンタクトホールを形成する工程と、
前記コンタクトホールの側壁にシリコン窒化膜を形成する工程と、
前記側壁にシリコン窒化膜が形成されたコンタクトホール内にTi層およびTiN層を有するバリアメタル層を形成する工程と、
前記バリアメタル層が形成されたコンタクトホール内に導電層を形成する工程と、
Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度で、前記コンタクトホール内部を埋め込むように、前記コンタクトホール内の導電層上に塩素を含有するシリコン窒化膜をLPCVD法により形成する工程と、
CMPにより前記コンタクトホール外の部分の前記シリコン窒化膜を除去する工程と
を備えたことを特徴とする半導体装置の製造方法。
Forming an interlayer insulating film on the main surface of the semiconductor substrate;
Forming a contact hole reaching the main surface of the semiconductor substrate in the interlayer insulating film;
Forming a silicon nitride film on the side wall of the contact hole;
Forming a barrier metal layer having a Ti layer and a TiN layer in a contact hole having a silicon nitride film formed on the side wall;
Forming a conductive layer in the contact hole in which the barrier metal layer is formed;
Using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) and on the conductive layer in the contact hole so as to bury the inside of the contact hole at a film forming temperature of 700 ° C. or less. Forming a silicon nitride film containing chlorine in the LPCVD method;
And a step of removing the silicon nitride film outside the contact hole by CMP.
半導体基板上にゲート絶縁膜を介して形成されたゲート電極の側壁に側壁絶縁膜を形成する工程と、
前記半導体基板上、前記側壁絶縁膜上および前記ゲート電極上にわたり、Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度でLPCVD法によりシリコン窒化膜を形成する工程と、
前記シリコン窒化膜上に層間絶縁膜を形成する工程と、
前記ゲート電極脇の前記層間絶縁膜に前記シリコン窒化膜に達するコンタクトホールを形成する工程と、
前記コンタクトホール形成により露出した前記シリコン窒化膜を除去する工程と
を備えたことを特徴とする半導体装置の製造方法。
Forming a sidewall insulating film on the sidewall of the gate electrode formed on the semiconductor substrate via the gate insulating film;
LPCVD at a film forming temperature of 700 ° C. or lower using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) over the semiconductor substrate, the sidewall insulating film, and the gate electrode. Forming a silicon nitride film by a method;
Forming an interlayer insulating film on the silicon nitride film;
Forming a contact hole reaching the silicon nitride film in the interlayer insulating film beside the gate electrode;
And a step of removing the silicon nitride film exposed by the formation of the contact hole.
前記シリコン窒化膜はSi2 Cl6 とNH3 との混合ガスにより成膜されることを特徴とする請求項1または2記載の半導体装置の製造方法。 3. The method of manufacturing a semiconductor device according to claim 1, wherein the silicon nitride film is formed by a mixed gas of Si 2 Cl 6 and NH 3 . 半導体基板上に、Sin Cl2n+2とNH3 との混合ガスを用い(nは2以上の自然数)、700℃以下の成膜温度で形成された第1のシリコン窒化膜を有するダミーゲートを形成する工程と、
前記ダミーゲートをマスクに前記半導体基板の表面に第1の拡散層を形成する工程と、
前記ダミーゲートの側壁にジクロロシランを用いて第2のシリコン窒化膜を形成する工程と、
前記ダミーゲートおよび前記第2のシリコン窒化膜をマスクに前記半導体基板の表面に第2の拡散層を形成する工程と、
前記第2の拡散層が形成された半導体基板上および前記ダミーゲート上に層間絶縁膜を形成する工程と、
前記第1のシリコン窒化膜をストッパに前記層間絶縁膜を平坦化する工程と、
前記平坦化工程により露出した前記ダミーゲートを除去する工程と、
前記ダミーゲートの除去により露出した半導体基板上にゲート酸化膜を形成する工程と、
前記ゲート酸化膜上にメタル膜を形成する工程と
を備えたことを特徴とする半導体装置の製造方法。
A dummy gate having a first silicon nitride film formed on a semiconductor substrate at a film forming temperature of 700 ° C. or lower using a mixed gas of Si n Cl 2n + 2 and NH 3 (n is a natural number of 2 or more) . Forming a step;
Forming a first diffusion layer on the surface of the semiconductor substrate using the dummy gate as a mask;
Forming a second silicon nitride film on the sidewall of the dummy gate using dichlorosilane;
Forming a second diffusion layer on the surface of the semiconductor substrate using the dummy gate and the second silicon nitride film as a mask;
Forming an interlayer insulating film on the semiconductor substrate on which the second diffusion layer is formed and on the dummy gate;
Planarizing the interlayer insulating film using the first silicon nitride film as a stopper;
Removing the dummy gate exposed by the planarization step;
Forming a gate oxide film on the semiconductor substrate exposed by removing the dummy gate;
And a step of forming a metal film on the gate oxide film.
JP2008117677A 1999-01-08 2008-04-28 Manufacturing method of semiconductor device Expired - Lifetime JP4987796B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008117677A JP4987796B2 (en) 1999-01-08 2008-04-28 Manufacturing method of semiconductor device

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP289599 1999-01-08
JP1999002895 1999-01-08
JP16320299 1999-06-10
JP1999163202 1999-06-10
JP27470399 1999-09-28
JP1999274703 1999-09-28
JP2008117677A JP4987796B2 (en) 1999-01-08 2008-04-28 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP35946399A Division JP2001168092A (en) 1999-01-08 1999-12-17 Semiconductor device and its manufacturing method

Publications (2)

Publication Number Publication Date
JP2008244490A JP2008244490A (en) 2008-10-09
JP4987796B2 true JP4987796B2 (en) 2012-07-25

Family

ID=39915359

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008117677A Expired - Lifetime JP4987796B2 (en) 1999-01-08 2008-04-28 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4987796B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP5052638B2 (en) * 2010-03-17 2012-10-17 Sppテクノロジーズ株式会社 Deposition method
JP5975617B2 (en) * 2011-10-06 2016-08-23 キヤノン株式会社 Solid-state imaging device, manufacturing method thereof, and camera
JPWO2013054655A1 (en) 2011-10-14 2015-03-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and recording medium
KR20140059107A (en) * 2012-11-07 2014-05-15 주식회사 유피케미칼 Method for forming silicon nitride thin film
JP2016039226A (en) * 2014-08-07 2016-03-22 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2019029448A (en) * 2017-07-27 2019-02-21 キヤノン株式会社 Imaging device, camera, and manufacturing method of imaging device
JP7076971B2 (en) * 2017-09-28 2022-05-30 キヤノン株式会社 Imaging equipment and its manufacturing method and equipment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6379974A (en) * 1986-09-24 1988-04-09 Semiconductor Energy Lab Co Ltd Production of film
JPH08148564A (en) * 1994-11-22 1996-06-07 Sony Corp Manufacture of semiconductor device
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
JP3315287B2 (en) * 1995-03-22 2002-08-19 株式会社東芝 Semiconductor device and manufacturing method thereof
DE19640246A1 (en) * 1996-09-30 1998-04-02 Siemens Ag Protected barrier semiconductor device for a stacked cell
JPH10189966A (en) * 1996-12-26 1998-07-21 Toshiba Corp Semiconductor device and manufacture thereof
JP2001168092A (en) * 1999-01-08 2001-06-22 Toshiba Corp Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
JP2008244490A (en) 2008-10-09

Similar Documents

Publication Publication Date Title
USRE46122E1 (en) Semiconductor device and method of manufacturing the same
JP4987796B2 (en) Manufacturing method of semiconductor device
US6461937B1 (en) Methods of forming trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6511888B1 (en) Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step
KR100940395B1 (en) Semiconductor integrated circuit device
JP2010021204A (en) Semiconductor device and manufacturing method thereof
US20100184240A1 (en) Semiconductor device and method of manufacturing the same
JP4724146B2 (en) Semiconductor device
JP4672697B2 (en) Manufacturing method of semiconductor device
KR100670925B1 (en) Semiconductor device and method of manufacturing the same
US6916736B2 (en) Method of forming an intermetal dielectric layer
US9379194B2 (en) Floating gate NVM with low-moisture-content oxide cap layer
TW200403763A (en) Manufacturing method of semiconductor integrated circuit device
US6472751B1 (en) H2 diffusion barrier formation by nitrogen incorporation in oxide layer
KR20150064330A (en) Semiconductor devices and methods of manufacturing the same
US7052970B2 (en) Method for producing insulator structures including a main layer and a barrier layer
US7842603B2 (en) Method for fabricating semiconductor memory device
US20100308383A1 (en) Semiconductor device having a porous insulation layer with a permeation prevention layer coating the pores and method for manufacturing the same
JP2000307004A (en) Silicon nitride composite hdp/cvd process
KR100596277B1 (en) Semiconductor device and method of manufacturing dielectric layer thereof
KR20020092682A (en) Method of Forming Dielectric layer in Semiconductor Device
KR100945502B1 (en) Method for forming metal line of flash memory semiconductor device
KR100545699B1 (en) Method for forming plug for capacitor contact of semiconductor device
KR20060000795A (en) A method for forming a poly silicon layer in semiconductor device
KR20030043499A (en) Method of manufacturing a flash memory cell

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120403

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120425

R151 Written notification of patent or utility model registration

Ref document number: 4987796

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term