JP4769586B2 - Plasma reactor and method for improving the uniformity of plasma ion concentration distribution - Google Patents

Plasma reactor and method for improving the uniformity of plasma ion concentration distribution Download PDF

Info

Publication number
JP4769586B2
JP4769586B2 JP2006019588A JP2006019588A JP4769586B2 JP 4769586 B2 JP4769586 B2 JP 4769586B2 JP 2006019588 A JP2006019588 A JP 2006019588A JP 2006019588 A JP2006019588 A JP 2006019588A JP 4769586 B2 JP4769586 B2 JP 4769586B2
Authority
JP
Japan
Prior art keywords
plasma
magnetic field
distribution
electromagnets
support surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006019588A
Other languages
Japanese (ja)
Other versions
JP2006237590A (en
Inventor
ジェイ. ホフマン ダニエル
エー. リンドリー ロジャー
シー. クトニー ミッシェル
ジェイ. サリナス マーティン
エフ. タヴァッソリ ハミッド
啓治 堀岡
エー. ブッシュバーガー ジュニア. ダグラス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006237590A publication Critical patent/JP2006237590A/en
Application granted granted Critical
Publication of JP4769586B2 publication Critical patent/JP4769586B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C19/00Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving
    • E01C19/12Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials
    • E01C19/16Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials for applying or spreading liquid materials, e.g. bitumen slurries
    • E01C19/17Application by spraying or throwing
    • E01C19/178Elements or attachments for spreading-out or smoothing-down the applied material, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C11/00Details of pavings
    • E01C11/24Methods or arrangements for preventing slipperiness or protecting against influences of the weather

Description

関連出願の相互参照Cross-reference of related applications

[01]本願は、Daniel Hoffmanらによる2004年5月7日出願の米国特許出願第10/841,116号「CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL」の一部継続出願であり、この出願はDaniel Hoffmanらによる2002年7月9日出願の米国特許出願第10/192,271号「CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL」の分割出願であり、いずれも本願の譲受人に譲渡されている。   [01] This application is a continuation-in-part of US Patent Application No. 10 / 841,116 “CAPACTIVELY COUPLED PLASMA REACTOR WITH MANETIC PLASMA CONTROL” filed May 7, 2004 by Daniel Hoffman et al. US Patent Application No. 10 / 192,271, “CAPACTIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL”, filed July 9, 2002 by Hoffman et al., Both of which are assigned to the assignee of the present application.

背景background

[02]容量結合プラズマ反応器は、高アスペクト比の半導体マイクロエレクトロニクス構造の作製に用いられる。このような構造は、典型的に、半導体基板上に形成された1枚以上の薄膜を通る狭く深い開口を有している。容量結合プラズマ反応器は、誘電体エッチングプロセス、金属エッチングプロセス、化学気相堆積法等の、このようなデバイスを作製する各種のプロセスにおいて用いられる。このような反応器は、フォトリソグラフィマスクの作製や半導体フラットパネルディスプレイの作製にも使用される。このような用途は、所望のプロセスを強化する、または可能にするプラズマイオンに依存している。半導体加工物の表面上の半導体プラズマイオンの密度は、プロセスパラメータに影響を及ぼし、特に高アスペクト比マイクロエレクトロニクス構造の作製において重要である。実際に、高アスペクト比マイクロエレクトロニクス集積回路の作製における問題は、加工物表面にわたるプラズマイオン濃度の不均一性が、不均一なエッチング速度または堆積速度によるプロセスの不具合に繋がる可能性があることである。   [02] Capacitively coupled plasma reactors are used to fabricate high aspect ratio semiconductor microelectronic structures. Such structures typically have narrow and deep openings through one or more thin films formed on a semiconductor substrate. Capacitively coupled plasma reactors are used in various processes for making such devices, such as dielectric etch processes, metal etch processes, chemical vapor deposition, and the like. Such a reactor is also used for manufacturing a photolithography mask and a semiconductor flat panel display. Such applications rely on plasma ions that enhance or enable the desired process. The density of semiconductor plasma ions on the surface of the semiconductor workpiece affects process parameters and is particularly important in the fabrication of high aspect ratio microelectronic structures. Indeed, a problem in the fabrication of high aspect ratio microelectronic integrated circuits is that plasma ion concentration non-uniformity across the workpiece surface can lead to process failures due to non-uniform etch or deposition rates. .

[03]典型的な容量結合プラズマ反応器は、反応器チャンバ内にウエハー支持用ペデスタルを、ならびにウエハー支持部の上にあるシーリングを有する。シーリングは、チャンバ内にプロセスガスを噴霧するガス分配プレートを含むことができる。RF電源が、ウエハー支持部上のプラズマを衝突させて維持させるために、ウエハー支持部とシーリングまたは壁部にわたって印加される。チャンバが略円筒形であるのに対し、均一な処理を強化するためにシーリングとウエハー支持部が円筒チャンバと同軸の円形となっている。それでもやはり、このような反応器は不均一なプラズマ密度分布を有する。典型的には、プラズマイオンの径方向密度分布がウエハー支持部の中心上で高く、周辺部近傍で低いことが、重大な問題である。ウエハーまたは加工物表面にわたってプロセス均一性を改善するために、プラズマイオン濃度分布を制御する種々のアプローチが用いられており、少なくとも部分的にはこの問題を克服している。   [03] A typical capacitively coupled plasma reactor has a wafer support pedestal in the reactor chamber and a ceiling overlying the wafer support. The sealing can include a gas distribution plate that sprays process gas into the chamber. An RF power source is applied across the wafer support and the ceiling or wall to keep the plasma on the wafer support colliding. Whereas the chamber is generally cylindrical, the sealing and wafer support are rounded coaxially with the cylindrical chamber to enhance uniform processing. Nevertheless, such a reactor has a non-uniform plasma density distribution. Typically, a significant problem is that the radial density distribution of plasma ions is high on the center of the wafer support and low near the periphery. Various approaches to controlling plasma ion concentration distribution have been used to improve process uniformity across the wafer or workpiece surface, at least in part overcoming this problem.

[04]このようなアプローチの1つは、反応器チャンバの側面周辺に円周方向に離間され、チャンバの中心にすべてが面した磁気コイルのセットを提供することである。比較的低い周波数の正弦波電流が各コイルに供給されるが、ウエハー支持部上に低速回転する磁場を発生するために隣接するコイル内の正弦波電流の位相がオフセットされている。この特徴は、ウエハー支持部上のプラズマイオン濃度の径方向分布を改善する傾向がある。このアプローチが反応性イオンエッチングで使用される場合には、磁気強化反応性イオンエッチング(MERIE)と呼ばれる。このアプローチは一定の制限を有する。特に、磁場の強度に関連する半導体加工物上のマイクロエレクトロニクス構造に対するデバイス損傷を回避するために、磁場の強度を制限する必要があり得る。磁場強度の変化率と関連するチャンバのアーク放電を回避するために強度も制限しなければならない。結果として、全MERIE磁場は実質的に減少される必要があり得るので、プラズマイオン濃度均一性制御において実質的な制限に直面する可能性がある。   [04] One such approach is to provide a set of magnetic coils circumferentially spaced around the sides of the reactor chamber and all facing the center of the chamber. A relatively low frequency sinusoidal current is supplied to each coil, but the phase of the sinusoidal current in adjacent coils is offset to generate a slowly rotating magnetic field on the wafer support. This feature tends to improve the radial distribution of plasma ion concentration on the wafer support. When this approach is used in reactive ion etching, it is called magnetic enhanced reactive ion etching (MERIE). This approach has certain limitations. In particular, it may be necessary to limit the strength of the magnetic field in order to avoid device damage to the microelectronic structures on the semiconductor workpiece related to the strength of the magnetic field. The intensity must also be limited to avoid chamber arcing associated with the rate of change of magnetic field strength. As a result, the total MERIE magnetic field may need to be substantially reduced, so substantial limitations can be encountered in plasma ion concentration uniformity control.

[05]別のアプローチは、CMF(設定可能磁場)と呼ばれ、上記で参照したのと同じ円周方向に離間されたコイルを使用する。しかし、CMFでは、加工物支持部の平面にわたって広がる磁場を一方の側から他方の側にかけるためにコイルが動作される。加えて、磁場は、ウエハー支持部の軸の周りを回転し、径方向の時間平均された磁場を発生する。4個の並列コイルを有する反応器の場合には、1つのDC電流を一対の隣接コイルに、異なる(逆の)DC電流を反対側の一対の隣接コイルに与えることによって、これが全て成し遂げられる。上述のように、コイルはこのパターンを回転して磁場が回転するように切り替えられる。このアプローチは、CMF磁場を突然切り替えることによるチャンバまたはウエハーのアーク放電に対して脆弱であり、したがって、磁場強度は制限されなければならない。結果として、いくつかの用途では、磁場が反応器により発生されるプラズマイオン濃度の不均一性を補償するのに十分とはならない場合がある。   [05] Another approach is called CMF (configurable magnetic field) and uses the same circumferentially spaced coils referred to above. However, in CMF, the coil is operated to apply a magnetic field that extends across the plane of the workpiece support from one side to the other. In addition, the magnetic field rotates about the axis of the wafer support and generates a time averaged magnetic field in the radial direction. In the case of a reactor with four parallel coils, this is all accomplished by applying one DC current to a pair of adjacent coils and a different (reverse) DC current to a pair of adjacent coils on the opposite side. As described above, the coil is switched so that the magnetic field rotates by rotating this pattern. This approach is vulnerable to chamber or wafer arcing by abruptly switching the CMF magnetic field, so the magnetic field strength must be limited. As a result, in some applications, the magnetic field may not be sufficient to compensate for the non-uniformity of the plasma ion concentration generated by the reactor.

[06]このように、必要なのは、磁場においてプラズマイオン濃度分布の不均一性をより効率的に(磁場強度が低くできるように)時間変動をより低く(または無し)で補償する方法である。   [06] Thus, what is needed is a method to compensate for non-uniformity of plasma ion concentration distribution in a magnetic field more efficiently (so that the magnetic field strength can be lowered) with lower (or no) time variation.

発明の概要Summary of the Invention

[07]加工物を処理するプラズマ反応器は、側壁およびシーリングによって画成される真空チャンバと、チャンバ内に加工物支持表面を有し、シーリングに面し、カソード電極を含む加工物支持用ペデスタルを含む。RFパワー発生器がカソード電極に結合されている。プラズマ分布は、加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、加工物支持表面の上にある第2の平面内の、内側電磁石よりも大きな直径を有する外部環状外側電磁石と、加工物支持表面の下にある第3の平面内の外部環状底部磁石とによって制御される。DC電流供給源が、内側、外側および底部電磁石のそれぞれに接続されている。加工物支持用ペデスタルならびに内側、外側および底部磁石を略同軸とすることが可能である。一実施形態では、第1の平面が第2の平面の上にあり、第1の平面と第2の平面の両方が第3の平面の上にあり、第1、第2、第3の平面が加工物支持表面と平行である。   [07] A plasma reactor for processing a workpiece includes a vacuum chamber defined by sidewalls and a ceiling, a workpiece support surface within the chamber, facing the ceiling, and including a cathode electrode. including. An RF power generator is coupled to the cathode electrode. The plasma distribution is such that the outer annular inner electromagnet in a first plane above the workpiece support surface and the outer annular outer electromagnet in the second plane above the workpiece support surface having a larger diameter than the inner electromagnet. Controlled by an electromagnet and an outer annular bottom magnet in a third plane below the workpiece support surface. A DC current source is connected to each of the inner, outer and bottom electromagnets. The workpiece support pedestal and the inner, outer and bottom magnets can be substantially coaxial. In one embodiment, the first plane is above the second plane, both the first plane and the second plane are above the third plane, and the first, second, and third planes. Is parallel to the workpiece support surface.

[08]反応器は、内側、外側および底部DC電流供給源からのDC電流を制御するプロセッサを含むことが可能である。プロセッサを、すなわち、
DC電流が、底部電磁石と内側および外側電磁石の一方とに、加工物支持表面で等しく逆の磁場を発生させるカスプモードと、
DC電流が、底部電磁石と内側および外側電磁石の一方とに、加工物支持表面で類似の磁場を発生させるミラーモードと、
DC電流が、電磁石の少なくとも1個に、加工物支持表面で径方向および軸方向磁場の両方を発生させるソレノイドモードの3つのモードで動作可能とすることができる。
[08] The reactor may include processors that control DC current from the inner, outer and bottom DC current sources. Processor, ie
A cusp mode in which a DC current generates an equal and opposite magnetic field at the workpiece support surface in the bottom electromagnet and one of the inner and outer electromagnets;
A mirror mode in which a DC current generates a similar magnetic field at the workpiece support surface in the bottom electromagnet and one of the inner and outer electromagnets;
DC current can be operable in three modes, solenoid mode, in which at least one of the electromagnets generates both a radial and axial magnetic field at the workpiece support surface.

[09]プロセッサは、ウエハーでの軸方向磁場を制御する(例えば減少させる)ことによってデバイス損傷結果を改善しつつ、ウエハーでの径方向磁場でプラズマイオン濃度分布均一性を改善するために、3個の磁石についてDC電流の最良の組み合わせを探索するようにプログラム可能である。   [09] The processor can improve the plasma ion concentration distribution uniformity with a radial magnetic field at the wafer while improving device damage results by controlling (eg, reducing) the axial magnetic field at the wafer. It can be programmed to search for the best combination of DC currents for a single magnet.

発明の詳細な説明Detailed Description of the Invention

[67]特定のプラズマ反応器により提示されるプラズマイオン濃度分布は、チャンバ圧、ガス混合および拡散、ならびにソースパワー放射パターンの関数である。本発明の反応器では、プロセス均一性を改善するためにあらかじめ決められている選択された、または理想的な分布に近づけるために、この分布が磁気的に変更される。磁気的に変更または補正されたプラズマイオン濃度分布は、ウエハーまたは加工物の表面にわたってプロセス均一性が改善されるものである。このために、磁気的に補正されたプラズマ分布は、ユーザにより決定された必要性に応じて不均一か、または均一とすることができる。本発明者らは、平均磁場強度がプラズマに圧力を及ぼしてその分布を所望のものに変化させる効率を改善可能であることを発見した。この驚くべき結果は、この発見に従って磁場の勾配の径方向成分を増加させることにより達成可能である。径方向は、ほぼ円筒チャンバの対称軸であることが理解される。このように、必要なのは、大きな径方向勾配を有し、他方向に小さな磁場強度を有する磁場形状である。このような磁場はその対称軸が円筒反応器チャンバの軸と一致するカスプ型である。カスプ型磁場を発生する1つの手法は、円筒チャンバの上下にコイルを提供し、DC電流をこれらのコイルに逆方向に流すことである。   [67] The plasma ion concentration distribution presented by a particular plasma reactor is a function of chamber pressure, gas mixing and diffusion, and source power radiation pattern. In the reactor of the present invention, this distribution is magnetically modified to approach a selected or ideal distribution that has been predetermined to improve process uniformity. A magnetically altered or corrected plasma ion concentration distribution is one that improves process uniformity across the surface of the wafer or workpiece. To this end, the magnetically corrected plasma distribution can be non-uniform or uniform depending on the needs determined by the user. The inventors have discovered that the average magnetic field strength can improve the efficiency of applying pressure to the plasma to change its distribution to the desired one. This surprising result can be achieved by increasing the radial component of the magnetic field gradient according to this finding. It is understood that the radial direction is approximately the axis of symmetry of the cylindrical chamber. Thus, what is needed is a magnetic field shape with a large radial gradient and a small magnetic field strength in the other direction. Such a magnetic field is a cusp shape whose axis of symmetry coincides with the axis of the cylindrical reactor chamber. One approach to generating a cusp-type magnetic field is to provide coils above and below the cylindrical chamber and to pass DC current through these coils in the opposite direction.

[68]チャンバ設計によっては、コイルをウエハー台の下に提供することが実行不可能である場合があり、したがって、第1の場合には、頂部コイルがこれらの目的を満足させる。加えて、必要なのは、所与のプラズマ反応器チャンバに固有のプラズマイオン分布(「周囲」プラズマイオン分布)の正確な制御または変更のために、カスプ型磁場を設定可能または調整可能とすることである。異なる容量結合プラズマ反応器に提供されたプラズマイオン分布は広範囲に変動する可能性があるので、このような調整可能性が必須となる場合がある。磁場勾配の径方向成分は、周囲分布を所望の分布に変更するために必要とされる磁気圧力を印加するように選ばれる。例えば、所望の分布が均一な分布である場合には、印加される磁場は、磁場がないときに反応器によって提示されるプラズマイオン濃度の径方向分布における不均一性を打ち消すように選択される。この場合には、例えば、反応器がプラズマイオン濃度の中央高分布を有する傾向があれば、磁場勾配はウエハー支持用ペデスタルの中央上のプラズマ密度を持続し、周辺近傍で強化して均一性を達成するように選ばれる。   [68] Depending on the chamber design, it may not be feasible to provide the coil under the wafer pedestal, and therefore, in the first case, the top coil meets these objectives. In addition, what is needed is to make the cusp-type magnetic field configurable or adjustable for precise control or modification of the plasma ion distribution (“ambient” plasma ion distribution) inherent to a given plasma reactor chamber. is there. Such tunability may be essential because the plasma ion distribution provided to different capacitively coupled plasma reactors can vary over a wide range. The radial component of the magnetic field gradient is chosen to apply the magnetic pressure required to change the ambient distribution to the desired distribution. For example, if the desired distribution is a uniform distribution, the applied magnetic field is selected to counteract non-uniformities in the radial distribution of plasma ion concentration presented by the reactor in the absence of the magnetic field. . In this case, for example, if the reactor tends to have a central high distribution of plasma ion concentration, the magnetic field gradient will maintain the plasma density at the center of the wafer support pedestal and strengthen it near the periphery for uniformity. Chosen to achieve.

[69]このようなカスプ型磁場の調整可能性は、本発明者らの発見に従って第1のコイルとは異なる(例えば、より小さい)直径の第2のオーバーヘッドコイルを少なくとも提供することにより達成される。それぞれのコイルのDC電流は、高度に柔軟に、カスプ型磁場の形状を任意の周囲プラズマイオン分布を事実上変更してある所望のプラズマイオン分布に近づけることができるように、独立して調整可能である。この磁場形状の選択は、中央高または中央低プラズマイオン濃度分布を修正するために設計可能である。   [69] Such cusp-type magnetic field tunability is achieved by providing at least a second overhead coil of a different diameter (eg, smaller) than the first coil in accordance with our findings. The The DC current of each coil is highly flexible and can be independently adjusted so that the shape of the cusp-type magnetic field can be brought closer to the desired plasma ion distribution with virtually any change in the surrounding plasma ion distribution It is. This choice of magnetic field shape can be designed to modify the central high or central low plasma ion concentration distribution.

[70]実現可能な利点の1つは、(上述のように)カスプ型磁場が磁場強度に対して大きな径方向勾配を有し、したがって高効率にプラズマに補正圧力を及ぼす点で2倍である。しかし、磁場が経時的に一定であるため、アーク放電を発生する傾向が大幅に低く、したがって必要なときにはかなり大きな補正容量にもいくらか強い磁場を使用することができる。本明細書で後述するように、この特徴はより高いチャンバ圧で大いに役立つ可能性がある。   [70] One of the possible advantages is that (as mentioned above) the cusp-type magnetic field has a large radial gradient with respect to the magnetic field strength, thus doubling the correction pressure on the plasma with high efficiency. is there. However, since the magnetic field is constant over time, the tendency to generate arcing is much less so that a somewhat stronger magnetic field can be used for fairly large correction capacities when needed. As will be discussed later in this specification, this feature can be greatly useful at higher chamber pressures.

[71]図1Aは、調整可能なカスプ型磁場の提供を可能にする容量結合プラズマ反応器を図示する。図1Aの反応器は、円筒形側壁5と、ガス分配プレートであるシーリング10と、半導体加工物20を保持するウエハー支持用ペデスタル15とを含む。シーリング10またはガス分配プレートは、アノードとして働くことができるように導電性であるか、またはそれに取り付けられたアノードを有することができる。シーリング10またはガス分配プレートは、典型的にはアルミニウムでできており、内側ガスマニホールドとガス噴射オリフィスをチャンバ内に面したその内面に有する。プロセスガス供給源25がプロセスガスをガス分配プレート10に与える。真空ポンプ30が反応器チャンバ内部の圧力を制御する。反応器チャンバ内部のプラズマを点火し、維持するためのプラズマソースパワーが、ウエハー支持用ペデスタル15がRF電極として働くようにインピーダンス整合回路45を介してウエハー支持用ペデスタル15に接続されたRF発生器40により発生される。カウンタ電極として働くように、アノード(導電材料で形成されたシーリング10とすることができる)がRFグラウンドに接続される。このような反応器は、非常に不均一なプラズマイオン濃度分布を有する傾向があり、それは典型的には中央高である。   [71] FIG. 1A illustrates a capacitively coupled plasma reactor that enables provision of an adjustable cusp-type magnetic field. The reactor of FIG. 1A includes a cylindrical side wall 5, a sealing 10 that is a gas distribution plate, and a wafer support pedestal 15 that holds a semiconductor workpiece 20. The ceiling 10 or gas distribution plate can be conductive or have an anode attached thereto so that it can act as an anode. The sealing 10 or gas distribution plate is typically made of aluminum and has an inner gas manifold and a gas injection orifice on its inner surface facing into the chamber. A process gas supply 25 provides process gas to the gas distribution plate 10. A vacuum pump 30 controls the pressure inside the reactor chamber. An RF generator in which plasma source power for igniting and maintaining the plasma inside the reactor chamber is connected to the wafer support pedestal 15 via an impedance matching circuit 45 so that the wafer support pedestal 15 acts as an RF electrode. 40. To act as a counter electrode, an anode (which can be a ceiling 10 made of a conductive material) is connected to RF ground. Such reactors tend to have a very non-uniform plasma ion concentration distribution, which is typically center high.

[72]図1Bは、シーリング10が、図1Aのように直接グラウンドに接続されるよりむしろ、プラズマソースパワーを与えるVHF信号発生器12にRFインピーダンス整合素子11(概略のみを示す)を通して接続されているという特徴を図示する。この場合には、RF発生器40が単に半導体ウエハーまたは加工物20上のRFバイアスを制御するに過ぎない。(RFインピーダンス整合素子11は、例えば同軸チューニングスタブまたはストリップライン回路等の固定チューニング素子とすることができる。)このような特徴は、この明細書の後の部分でより詳細に論じる。   [72] FIG. 1B shows that the ceiling 10 is connected through an RF impedance matching element 11 (shown only schematically) to a VHF signal generator 12 that provides plasma source power, rather than being directly connected to ground as in FIG. 1A. The characteristic of being is illustrated. In this case, the RF generator 40 simply controls the RF bias on the semiconductor wafer or workpiece 20. (The RF impedance matching element 11 can be a fixed tuning element such as a coaxial tuning stub or stripline circuit, for example.) Such features are discussed in more detail later in this specification.

[73]プラズマイオン濃度の分布を制御するために、誘導コイルのセットがシーリング上方に提供される。図1Aの場合には、このコイルのセットは、円筒チャンバと同軸でそれぞれが導電体の1つの巻き線を構成する内側コイル60および外側コイル65を含んでいる。巻き線60、65は図1Aに一巻きとして図示されているが、例えば図1Bに示したようにそれぞれが垂直方向に配列された複数の巻きからなってもよい。あるいは、図1Cに示すように、巻き線60、65は、垂直方向および水平方向の両方に延びてもよい。図1Aの場合には、内側コイル60が外側コイル65よりもシーリング10のずっと上方に配置されている。しかしながら、他の場合には、この配列が反転されるか、または2個のコイル60、65がシーリング10上方の同じ高さとすることもできる。   [73] A set of induction coils is provided above the ceiling to control the distribution of plasma ion concentration. In the case of FIG. 1A, this set of coils includes an inner coil 60 and an outer coil 65 that are coaxial with the cylindrical chamber and each constitute one winding of a conductor. Although the windings 60 and 65 are illustrated as one winding in FIG. 1A, for example, as shown in FIG. 1B, the windings 60 and 65 may include a plurality of windings arranged in the vertical direction. Alternatively, as shown in FIG. 1C, the windings 60, 65 may extend in both the vertical and horizontal directions. In the case of FIG. 1A, the inner coil 60 is disposed far above the ceiling 10 than the outer coil 65. However, in other cases, this arrangement can be reversed, or the two coils 60, 65 can be at the same height above the ceiling 10.

[74]図1Aおよび図1Bの場合には、コントローラ90が、コイル60、65のそれぞれに接続されたそれぞれ独立のDC電流供給源70、75を制御することにより、それぞれオーバーヘッドコイル60、65に流れる電流の大きさおよび極性を決定する。図2を参照すると、コントローラ90が、コントローラ90を通して電流を与えるDC電流供給源76からコイル60、65へのDC電流を管理し、コントローラ90がコイル60、65のそれぞれに接続されている場合が図示されている。いずれの場合にも、コントローラ90は異なる極性および大きさのDC電流をコイル60、65の異なるものに流させることが可能である。図2の場合には、コントローラ90が、それぞれのコイル60、65に印加されるDC電流を調整する一対の電位差形82a、82bと、コイル60、65のそれぞれに印加されるDC電流の極性を独立に決定する一対の連動スイッチ84a、84bと、を含んでいる。電位差形82a、82bと連動スイッチ84a、84bをインテリジェント管理するために、マイクロプロセッサ91等のプログラム可能なデバイスをコントローラ90に含めることが可能である。   [74] In the case of FIGS. 1A and 1B, the controller 90 controls the respective independent DC current supply 70, 75 connected to each of the coils 60, 65, thereby causing the overhead coils 60, 65 to respectively Determine the magnitude and polarity of the flowing current. Referring to FIG. 2, the controller 90 manages the DC current from the DC current supply 76 that supplies current through the controller 90 to the coils 60 and 65, and the controller 90 is connected to each of the coils 60 and 65. It is shown in the figure. In either case, the controller 90 can cause DC currents of different polarity and magnitude to flow through different ones of the coils 60,65. In the case of FIG. 2, the controller 90 adjusts the polarity of the DC current applied to each of the coils 60 and 65 and the pair of potential difference types 82 a and 82 b that adjust the DC current applied to the coils 60 and 65. And a pair of interlocking switches 84a and 84b that are determined independently. A programmable device, such as a microprocessor 91, can be included in the controller 90 to intelligently manage the potentiometers 82a, 82b and the interlock switches 84a, 84b.

[75]図1A、図1Bおよび図1Cに図示された2個のコイル60、65の配列は、内側コイル60が外側コイル65よりもシーリング10の上方でより高く置かれており、一定の利点を提供する。詳細には、いずれかのコイルにより提供される磁場勾配の径方向成分が、少なくとも大ざっぱには、コイルの半径に比例し、コイルからの軸方向変位に反比例する。このように、内側および外側コイル60、65は、その異なるサイズおよび変位により異なる役割を果たす。外側コイル65はその大きい半径とウエハー20への近接によりウエハー20の全面にわたって支配するが、内側コイル60はウエハー中央近傍でその最大の効果を発揮し、磁場の細かい調整または形作りのためのトリムコイルと見なすことが可能である。異なる半径で異なるプラズマからの変位で置かれた異なるコイルによりこのような差分制御を実現するために、他の配列も可能である。この明細書である実施例を参照して後述するように、周囲プラズマイオン濃度分布に対する異なる変化が、それぞれのオーバーヘッドコイル(60、65)を流れる電流の異なる大きさを選択するだけでなく、異なるオーバーヘッドコイルの電流の異なる極性または方向を選択することによっても得られる。   [75] The arrangement of the two coils 60, 65 illustrated in FIGS. 1A, 1B and 1C has certain advantages in that the inner coil 60 is placed higher above the ceiling 10 than the outer coil 65. I will provide a. In particular, the radial component of the magnetic field gradient provided by any coil is at least roughly proportional to the radius of the coil and inversely proportional to the axial displacement from the coil. Thus, the inner and outer coils 60, 65 play different roles due to their different sizes and displacements. The outer coil 65 dominates the entire surface of the wafer 20 due to its large radius and proximity to the wafer 20, while the inner coil 60 exerts its maximum effect near the center of the wafer, and is a trim coil for fine adjustment or shaping of the magnetic field. Can be considered. Other arrangements are possible to achieve such differential control with different coils placed at different radii with displacements from different plasmas. As will be described later with reference to the example embodiment herein, different changes to the ambient plasma ion concentration distribution are not only selecting different magnitudes of current through the respective overhead coils (60, 65), but also different. It can also be obtained by selecting a different polarity or direction of the overhead coil current.

[76]図3Aは、図1Aの場合に、ウエハー20上の径方向位置の関数として内側コイル60により発生される磁場の径方向(実線)および方位角(点線)成分を図示する。図3Bは、ウエハー20上の径方向位置の関数として外側コイル65により発生される磁場の径方向(実線)および方位角(点線)成分を図示する。図3Aおよび図3Bに図示されたデータは、ウエハー20が直径300mm、内側コイル60が直径12インチでプラズマの約10インチ上方に置かれ、外側コイル65が直径22インチでプラズマの約6インチ上方に置かれた実施において得られた。図3Cは、内側および外側オーバーヘッドコイル60、65により発生される半カスプ型磁場ラインパターンの略図である。   [76] FIG. 3A illustrates the radial (solid line) and azimuth (dotted line) components of the magnetic field generated by the inner coil 60 as a function of radial position on the wafer 20 in the case of FIG. 1A. FIG. 3B illustrates the radial (solid line) and azimuth (dotted line) components of the magnetic field generated by the outer coil 65 as a function of radial position on the wafer 20. The data illustrated in FIGS. 3A and 3B shows that the wafer 20 is 300 mm in diameter, the inner coil 60 is 12 inches in diameter and is about 10 inches above the plasma, and the outer coil 65 is 22 inches in diameter and about 6 inches above the plasma. Obtained in an implementation placed in FIG. 3C is a schematic illustration of a half-cusp magnetic field line pattern generated by the inner and outer overhead coils 60,65.

[77]図2のコントローラ90は、ウエハー表面での磁場を調整し、それによってプラズマイオン濃度の空間分布を変化させるために、それぞれのコイル60、65に印加される電流を変化させることが可能である。そこで図示されるのは、コントローラ90がこれらの磁場を変化させることにより、チャンバ内のプラズマイオン分布にどのぐらい大きい影響を与え、改善可能であるかを図示するために、コイル60、65の異なるものによって印加された異なる磁場の効果である。以下の実施例では、プラズマイオン分布よりもむしろウエハー表面にわたるエッチング速度の空間分布が直接測定される。エッチング速度分布はプラズマイオン分布の変化とともに直接変化し、したがって、一方における変化が他方における変化を反映している。   [77] The controller 90 of FIG. 2 can vary the current applied to the respective coils 60, 65 to adjust the magnetic field at the wafer surface and thereby change the spatial distribution of plasma ion concentration. It is. Illustrated there are different coils 60, 65 to illustrate how the controller 90 can change these magnetic fields to affect and improve the plasma ion distribution in the chamber. It is the effect of different magnetic fields applied by one. In the following examples, the etch rate spatial distribution across the wafer surface is measured directly rather than the plasma ion distribution. The etch rate distribution changes directly with changes in the plasma ion distribution, so changes in one reflect changes in the other.

[78]図4A、図4B、図4Cおよび図4Dは、低いチャンバ圧(30mT)でのみ内側コイル60を用いて実現される有益な効果を図示する。図4Aは、ウエハー20の表面上の位置(水平方向XおよびY軸)の関数として測定されたエッチング速度(垂直方向Z軸)を図示する。このように、図4Aはウエハー表面の平面におけるエッチング速度の空間分布を図示する。エッチング速度分布の中央高不均一性が図4Aで明確に見られる。図4Aは、磁場が印加されない場合に対応しており、したがって、反応器に固有であり補正が必要な不均一なエッチング速度分布を図示している。この場合のエッチング速度は5.7%の標準偏差を有している。図4および図5において、径方向磁場がプラズマイオン濃度の径方向分布の均一性改善に有効なものであることは当然だが、磁場強度はウエハーの中央近傍の軸方向磁場として説明される。軸方向磁場がこの説明で選ばれたのは、より容易に測定されるからである。ウエハーのエッジでの径方向磁場は、典型的にこの位置での軸方向磁場の約1/3である。   [78] FIGS. 4A, 4B, 4C and 4D illustrate the beneficial effects achieved using the inner coil 60 only at a low chamber pressure (30 mT). FIG. 4A illustrates the etch rate (vertical Z axis) measured as a function of position on the surface of the wafer 20 (horizontal X and Y axes). Thus, FIG. 4A illustrates the spatial distribution of etch rate in the plane of the wafer surface. The central high non-uniformity of the etch rate distribution is clearly seen in FIG. 4A. FIG. 4A corresponds to the case where no magnetic field is applied, and therefore illustrates a non-uniform etch rate distribution that is unique to the reactor and needs to be corrected. The etching rate in this case has a standard deviation of 5.7%. 4 and 5, it is natural that the radial magnetic field is effective for improving the uniformity of the radial distribution of the plasma ion concentration. However, the magnetic field strength is described as an axial magnetic field near the center of the wafer. The axial magnetic field was chosen in this description because it is more easily measured. The radial magnetic field at the edge of the wafer is typically about 1/3 of the axial magnetic field at this location.

[79]図4Bは、内側コイル60が9ガウスの磁場を生成するために通電されているとき、エッチング速度分布がどのように変化するかを図示する。不均一性は4.7%の標準偏差まで減っている。   [79] FIG. 4B illustrates how the etch rate distribution changes when the inner coil 60 is energized to generate a 9 Gauss magnetic field. The non-uniformity is reduced to a standard deviation of 4.7%.

[80]図4Cで、内側コイル60の磁場が18ガウスまで増加されており、中央のピークが大幅に縮小していることが見られ、その結果ウエハーにわたるエッチング速度の標準偏差が2.1%まで減少された。   [80] In FIG. 4C, it can be seen that the magnetic field of the inner coil 60 has been increased to 18 Gauss, and the central peak has been greatly reduced, resulting in a standard deviation in etch rate across the wafer of 2.1%. Was reduced to.

[81]図4Dで、内側コイル60の磁場が27ガウスまで更に増加されており、図4Aの中央高パターンが中央低パターンへとほぼ反転されている。図4Dの場合のウエハー表面にわたるエッチング速度の標準偏差は5.0%であった。   [81] In FIG. 4D, the magnetic field of the inner coil 60 has been further increased to 27 Gauss, and the central high pattern of FIG. 4A has been substantially inverted to the central low pattern. The standard deviation of the etching rate across the wafer surface in the case of FIG. 4D was 5.0%.

[82]図5A、図5B、図5Cおよび図5Dは、より高いチャンバ圧(200mT)で両方のコイル60、65を用いる有益な効果を図示する。図5Aは、図4Aに対応しており、磁場により補正されない反応器の中央高なエッチング速度の不均一性を描いている。この場合には、ウエハー表面にわたるエッチング速度の標準偏差は5.2%であった。   [82] FIGS. 5A, 5B, 5C and 5D illustrate the beneficial effect of using both coils 60, 65 at higher chamber pressure (200 mT). FIG. 5A corresponds to FIG. 4A and depicts the central high etch rate non-uniformity of the reactor that is not corrected by the magnetic field. In this case, the standard deviation of the etching rate across the wafer surface was 5.2%.

[83]図5Bで、外側コイル65が22ガウスの磁場を発生するために通電されており、エッチング速度分布における中央ピークがいくらか減っている。この場合には、エッチング速度の標準偏差は3.5%まで減っている。   [83] In FIG. 5B, the outer coil 65 is energized to generate a 22 Gauss magnetic field, with some reduction in the central peak in the etch rate distribution. In this case, the standard deviation of the etching rate is reduced to 3.5%.

[84]図5Cで、両方のコイル60、65が24ガウスの磁場を発生するために通電されている。図5Cに見られる結果は、周辺部近傍のエッチング速度が増加しているのに対してエッチング速度分布における中央ピークが大幅に減っているものであった。全体的な効果は、3.2%の低い標準偏差を持ったより均一なエッチング速度分布である。   [84] In FIG. 5C, both coils 60, 65 are energized to generate a 24 Gauss magnetic field. The result seen in FIG. 5C was that the central peak in the etching rate distribution was greatly reduced while the etching rate near the periphery increased. The overall effect is a more uniform etch rate distribution with a low standard deviation of 3.2%.

[85]図5Dで、両方のコイル60、65が40ガウスの磁場を発生するために通電され、過剰補正となるため、ウエハー表面にわたるエッチング速度分布が中央低パターンへと変形されている。この後者の場合のエッチング速度の標準偏差は3.5%まで(図5Cの場合に対して)若干上昇している。   [85] In FIG. 5D, both coils 60, 65 are energized to generate a 40 Gauss magnetic field, resulting in overcorrection, thus transforming the etch rate distribution across the wafer surface into a central low pattern. In this latter case, the standard deviation of the etching rate is slightly increased to 3.5% (compared to the case of FIG. 5C).

[86]図4A〜図4Dの低圧試験で得られた結果を図5A〜図5D高圧試験と比較すると、エッチング速度の不均一分布を同様な補正を達成するためには、高いチャンバ圧ほどより一層大きい磁場を必要とすると見られる。例えば、30mTでは、最適な補正が18ガウスの内側コイル60のみを用いて得られたのに対して、300mTでは、最適な補正を達成するには両方のコイル60、65を用いた24ガウスの磁場が必要とされる。   [86] Comparing the results obtained in the low pressure test of FIGS. 4A-4D with the high pressure test of FIGS. 5A-5D, higher chamber pressures are required to achieve a similar correction for the non-uniform distribution of etch rates. It appears to require a larger magnetic field. For example, at 30 mT, the optimal correction was obtained using only the 18 Gauss inner coil 60, while at 300 mT, 24 Gauss using both coils 60, 65 to achieve optimal correction. A magnetic field is required.

[87]図6は、オーバーヘッドコイルの磁場がプラズマイオン濃度またはエッチング速度分布の均一性に大きな影響を及ぼすが、エッチング速度自体にはあまり影響を及ぼさないことを示す。エッチング速度分布の均一性は改善したいが、特定の半導体プロセス用に選んだエッチング速度は変えないほうが好ましいので、これは利点である。図6で、ダイヤモンド記号が測定されたエッチング速度(左側の縦軸)を磁場(横軸)の関数として描いており、四角記号がエッチング速度(右側の縦軸)の標準偏差(不均一性)を磁場の関数として描いている。示された範囲の不均一性変化は約1桁であり、エッチング速度の変化は約25%に過ぎない。   [87] FIG. 6 shows that the magnetic field of the overhead coil has a large effect on the plasma ion concentration or the uniformity of the etch rate distribution, but does not significantly affect the etch rate itself. This is an advantage because it is desirable to improve the uniformity of the etch rate distribution, but not to change the etch rate chosen for a particular semiconductor process. In FIG. 6, the etching rate at which the diamond symbol was measured (left vertical axis) is plotted as a function of the magnetic field (horizontal axis), and the square symbol is the standard deviation (non-uniformity) of the etching rate (right vertical axis). As a function of the magnetic field. The non-uniformity change in the range shown is about an order of magnitude and the change in etch rate is only about 25%.

[88]図1A、図1Bおよび図1Cのオーバーヘッドコイルインダクタ60、65を、従来のMERIE反応器とともに用いることができる。図7および図8は、4個の従来のMERIE電磁石92、94、96、98およびMERIE電流コントローラ99の追加の特徴を持った図1Aに対応する場合を図示する。電流コントローラ99はAC電流をそれぞれのMERIE電磁石92、94、96、98に提供する。それぞれの電流が同じ低い周波数であるが、従来の手法で、チャンバ内で低速で回転する磁場を発生するためにそれらの位相は90度オフセットされている。   [88] The overhead coil inductors 60, 65 of FIGS. 1A, 1B, and 1C can be used with a conventional MERIE reactor. FIGS. 7 and 8 illustrate the case corresponding to FIG. 1A with the additional features of four conventional MERIE electromagnets 92, 94, 96, 98 and MERIE current controller 99. FIG. A current controller 99 provides AC current to each MERIE electromagnet 92, 94, 96, 98. Although each current has the same low frequency, their phase is offset by 90 degrees to generate a slowly rotating magnetic field in the chamber in a conventional manner.

オーバーヘッドコイルを用いたプラズマ分布の制御:
[89]反応器の方法に従って、特定の反応器に固有のウエハー表面にわたるプラズマイオン濃度分布が、特定の手法でオーバーヘッドコイル60、65により発生された特定の磁場を選択することによって合わされる。例えば、プラズマ分布をウエハー表面にわたるより均一なエッチング速度分布を発生するように合わせることができる。この合わせ込みは、例えば、オーバーヘッドコイルにおいてDC電流の最適な極性および振幅を選択するようにコントローラ90をプログラムすることにより成し遂げられる。本実施例が2個の同心オーバーヘッドコイル(すなわちコイル60、65)だけを持った反応器に関するが、この方法は3個以上のコイルでも実行可能であり、オーバーヘッドコイルの数が多いほどより正確な結果が得られる。磁場は、コントローラ90がウエハー表面にわたるプラズマイオン濃度分布を変化させることにより合わせられ、これがエッチング速度分布に影響を及ぼす。
Controlling plasma distribution using an overhead coil:
[89] According to the method of the reactor, the plasma ion concentration distribution across the wafer surface inherent to a particular reactor is tailored by selecting a particular magnetic field generated by the overhead coils 60, 65 in a particular manner. For example, the plasma distribution can be tailored to produce a more uniform etch rate distribution across the wafer surface. This alignment is accomplished, for example, by programming the controller 90 to select the optimal polarity and amplitude of the DC current in the overhead coil. Although this example relates to a reactor with only two concentric overhead coils (ie, coils 60, 65), this method can be performed with more than two coils, and the more overhead coils, the more accurate Results are obtained. The magnetic field is adjusted by the controller 90 changing the plasma ion concentration distribution across the wafer surface, which affects the etch rate distribution.

[90]第1のステップは、補正磁場なしにオーバーヘッドコイル60、65からウエハー表面にわたるエッチング速度分布を測定する。次のステップは、エッチング速度分布をより均一にするプラズマイオン濃度分布の変化を決定する。最後のステップは、プラズマイオン濃度分布の所望の変化を発生するような磁場を決定する。この磁場を考えると、このような磁場を発生するのに必要なオーバーヘッドコイル60、65内の電流の大きさと方向が、既知の静磁場方程式から計算可能である。   [90] The first step measures the etch rate distribution from the overhead coils 60, 65 to the wafer surface without a correction magnetic field. The next step determines the change in plasma ion concentration distribution that makes the etch rate distribution more uniform. The last step determines a magnetic field that produces the desired change in plasma ion concentration distribution. Considering this magnetic field, the magnitude and direction of the current in the overhead coils 60, 65 required to generate such a magnetic field can be calculated from known static magnetic field equations.

[91]本発明者らは、磁場からオーバーヘッドコイル60、65の磁場によりプラズマに作用する圧力(いわゆる「磁気圧力」)を計算する手法を求めた。このことは以下で論じる。プラズマの磁気圧力がプラズマイオン濃度分布を変化させる。このプラズマイオン濃度分布の変化は、ウエハー表面にわたるエッチング速度分布を比例的に変化させ、それを直接観察可能である。したがって、ウエハー表面にわたるプラズマイオン濃度分布およびエッチング速度分布が少なくとも大ざっぱには比例係数により関連付けられている。   [91] The present inventors have sought a method for calculating the pressure acting on the plasma by the magnetic field of the overhead coils 60 and 65 (so-called “magnetic pressure”) from the magnetic field. This is discussed below. The magnetic pressure of the plasma changes the plasma ion concentration distribution. This change in the plasma ion concentration distribution proportionally changes the etching rate distribution over the wafer surface and can be observed directly. Thus, the plasma ion concentration distribution and the etch rate distribution across the wafer surface are related at least roughly by a proportionality factor.

[92]最初に、磁場をオーバーヘッドコイル60、65から印加する前にウエハー表面にわたるエッチング速度の空間分布が測定される。これから、エッチング速度分布の(均一分布を達成するための)所望の変化を決定可能である。次に、チャンバ内の位置およびコイル内の電流の関数として、各オーバーヘッドコイル60、65により発生される磁場の空間分布が各コイルの配置から分析的に決定される。既知の電流のセットをコイルに印加し、結果的に生じるウエハー表面にわたるエッチング速度分布の変化を測定することによって、リニアスケール係数がウエハー表面の全てのコイルの磁場のベクトル和をウエハー表面でのエッチング速度分布の変化に関連付けることを推定可能である。(このスケール係数は、一般にプラズマの中立圧力の関数であり、約500mTのチャンバ圧まで有効である。)したがって、エッチング速度分布の(より良い均一性を達成するための)所望の変化または補正を考えると、(この明細書で後述する手法で)必要な磁場を求めることができ、前もって分析的に決定した磁場空間分布関数を用いて対応するコイル電流をそこから推測可能である。   [92] First, the spatial distribution of the etch rate across the wafer surface is measured before the magnetic field is applied from the overhead coils 60,65. From this, it is possible to determine the desired change (to achieve a uniform distribution) of the etch rate distribution. Next, as a function of the position in the chamber and the current in the coil, the spatial distribution of the magnetic field generated by each overhead coil 60, 65 is analytically determined from the arrangement of each coil. By applying a known current set to the coil and measuring the resulting change in etch rate distribution across the wafer surface, the linear scale factor etches the vector sum of the magnetic fields of all coils on the wafer surface into the wafer surface. It can be estimated to relate to changes in velocity distribution. (This scale factor is generally a function of the plasma neutral pressure and is effective up to a chamber pressure of about 500 mT.) Thus, the desired change or correction (to achieve better uniformity) of the etch rate distribution is achieved. When considered, the required magnetic field can be determined (with the technique described later in this specification), and the corresponding coil current can be inferred therefrom using the analytically determined magnetic field spatial distribution function.

[93]エッチング速度分布の不均一性に対する所望の補正は様々な手法を確立することが可能である。例えば、「差分」分布を発生するために、ウエハー表面にわたる二次元エッチング速度分布を均一または平均のエッチング速度から差し引くことが可能である。この方法において補正すべきエッチング速度分布の不均一性は、容量結合ソースパワーの不均一な印加、不均一なプロセスガス分布および不均一なプラズマイオン濃度分布を含む、反応器チャンバ内の種々の要因の結果である。上記方法では、プラズマイオン濃度分布を磁気圧力により変化させることによって不均一性が補正される。   [93] Desired corrections for etch rate distribution non-uniformities can be established in various ways. For example, a two-dimensional etch rate distribution across the wafer surface can be subtracted from the uniform or average etch rate to generate a “difference” distribution. The etch rate distribution non-uniformity to be corrected in this method is due to various factors within the reactor chamber, including non-uniform application of capacitively coupled source power, non-uniform process gas distribution, and non-uniform plasma ion concentration distribution. Is the result of In the above method, the non-uniformity is corrected by changing the plasma ion concentration distribution by the magnetic pressure.

[94]以下の方法は、ある所望の手法で不均一な「補正後」プラズマ分布を確立するように使用することもできる。この場合、なされるべき補正は「未補正」または周囲プラズマイオン濃度分布と所望の分布(それ自体は不均一)間の差である。このように、この方法はプラズマ密度分布をより均一にするか、あるいは必ずしも均一でない特定の選択された密度分布パターンにするのに役立つ。   [94] The following method can also be used to establish a non-uniform “corrected” plasma distribution in some desired manner. In this case, the correction to be made is “uncorrected” or the difference between the ambient plasma ion concentration distribution and the desired distribution (which is itself non-uniform). Thus, this method helps to make the plasma density distribution more uniform or to a specific selected density distribution pattern that is not necessarily uniform.

[95]上記方法を実行するための一連のステップを、図9を参照して説明する。   [95] A series of steps for performing the above method will be described with reference to FIG.

[96]第1のステップ(図9のブロック910)は、オーバーヘッドコイル60、65のそれぞれについて、ウエハー表面の磁場の式をコイル内の電流およびウエハー表面上の径方向位置の関数として分析的に決定する。円柱座標を用いて、i番目のコイルをB(r,z=wafer,I)としてこの式を書くことができる。それはビオサバールの法則から非常に単刀直入に決定される。 [96] The first step (block 910 of FIG. 9) analytically determines, for each of the overhead coils 60, 65, the wafer surface magnetic field equation as a function of the current in the coil and the radial position on the wafer surface. decide. Using cylindrical coordinates, this equation can be written with the i-th coil as B i (r, z = wafer, I i ). It is determined directly from Biosavart's law.

[97]次のステップ(図9のブロック920)は、オーバーヘッドコイル60、65内に電流を流さずに実行される。このステップでは、ウエハー表面にわたるプラズマイオン濃度の空間分布が測定される。この空間分布はn(r,z=wafer)として書くことができる。このステップでは、試験ウエハーのウエハー表面にわたるエッチング速度分布を測定することによって、プラズマイオン濃度分布を間接的に測定可能である。熟練技能者は、容易にエッチング速度分布からプラズマイオン濃度分布を推測可能である。   [97] The next step (block 920 in FIG. 9) is performed without passing current through the overhead coils 60,65. In this step, the spatial distribution of plasma ion concentration across the wafer surface is measured. This spatial distribution can be written as n (r, z = wafer). In this step, the plasma ion concentration distribution can be indirectly measured by measuring the etch rate distribution across the wafer surface of the test wafer. A skilled technician can easily estimate the plasma ion concentration distribution from the etching rate distribution.

[98]次に、ブロック930のステップで、前のステップで測定された、測定されたプラズマイオン濃度空間分布関数n(r,z=wafer)に対する補正c(r)が決定される。補正c(r)をあらゆる適切な手法で定義することができる。例えば、最大値n(r,z=wafer)max−n(r,z=wafer)として定義することもできる。このようにして、c(r)をn(r,z=wafer)に加えてn(r)maxと等しい均一な振幅を持った「補正後」分布を発生する。もちろん、補正関数c(r)を異なる均一な振幅を生成するように異なって定義することもできる。または、上で簡潔に述べたように、所望の分布が不均一である場合、補正は所望の分布とn(r,z=wafer)の差である。 [98] Next, at block 930, a correction c (r) is determined for the measured plasma ion concentration spatial distribution function n (r, z = wafer) measured in the previous step. The correction c (r) can be defined in any suitable manner. For example, it can also be defined as the maximum value n (r, z = wafer) max −n (r, z = wafer). In this way, c (r) is added to n (r, z = wafer) to generate a “corrected” distribution with a uniform amplitude equal to n (r) max . Of course, the correction function c (r) can be defined differently to produce different uniform amplitudes. Or, as briefly mentioned above, if the desired distribution is non-uniform, the correction is the difference between the desired distribution and n (r, z = wafer).

[99]次のステップ(ブロック940)は、オーバーヘッドコイル60、65のそれぞれについて「試験」電流Iを選択し、その電流を適切なコイルに印加して結果的に生じるプラズマイオン分布を測定するもので、n(r,z=wafer)testと書くことができる。イオン分布の変化Δn(r)は、磁場有りおよび無しで測定したイオン分布を差し引いて近似値が得られる。 [99] The next step (block 940) selects a “test” current I i for each of the overhead coils 60, 65 and applies that current to the appropriate coil to measure the resulting plasma ion distribution. It can be written as n (r, z = wafer) test . The change Δn (r) of the ion distribution can be approximated by subtracting the ion distribution measured with and without a magnetic field.


Δn(r)=n(r,z=wafer)−n(r,z=wafer)test

[100]次のステップ(ブロック950)は、磁場により作用する圧力勾配(すなわち磁気圧力)をイオン分布の変化Δn(r)に関連付けるスケール係数Sを計算する。この計算は、磁気圧力勾配をΔn(r)で割ることによって行われる。i番目のコイルの磁場B(r,z=wafer,I)の磁気圧力勾配は、磁気流体力学方程式に従ってコイルのそれぞれについて独立に計算される。

Δn (r) = n (r, z = wafer) −n (r, z = wafer) test

[100] The next step (block 950) calculates a scale factor S that relates the pressure gradient exerted by the magnetic field (ie, magnetic pressure) to the change in ion distribution Δn (r). This calculation is done by dividing the magnetic pressure gradient by Δn (r). The magnetic pressure gradient of the i-th coil magnetic field B (r, z = wafer, I i ) is calculated independently for each of the coils according to the magnetohydrodynamic equation.


P=−▽[B(r,z=wafer,I/2μ

ここで、添え字rは径方向成分を意味する。このようにそれぞれのコイルについて独立に得られた結果は、足し合わされる。したがって、全磁気圧力勾配は

−▽{Σ[B(r,z=wafer,I/2μ]}

したがって、スケール係数Sは、

S={−▽{Σ[B(r,z=wafer,I/2μ]}}/Δn(r)

[101]この除算演算を、異なる値のrで実行してもよく、結果が平均されてスカラー形式でSが得られる。さもなければ、スケール係数Sはrの関数となり適切に用いられる。

r P =-▽ r [B (r, z = wafer, I i ) 2 / 2μ 0 ]

Here, the subscript r means a radial component. Thus, the results obtained independently for each coil are added together. Therefore, the total magnetic pressure gradient is

− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}

Therefore, the scale factor S is

S = {− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} / Δn (r)

[101] This division operation may be performed with different values of r, and the results are averaged to obtain S in scalar form. Otherwise, the scale factor S is a function of r and is used appropriately.

[102]ブロック950のステップで求められたスケール係数Sは、磁気圧力を決定するコイル電流Iと結果的に生じるイオン分布の変化の間の関連である。詳細には、コイル電流Iのセットを考えると、そのIのセットから決定された磁気圧力にスケール係数Sをかけることによって、対応するイオン分布の変化n(r)を計算可能である。 [102] The scale factor S determined in the step of block 950 is the relationship between the coil current I i that determines the magnetic pressure and the resulting change in ion distribution. Specifically, given a set of coil currents I i , the corresponding ion distribution change n (r) can be calculated by multiplying the magnetic pressure determined from the set of I i by a scale factor S.


Δn(r)={−▽{Σ[B(r,z=wafer,I/2μ]}}/S

[103]このことが、(マクロプロセッサ91等の)コンピュータが上記方程式を用いて、前もって規定又は所望されたプラズマイオン濃度分布の変化Δn(r)の最良近似を発生するコイル電流Iのセットを探索する次のステップ(ブロック960)の根拠を提供する。この場合には、所望の変化がブロック930のステップで計算された補正関数c(r)に等しい。言い換えれば、コンピュータは以下の条件を満たすコイル電流Iのセットを探索する。

Δn (r) = {− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} / S

[103] This is the set of coil currents I i at which a computer (such as the macro processor 91) uses the above equation to generate the best approximation of the change Δn (r) of the plasma ion concentration distribution previously defined or desired. Provides the basis for the next step (block 960) to search for. In this case, the desired change is equal to the correction function c (r) calculated in the block 930 step. In other words, the computer searches for a set of coil currents I i that satisfy the following conditions:


{−▽{Σ[B(r,z=wafer,I/2μ]}}=c(r)s

例えば、最急降下法を含む既知の最適化技法でこの探索を実行することもできる。このような技法は、当分野の熟練技能者によって容易に実行されるので、ここで説明の必要はない。

{− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} = c (r) s

For example, this search can be performed with known optimization techniques including steepest descent. Such techniques are easily performed by skilled artisans and need not be described here.

[104]探索により発見されたコイル電流Iのセットの大きさおよび極性は、次にコントローラ90に送られて、これらの電流をそれぞれのコイル60、65に印加する。 [104] The magnitude and polarity of the set of coil currents I i discovered by the search are then sent to the controller 90 to apply these currents to the respective coils 60,65.

[105]図10は、磁気圧力(実線)をウエハー表面の径方向位置の関数として測定されたプラズマイオン分布の変化(点線)と比較している。上述したように、磁気圧力はオーバーヘッドコイルの磁場の二乗の勾配である。図10は、磁気圧力とイオン濃度分布の変化の間に良好な相関があることを表示している。   [105] FIG. 10 compares magnetic pressure (solid line) with changes in plasma ion distribution (dotted line) measured as a function of radial position on the wafer surface. As described above, the magnetic pressure is the square gradient of the overhead coil magnetic field. FIG. 10 shows that there is a good correlation between changes in magnetic pressure and ion concentration distribution.

[106]このような方法の適用は、図11〜図14に図示されている。図11は、ウエハー表面のエッチング速度空間分布における不均一性または標準偏差(縦軸)が、オーバーヘッドコイルの一方のコイル電流とともにどのように変動したかを図示している。ゼロコイル電流では、標準偏差は約12%であり、イオン分布は図12に示すように中央高であった。   [106] The application of such a method is illustrated in FIGS. FIG. 11 illustrates how the non-uniformity or standard deviation (vertical axis) in the etch rate space distribution on the wafer surface varied with the coil current of one of the overhead coils. At zero coil current, the standard deviation was about 12% and the ion distribution was center high as shown in FIG.

[107]約3%での最小不均一性が約17アンペアのコイル電流で達成された。これは、約4倍(すなわちエッチング速度分布において12%から3%の標準偏差)だけ改善されたことを表す。実際の、すなわち測定されたエッチング速度分布が図13Aに示され、図9の技法を用いて予測されたエッチング速度分布が図13Bに示されている。   [107] A minimum non-uniformity of about 3% was achieved with a coil current of about 17 amps. This represents an improvement of about 4 times (ie 12% to 3% standard deviation in the etch rate distribution). The actual or measured etch rate distribution is shown in FIG. 13A, and the etch rate distribution predicted using the technique of FIG. 9 is shown in FIG. 13B.

[108]35アンペアの高いコイル電流では、エッチング速度分布標準偏差は約14%であった。測定されたエッチング速度空間分布が図14Aに示され、予測された分布が図14Bに示されている。   [108] At a high coil current of 35 amps, the standard deviation of the etch rate distribution was about 14%. The measured etch rate space distribution is shown in FIG. 14A and the predicted distribution is shown in FIG. 14B.

[109]図13Aを再度参照すると、得られる最も均一なイオン分布は確かに平坦ではなく、実際は周辺近傍が凹で中央近傍が凸の「ボウル」型である。より多くの独立したオーバーヘッドコイル(例えば3個以上)を使えば、より高い解像度とより良い均一性を結果とする電流の最適化を実行できる可能性がある。したがって、反応器はコイルを2個だけ有する場合に限られない。反応器は2個未満または3個以上のオーバーヘッドコイルを用いて様々な結果で実施することができる。   [109] Referring again to FIG. 13A, the most uniform ion distribution obtained is certainly not flat, and is actually a “bowl” shape with a concave near the periphery and a convex near the center. With more independent overhead coils (eg, 3 or more), it may be possible to perform current optimization that results in higher resolution and better uniformity. Thus, the reactor is not limited to having only two coils. The reactor can be implemented with various results using less than two or more than three overhead coils.

[110]シーリング表面でのプラズマイオン濃度分布またはエッチング速度分布を制御するために、同じ方法を適用することができる。このようなアプローチは、例えばチャンバ洗浄工程中に役立つ。図15は、図9の方法のイオン濃度の空間分布(またはエッチング速度)が最適化されたバージョンを図示する。図15のステップ、つまりブロック910’、920’、930’、940’、950’および960’は、それらがウエハー平面でなくシーリング平面用に実行される点を除けば図9のステップ、つまりブロック910、920、930、940、950および960と同じである。第1のステップ(図15のブロック910’)は、オーバーヘッドコイル60、65のそれぞれについて、シーリング表面の磁場の式をコイル内の電流およびウエハー表面上の径方向位置の関数として分析的に決定する。円柱座標を用いて、i番目のコイルをB(r,z=ceiling,I)としてこの式を書くことができる。それは簡単な静磁場方程式から決定され、コイル電流Iおよびシーリング表面上の径方向の位置rだけでなくコイルの半径やコイルとシーリング内表面間の距離z=ceilingなどのある定数の関数でもある。 [110] The same method can be applied to control the plasma ion concentration distribution or etch rate distribution at the sealing surface. Such an approach is useful, for example, during the chamber cleaning process. FIG. 15 illustrates a version of the method of FIG. 9 with optimized ion concentration spatial distribution (or etch rate). The steps of FIG. 15, ie, blocks 910 ′, 920 ′, 930 ′, 940 ′, 950 ′, and 960 ′, are the steps of FIG. 9, except that they are performed for the sealing plane rather than the wafer plane. Same as 910, 920, 930, 940, 950 and 960. The first step (block 910 ′ of FIG. 15) analytically determines the sealing surface magnetic field equation for each of the overhead coils 60, 65 as a function of the current in the coil and the radial position on the wafer surface. . Using cylindrical coordinates, this equation can be written with the i-th coil as B i (r, z = ceiling, I i ). It is determined from a simple static magnetic field equation and is a function of some constant such as the coil current I i and the radial position r on the sealing surface as well as the radius of the coil and the distance z = ceiling between the coil and the inner surface of the ceiling. .

[111]次のステップ(図15のブロック920’)は、オーバーヘッドコイル60、65内に電流を流さずに実行される。このステップでは、シーリング表面にわたるプラズマイオン濃度の空間分布が測定される。この空間分布をn(r,z=ceiling)として書くことができる。このステップでは、従来のプローブまたは他の間接的技法によってプラズマイオン濃度分布を測定可能である。   [111] The next step (block 920 'of FIG. 15) is performed without passing current through the overhead coils 60,65. In this step, the spatial distribution of plasma ion concentration across the sealing surface is measured. This spatial distribution can be written as n (r, z = ceiling). In this step, the plasma ion concentration distribution can be measured by conventional probes or other indirect techniques.

[112]次に、ブロック930’のステップで、前のステップで測定された、測定されたプラズマイオン濃度空間分布関数n(r,z=ceiling)に対する補正c’(r)が決定される。(プライム表記法’はここでは図15の計算を上記図9のものから区別するために使用され、導関数を意味するものではない。)補正c’(r)をあらゆる適切な手法で定義することができる。例えば、最大値n(r,z=ceiling)max−n(r,z=ceiling)として定義することもできる。このようにして、c’(r)をn(r,z=ceiling)に加えてn(r)maxと等しい均一な振幅を持った「補正後」分布を発生する。もちろん、補正関数c’(r)を異なる均一な振幅を発生するように異なって定義することもできる。また、特定の不均一な分布が所望される場合、補正は未補正または周囲プラズマ分布n(r,z=ceiling)と所望の不均一な分布との差である。このように、この方法は、特定の不均一パターンを有する所望のプラズマイオン濃度分布を確立するか、または均一なプラズマイオン濃度分布を確立するかのいずれかに使用可能である。 [112] Next, at block 930 ′, a correction c ′ (r) is determined for the measured plasma ion concentration spatial distribution function n (r, z = ceiling) measured in the previous step. (Prime notation 'is used here to distinguish the calculation of FIG. 15 from that of FIG. 9 above and does not imply a derivative.) Define the correction c ′ (r) in any suitable manner. be able to. For example, it can be defined as the maximum value n (r, z = ceiling) max -n (r, z = ceiling). In this way, c ′ (r) is added to n (r, z = ceiling) to generate a “corrected” distribution with a uniform amplitude equal to n (r) max . Of course, the correction function c ′ (r) can be defined differently to produce different uniform amplitudes. Also, if a specific non-uniform distribution is desired, the correction is the difference between the uncorrected or ambient plasma distribution n (r, z = ceiling) and the desired non-uniform distribution. Thus, this method can be used to either establish a desired plasma ion concentration distribution having a particular non-uniform pattern or to establish a uniform plasma ion concentration distribution.

[113]次のステップ(ブロック940’)は、オーバーヘッドコイル60、65のそれぞれについて「試験」電流Iを選択し、その電流を適切なコイルに印加して結果的に生じるプラズマイオン分布を測定するもので、n(r,z=ceiling)testと書くことができる。イオン分布の変化Δn(r)は、磁場有りおよび無しで測定したイオン分布を差し引いて得られる。 [113] The next step (block 940 ′) selects a “test” current I i for each of the overhead coils 60, 65 and applies that current to the appropriate coil to measure the resulting plasma ion distribution. N (r, z = ceiling) test can be written. The change Δn (r) in the ion distribution is obtained by subtracting the ion distribution measured with and without a magnetic field.


Δn’(r)=n(r,z=ceiling)−n(r,z=ceiling)test

[114]次のステップ(ブロック950’)は、磁場により作用する圧力勾配(すなわち磁気圧力)をイオン分布の変化Δn’(r)に関連付けるスケール係数S’を計算する。この計算は、磁気圧力勾配をΔn’(r)で割ることによって行われる。i番目のコイルの磁場B(r,z=ceiling,I)の磁気圧力勾配は、磁気流体力学方程式に従ってコイルのそれぞれについて独立に計算される。

Δn ′ (r) = n (r, z = ceiling) −n (r, z = ceiling) test

[114] The next step (block 950 ′) calculates a scale factor S ′ that relates the pressure gradient exerted by the magnetic field (ie, magnetic pressure) to the change in ion distribution Δn ′ (r). This calculation is done by dividing the magnetic pressure gradient by Δn ′ (r). The magnetic pressure gradient of the i-th coil's magnetic field B (r, z = ceiling, I i ) is independently calculated for each of the coils according to the magnetohydrodynamic equation.


P=−▽[B(r,z=ceiling,I/2μ

ここで、添え字rは径方向成分を意味する。このようにそれぞれのコイルについて独立に得られた結果は、足し合わされる。したがって、全磁気圧力勾配は

−▽{Δ[B(r,z=wafer,I/2μ]}

したがって、スケール係数Sは、

S’={−▽{Σ[B(r,z=wafer,I/2μ]}}/Δn’(r)

[115]ブロック950’のステップで求められたスケール係数S’は、磁気圧力を決定するコイル電流Iと結果的に生じるイオン分布の変化の間の関連である。詳細には、コイル電流Iのセットを考えると、そのIのセットから決定された磁気圧力にスケール係数S’をかけることによって、対応するイオン分布の変化n’(r)を計算可能である。

r P = − ▽ r [B (r, z = ceiling, I i ) 2 / 2μ 0 ]

Here, the subscript r means a radial component. Thus, the results obtained independently for each coil are added together. Therefore, the total magnetic pressure gradient is

− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}

Therefore, the scale factor S is

S ′ = {− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} / Δn ′ (r)

[115] The scale factor S ′ determined in the step of block 950 ′ is the relationship between the coil current I i that determines the magnetic pressure and the resulting change in ion distribution. Specifically, given a set of coil currents I i , a corresponding ion distribution change n ′ (r) can be calculated by multiplying the magnetic pressure determined from the set of I i by a scale factor S ′. is there.


Δn’(r)={−▽{Σ[B(r,z=wafer,I/2μ]}}/S’

[116]このことが、(マクロプロセッサ91等の)コンピュータが上記方程式を用いて、前もって規定又は所望されたプラズマイオン濃度分布の変化Δn’(r)の最良近似を発生するコイル電流Iのセットを探索する次のステップ(ブロック960’)の根拠を提供する。この場合には、所望の変化がブロック930’のステップで計算された補正関数c’(r)に等しい。言い換えれば、コンピュータは以下の条件を満たすコイル電流Iのセットを探索する。

Δn ′ (r) = {− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} / S ′

[116] This is, (such as microprocessor 91) computer by using the equations, previously prescribed or desired plasma ion density distribution change [Delta] n 'of the coil current I i for generating the best approximation (r) Provides the basis for the next step (block 960 ′) for searching the set. In this case, the desired change is equal to the correction function c ′ (r) calculated in the step of block 930 ′. In other words, the computer searches for a set of coil currents I i that satisfy the following conditions:


{−▽{Σ[B(r,z=wafer,I/2μ]}}/c’(r)S’

[117]例えば、最急降下法を含む既知の最適化技法でこの探索を実行することもできる。このような技法は、当分野の熟練技能者によって容易に実行されるので、ここで説明の必要はない。

{− ▽ ri [B (r, z = wafer, I i ) 2 / 2μ 0 ]}} / c ′ (r) S ′

[117] This search can also be performed with known optimization techniques including, for example, steepest descent. Such techniques are easily performed by skilled artisans and need not be described here.

[118]探索により発見されたコイル電流Iのセットの大きさおよび極性は、次にコントローラ90に送られて、これらの電流をそれぞれのコイル60、65に印加する。 [118] The magnitude and polarity of the set of coil currents I i discovered by the search are then sent to the controller 90 to apply these currents to the respective coils 60,65.

[119]オーバーヘッドコイル1個だけで、両方同時でなくウエハーまたはシーリングのいずれかでプラズマイオン分布の均一性を最適化するのにこの装置を用いることが可能である。少なくとも2個のオーバーヘッドコイル(例えばオーバーヘッドコイル60、65)を使えば、ウエハーとシーリングの両方で同時にプラズマイオン分布の均一性を少なくともおおよそ最適化することが可能である。   [119] With only one overhead coil, it is possible to use this apparatus to optimize the uniformity of plasma ion distribution on either the wafer or the ceiling, not both simultaneously. With at least two overhead coils (eg, overhead coils 60, 65), it is possible to at least approximately optimize the plasma ion distribution uniformity simultaneously on both the wafer and the ceiling.

オーバーヘッドコイルを用いたプラズマのステアリング:
[120]本発明者らは、コイル電流Iがプラズマをシーリングおよび/または側壁に向けてステアリングするか、あるいはウエハー表面にステアリングすることによって選択することができることを発見した。図9の方法と同様にしてコイル電流Iをシーリング表面でのプラズマ密度分布の均一性を改善するためにも選択することができる。結果として、プラズマが処理中にウエハーに集中し、洗浄中にはシーリングおよび/または側壁に集中する。このようにシーリングでプラズマが集中するので、洗浄時間を短縮することができる。
Plasma steering with overhead coils:
[120] The inventors have discovered that the coil current I i can be selected by steering the plasma towards the ceiling and / or the sidewalls, or steering the wafer surface. Similar to the method of FIG. 9, the coil current I i can also be selected to improve the uniformity of the plasma density distribution at the sealing surface. As a result, the plasma concentrates on the wafer during processing and concentrates on the sealing and / or sidewalls during cleaning. Since the plasma concentrates in this way, the cleaning time can be shortened.

[121]一例では、コントローラ90によって−17.5アンペアの電流を内部コイル60に、+12.5アンペアの電流を外側コイル65に印加して、プラズマをチャンバの側壁にステアリングした。図16は、半径ゼロからチャンバの周辺部まで水平軸に沿って延び、ウエハー表面からシーリングまで垂直軸に沿って延びるチャンバ内の径方向部分を図示する。図16の小さな矢印は、コントローラ90によって−17.5アンペアの電流を内部コイル60に、+12.5アンペアの電流を外側コイル65に印加して、プラズマをチャンバの側壁にステアリングしたときの、チャンバ内のいろいろな位置での磁場の大きさと方向を表示する。図17は、ウエハー表面の対応する磁場の二乗の勾配を径方向位置の関数として図示する。   [121] In one example, the controller 90 applied a current of -17.5 amperes to the inner coil 60 and a current of +12.5 amperes to the outer coil 65 to steer the plasma to the chamber sidewall. FIG. 16 illustrates the radial portion within the chamber extending along a horizontal axis from a zero radius to the periphery of the chamber and extending along the vertical axis from the wafer surface to the ceiling. The small arrows in FIG. 16 indicate the chamber when the controller 90 applies a current of -17.5 amperes to the inner coil 60 and a current of +12.5 amperes to the outer coil 65 to steer the plasma to the sidewall of the chamber. Displays the magnitude and direction of the magnetic field at various positions. FIG. 17 illustrates the gradient of the corresponding magnetic field square of the wafer surface as a function of radial position.

[122]別の例では、コントローラ90によって−12.5アンペアの電流を内部コイル60に、+5アンペアの電流を外側コイル65に印加して、プラズマをチャンバのルーフにステアリングした。図18は、半径ゼロからチャンバの周辺部まで水平軸に沿って延び、ウエハー表面からシーリングまで垂直軸に沿って延びるチャンバ内の径方向部分を図示する。図18の小さな矢印は、コントローラ90によって−12.5アンペアの電流を内部コイル60に、+5アンペアの電流を外側コイル65に印加して、プラズマがチャンバの側壁にステアリングされたときの、チャンバ内のいろいろな位置での磁場の大きさと方向を表示する。図19は、ウエハー表面の対応する磁場の二乗の勾配を径方向位置の関数として図示する。   [122] In another example, the controller 90 applied a current of -12.5 amperes to the inner coil 60 and a current of +5 amperes to the outer coil 65 to steer the plasma to the roof of the chamber. FIG. 18 illustrates the radial portion within the chamber extending along a horizontal axis from zero radius to the periphery of the chamber and extending along the vertical axis from the wafer surface to the ceiling. The small arrows in FIG. 18 indicate that the controller 90 applies a current of −12.5 amperes to the inner coil 60 and a current of +5 amperes to the outer coil 65 to cause the plasma to be steered into the chamber sidewall. Displays the magnitude and direction of the magnetic field at various positions. FIG. 19 illustrates the corresponding magnetic field square gradient on the wafer surface as a function of radial position.

[123]他の例では、コントローラ90によって−25アンペアの電流を内部コイル60に、+2.75アンペアの電流を外側コイル65に印加して、プラズマをシーリングの中央から側壁に延びるフィールドラインに沿ってステアリングした。図20は、半径ゼロからチャンバの周辺部まで水平軸に沿って延び、ウエハー表面からシーリングまで垂直軸に沿って延びるチャンバ内の径方向部分を図示する。図20の小さな矢印は、コントローラ90によって−25アンペアの電流を内部コイル60に、+2.2.5アンペアの電流を外側コイル65に印加して、プラズマがチャンバの側壁にステアリングされたときの、チャンバ内のいろいろな位置での磁場の大きさと方向を表示する。図21は、ウエハー表面の対応する磁場の二乗の勾配を径方向位置の関数として表示する。   [123] In another example, the controller 90 applies a current of -25 amperes to the inner coil 60 and a current of +2.75 amperes to the outer coil 65, causing the plasma to flow along the field line extending from the center of the ceiling to the sidewall. And steered. FIG. 20 illustrates the radial portion within the chamber extending along the horizontal axis from zero radius to the periphery of the chamber and extending along the vertical axis from the wafer surface to the ceiling. The small arrows in FIG. 20 indicate when the controller 90 applies a current of −25 amps to the inner coil 60 and a current of +2.2.5 amps to the outer coil 65 to steer the plasma to the chamber sidewall. Displays the magnitude and direction of the magnetic field at various locations within the chamber. FIG. 21 displays the gradient of the corresponding magnetic field square of the wafer surface as a function of radial position.

[124]図17は、プラズマがエッジにステアリングされるとき、プラズマに対する高い正の磁気圧力をチャンバのエッジ近傍で作用させることを示す。図19は、プラズマがシーリングのエッジに向けられるとき、プラズマに低い磁気圧力をチャンバのエッジ近傍で作用させることを示す。図21は、磁力線がシーリングからエッジに延びるとき、チャンバエッジ近傍にある高い負の磁気圧力を示している。   [124] FIG. 17 shows that when the plasma is steered to the edge, a high positive magnetic pressure on the plasma is applied near the edge of the chamber. FIG. 19 shows that a low magnetic pressure is applied to the plasma near the edge of the chamber when the plasma is directed to the edge of the ceiling. FIG. 21 shows a high negative magnetic pressure near the chamber edge as the magnetic field lines extend from the ceiling to the edge.

[125]このように、オーバーヘッドコイル60、65内の電流を選んで、シーリングや側壁等の洗浄を要するチャンバ内の種々の位置にプラズマを向けることができる。あるいは、プラズマをウエハー近傍により集中させることもできる。プラズマをウエハーまたはシーリングのいずれかにステアリングするために、またはあるステアリング比SRに従ってプラズマをウエハーおよびシーリング間で割り当てるために、図22に図示したような方法を実行することができる。   [125] In this manner, the current in the overhead coils 60, 65 can be selected to direct the plasma to various locations in the chamber that require cleaning of the ceiling, sidewalls, and the like. Alternatively, the plasma can be concentrated closer to the wafer. To steer the plasma to either the wafer or the ceiling, or to assign the plasma between the wafer and the ceiling according to a certain steering ratio SR, a method as illustrated in FIG. 22 can be performed.

[126]図22を参照すると、第1のステップ(図22の2210)は、オーバーヘッドコイル(例えば一対のコイル60、65)内の全てのコイル電流の関数として、チャンバ内部の磁場の分析的なモデルを定義する。これは、当分野の熟練技能者によって静磁場方程式を用いて容易に成し遂げられるので、ここで説明の必要はない。磁場はそれぞれのコイルからの独立した磁場の総計である。各独立磁場は、それぞれのコイルの直径、各コイルの位置、コイル内の電流、およびチャンバ内の位置の関数である。このように、i番目のコイルにより生成される磁場は以下のように書かれ、

B(x,y,z,I

故に全磁場は

Σ{B(x,y,z,I)}

[127]次のステップ(ブロック2220)は、所望のプロセス条件のセットを満たす磁場のセットを選択する。例えば、プラズマをシーリングにステアリングするには、図18の例に図示したように、プラズマをシーリングに向けて押すプラズマに対する磁気圧力を発生するように磁場が選択される。プラズマを側壁に向けてステアリングするには、図16に図示したように、プラズマを周辺部に向けて押すプラズマに対する磁気圧力を発生するように磁場が選ばれる。
[126] Referring to FIG. 22, the first step (2210 in FIG. 22) is an analytical analysis of the magnetic field inside the chamber as a function of all coil currents in the overhead coils (eg, the pair of coils 60, 65). Define the model. This is easily accomplished by skilled artisans using static magnetic field equations and need not be described here. The magnetic field is the sum of the independent magnetic fields from each coil. Each independent magnetic field is a function of the diameter of the respective coil, the position of each coil, the current in the coil, and the position in the chamber. Thus, the magnetic field generated by the i-th coil is written as follows:

B (x, y, z, I i )

So the total magnetic field is

Σ i {B (x, y, z, I i )}

[127] The next step (block 2220) selects a set of magnetic fields that meet the desired set of process conditions. For example, to steer the plasma to the ceiling, the magnetic field is selected to generate a magnetic pressure on the plasma that pushes the plasma toward the ceiling, as illustrated in the example of FIG. To steer the plasma toward the sidewall, a magnetic field is selected to generate a magnetic pressure against the plasma that pushes the plasma toward the periphery, as illustrated in FIG.

[128]上記ブロック2220のステップで定義された特定の条件を満たす各磁場について、コンピュータが所望の磁場を発生するコイル電流のセットを求めてブロック2210のステップで定義されたモデルを探索する。これがブロック2230の次のステップである。ブロック2230で求められた各電流のセットは、対応する条件の名前とともに対応するプロセス条件に関連付けられた記憶場所に記憶される(図22のブロック2240)。特定のプロセス条件が選択された(例えばプラズマをシーリングにステアリングする)ときはいつでも、マイクロプロセッサ91が対応する記憶場所から電流値のセットを取り出して(ブロック2250)、対応する電流を適切なコイルに印加させる(ブロック2260)。   [128] For each magnetic field that satisfies the specific conditions defined in block 2220 above, the computer searches the model defined in block 2210 for a set of coil currents that generate the desired magnetic field. This is the next step after block 2230. Each current set determined in block 2230 is stored in a memory location associated with the corresponding process condition along with the name of the corresponding condition (block 2240 of FIG. 22). Whenever a specific process condition is selected (eg, steering the plasma to the ceiling), the microprocessor 91 retrieves a set of current values from the corresponding memory location (block 2250) and directs the corresponding current to the appropriate coil. Apply (block 2260).

[129]図23は、マイクロプロセッサ91がユーザの入力に応答するためにどのようにプログラムすることができるかを示している。まず最初に、処理がウエハー表面のエッチングを含むかどうかについての決定がなされる(ブロック2310およびプロセスがシーリングの洗浄(エッチング)を含むか否か(ブロック2320))。ウエハーのみがエッチングされる場合は、プラズマはウエハーにステアリングされて(ブロック2330)ウエハー表面でのプラズマ分布の均一性が図9の方法を用いて最適化される(ブロック2350)。シーリングが洗浄されるのと同時にウエハーがエッチングされる場合は、プラズマ密度がシーリングとウエハーの間で割り当てられて(ブロック2360)、プラズマ密度の均一性が図9のようにウエハー表面で、また図15のようにシーリングで最適化される(ブロック2370)。シーリングのみが洗浄される場合は、プラズマはシーリングにステアリングされ(ブロック2380)、シーリングでのプラズマ密度の均一性が最適化される(ブロック2390)。   [129] FIG. 23 illustrates how the microprocessor 91 can be programmed to respond to user input. Initially, a determination is made as to whether the process includes etching of the wafer surface (block 2310 and whether the process includes sealing cleaning (etching) (block 2320)). If only the wafer is etched, the plasma is steered to the wafer (block 2330) and the uniformity of the plasma distribution on the wafer surface is optimized using the method of FIG. 9 (block 2350). If the wafer is etched at the same time that the ceiling is cleaned, a plasma density is assigned between the ceiling and the wafer (block 2360), and the plasma density uniformity is at the wafer surface as in FIG. Optimized by sealing as in block 15 (block 2370). If only the ceiling is cleaned, the plasma is steered to the ceiling (block 2380) and the plasma density uniformity at the ceiling is optimized (block 2390).

VHFオーバーヘッド電極を用いた使用:
[130]図24は、内側および外側コイル60、65をどのようにして、固定チューニングスタブを通してVHFプラズマソースパワー発生器に接続されたオーバーヘッド電極を有する容量結合反応器と組み合わせることができるかを図示する。このような反応器は、Daniel Hoffmanらによる2001年12月19日出願の米国特許出願第10/028,922号「Plasma Reactor with Overhead RF Electorde Tuned to the Plasma」に記載され、本願の譲受人に譲渡されており、その開示は参照することにより組み入れられる。
Use with VHF overhead electrode:
[130] FIG. 24 illustrates how the inner and outer coils 60, 65 can be combined with a capacitively coupled reactor having an overhead electrode connected to a VHF plasma source power generator through a fixed tuning stub. To do. Such a reactor is described in US Patent Application No. 10 / 028,922 “Plasma Reactor with Overhead RF Electric Tuned to the Plasma” filed December 19, 2001 by Daniel Hoffman et al. The disclosure of which is incorporated herein by reference.

[131]図24を参照すると、プラズマ反応器は、チャンバの底部に半導体ウエハー110を支持するウエハー支持部105を備える反応器チャンバ100を含んでいる。プロセスキットは、例示的な実施において、アースされたチャンバ本体127上の誘電体リング120により支持される導電性または半導電性リング115を含むことができる。チャンバ100は、誘電体シールによりアースされたチャンバ本体127上にウエハー110上方のギャップ長で支持された円盤状のオーバーヘッド導体電極125によって、頂部で境界が付けられている。一実施では、ギャップ長が変えられるようにウエハー支持部105が垂直方向に移動可能である。他の実施では、ギャップ長が固定された所定の長さである。オーバーヘッド電極125は、その内表面が半金属材料(例えばSiまたはSiC)で覆われた金属(例えばアルミニウム)か、あるいはそれ自体が半金属とすることができる。RF発生器150は、RFパワーを電極125に印加する。発生器150からのRFパワーは、発生器150と整合された同軸ケーブル162を通して電極125に接続された同軸スタブ135内に結合されている。スタブ135は、特性インピーダンスを有し、共振周波数を有し、以下でより十分に説明するように、電極125と同軸ケーブル162またはRFパワー発生器150の出力の間にインピーダンス整合を提供する。チャンバ本体は、RF発生器150のRF帰線(RFグラウンド)に接続されている。オーバーヘッド電極125からRFグラウンドまでのRF経路は、誘電体シール120および誘電体シール130の容量の影響を受ける。ウエハー支持部105、ウエハー110およびプロセスキットの導電性または半導電性リング115は、電極125に印加されるRFパワー用の主要なRF帰線路を提供する。   [131] Referring to FIG. 24, the plasma reactor includes a reactor chamber 100 with a wafer support 105 that supports a semiconductor wafer 110 at the bottom of the chamber. The process kit may include a conductive or semiconductive ring 115 supported by a dielectric ring 120 on a grounded chamber body 127 in an exemplary implementation. The chamber 100 is bounded at the top by a disk-shaped overhead conductor electrode 125 supported by a gap length above the wafer 110 on a chamber body 127 grounded by a dielectric seal. In one implementation, the wafer support 105 is movable in the vertical direction so that the gap length can be changed. In another implementation, the gap length is a fixed length. The overhead electrode 125 can be a metal (eg, aluminum) whose inner surface is covered with a metalloid material (eg, Si or SiC), or can itself be a metalloid. The RF generator 150 applies RF power to the electrode 125. RF power from generator 150 is coupled into a coaxial stub 135 connected to electrode 125 through a coaxial cable 162 aligned with generator 150. The stub 135 has a characteristic impedance, has a resonant frequency, and provides impedance matching between the electrode 125 and the output of the coaxial cable 162 or RF power generator 150, as described more fully below. The chamber body is connected to the RF return (RF ground) of the RF generator 150. The RF path from the overhead electrode 125 to the RF ground is affected by the capacitance of the dielectric seal 120 and the dielectric seal 130. Wafer support 105, wafer 110, and conductive or semi-conductive ring 115 of the process kit provide the main RF return for RF power applied to electrode 125.

[132]図1Aの場合のように、内部コイル60は外部コイル65の直径の半分よりも小さく、外部コイル65よりもチャンバから遠くはなれた平面上にある。内部コイル60は電極125からかなり上方に配置されているが、外部コイル65は電極125の頂部の平面上またはそれに近接して配置されている。図1の場合のように、コイル60、65内のDC電流が、コイル60、65の電流供給源70、75を管理するプラズマステアリングコントローラ90によって制御される。   [132] As in the case of FIG. 1A, the inner coil 60 is on a plane that is smaller than half the diameter of the outer coil 65 and farther away from the chamber than the outer coil 65. The inner coil 60 is positioned significantly above the electrode 125, while the outer coil 65 is positioned on or near the top plane of the electrode 125. As in the case of FIG. 1, the DC current in the coils 60 and 65 is controlled by a plasma steering controller 90 that manages the current supply sources 70 and 75 of the coils 60 and 65.

[133]RF帰線またはグラウンドに関して測定される、電極125、プロセスキット115、120および誘電体シール130を含むオーバーヘッド電極アセンブリ126の容量は、例示的な場合には、180ピコファラドである。電極アセンブリ容量は、電極面積、ギャップ長(ウエハー支持部およびオーバーヘッド電極間の距離)によって、また、浮遊容量に影響を及ぼす要因、特にシール130および誘電体リング120の誘電値に影響を受けて、それが使用される材料の誘電率および厚みにより影響を受ける。より一般的には、以下に議論するように、電極アセンブリ126の容量(符号無し数値またはスカラー)は、特定のソースパワー周波数、プラズマ密度および作用する圧力で、大きさがプラズマの負の容量(複素数)と等しいかまたはほぼ等しい。   [133] The capacitance of the overhead electrode assembly 126, including electrodes 125, process kits 115, 120, and dielectric seal 130, measured with respect to RF retrace or ground, is 180 picofarads in the illustrative case. Electrode assembly capacity is affected by electrode area, gap length (distance between wafer support and overhead electrode), and by factors that affect stray capacitance, particularly the dielectric values of seal 130 and dielectric ring 120, It is affected by the dielectric constant and thickness of the material used. More generally, as discussed below, the capacitance of the electrode assembly 126 (an unsigned number or scalar) is the negative capacitance of the plasma (in magnitude at a specific source power frequency, plasma density, and working pressure). Complex number).

[134]上記の関係に影響を与える要因の多くは、反応器によって行われる必要のあるプラズマプロセス要件、ウエハーのサイズ、および処理がウエハー上で均一に実行される要件の現状により大部分が規定されている。このように、プラズマ容量がプラズマ密度とソースパワー周波数の関数であるのに対し、電極容量はウエハー支持部−電極ギャップ(高さ)、電極径、およびアセンブリの絶縁体の誘電値の関数である。プラズマ密度、作用する圧力、ギャップ、および電極径は、反応器により行われるプラズマプロセスの要件を満たさなくてはならない。特に、イオン濃度は一定の範囲内になくてはならない。例えば、一般にシリコンおよび誘電体プラズマエッチングプロセスは10〜1012ions/ccの範囲内にあるプラズマイオン濃度を必要とする。ウエハー電極ギャップは、ギャップが約2インチである場合に例えば8インチのウエハーについて最適なプラズマイオン分布の均一性を提供する。電極径は、ウエハーの直径を超えないにしろ、少なくとも同程度であるのが好ましい。同様に、作用する圧力は典型的なエッチングおよび他のプラズマプロセスの実用的な範囲を有する。 [134] Many of the factors that affect the above relationships are largely dictated by the plasma process requirements that need to be performed by the reactor, the size of the wafer, and the current state of the requirement that the process be performed uniformly on the wafer. Has been. Thus, while the plasma capacity is a function of the plasma density and the source power frequency, the electrode capacity is a function of the wafer support-electrode gap (height), the electrode diameter, and the dielectric value of the assembly insulator. . The plasma density, working pressure, gap, and electrode diameter must meet the requirements of the plasma process performed by the reactor. In particular, the ion concentration must be within a certain range. For example, silicon and dielectric plasma etch processes generally require plasma ion concentrations that are in the range of 10 9 to 10 12 ions / cc. The wafer electrode gap provides optimal plasma ion distribution uniformity for an 8 inch wafer, for example, when the gap is about 2 inches. The electrode diameter is preferably at least about the same, if not exceeding the diameter of the wafer. Similarly, the working pressure has a practical range of typical etching and other plasma processes.

[135]しかし、上述の好ましい関係を達成するために選択可能な他の要因がまだあることが分かっている。特にソース周波数の選択とオーバーヘッドアセンブリ126用の容量の選択である。ソースパワー周波数がVHF周波数に選択され、また電極アセンブリ126の絶縁コンポーネントの誘電値が適正に選択される場合には、電極に課される上述の寸法制約およびプラズマに課される制約(例えば密度範囲)内で、電極容量がプラズマの負の容量の大きさと整合可能である。このような選択は、ソースパワー周波数とプラズマ−電極共振周波数間の整合またはほぼ整合状態を達成可能である。   [135] However, it has been found that there are still other factors that can be selected to achieve the preferred relationship described above. In particular, the selection of the source frequency and the capacity for the overhead assembly 126. If the source power frequency is selected to be the VHF frequency and the dielectric values of the insulating components of the electrode assembly 126 are properly selected, the above dimensional constraints imposed on the electrodes and the constraints imposed on the plasma (eg, density range). ), The electrode capacity can be matched with the negative capacity of the plasma. Such a selection can achieve a match or near match between the source power frequency and the plasma-electrode resonance frequency.

[136]したがって、例示的な場合では、8インチのウエハーについてオーバーヘッド電極の直径はおよそ11インチ、ギャップは約2インチ、プラズマ密度および作用圧力は上述したエッチングプロセスに典型的な値であり、VHFソース周波数は210MHz(他のVHF周波数でも同等に有効であるが)であり、ならびにソースパワー周波数、プラズマ電極共振周波数およびスタブ共振周波数はすべてが整合またはほぼ整合されている。   [136] Thus, in the exemplary case, for an 8-inch wafer, the overhead electrode diameter is approximately 11 inches, the gap is approximately 2 inches, the plasma density and working pressure are typical values for the etching process described above, and VHF The source frequency is 210 MHz (although equally effective at other VHF frequencies), and the source power frequency, plasma electrode resonance frequency, and stub resonance frequency are all matched or nearly matched.

[137]より詳細には、システムQを有利に減少させるデチューニング効果を達成するために、これらの3つの周波数が、ソースパワー周波数が210MHz、電極−プラズマ電極共振周波数が約200MHz、およびスタブ共振周波数が約220MHzと互いに若干オフセットされている。このようなシステムQの減少は、反応器の性能をチャンバ内の状態の変化に左右されなくし、全体のプロセスがより一層安定性を増すのでより一層幅広いプロセスウィンドウにわたって実行可能となる。   [137] More specifically, to achieve a detuning effect that advantageously reduces system Q, these three frequencies are 210 MHz source power frequency, about 200 MHz electrode-plasma electrode resonance frequency, and stub resonance. The frequency is slightly offset from each other by about 220 MHz. Such a reduction in system Q makes the reactor performance independent of changes in conditions within the chamber and can be performed over a wider process window as the overall process becomes more stable.

[138]現在好適なモードは、12インチ径のウエハー、約1.25インチのウエハー−シーリングギャップおよび(上記の210MHzよりもむしろ)162MHzのVHFソースパワー周波数に対応するのに適したチャンバ径および台径を有する。   [138] Currently preferred modes include a 12 inch diameter wafer, a wafer-sealing gap of about 1.25 inches, and a chamber diameter suitable to accommodate a VHF source power frequency of 162 MHz (rather than 210 MHz above) Has a diameter.

[139]同軸スタブ135は、システム全体の安定性、その広いプロセスウィンドウ能力およびその他多くの有益な利点に更に寄与する特別構成の設計である。それは内側円筒形導体140と外側同心円筒形導体145を含んでいる。絶縁体147(図24にクロスハッチングで示す)は、例えば1の比誘電率を有しており、内側および外側導体140、145間の空間を埋めている。内側および外側導体140、145を例えばニッケル被覆アルミニウムで形成することができる。例示的な場合では、外側導体145が約4インチの直径を有し、内側導体140が約1.5インチの直径を有する。スタブ特性インピーダンスは内側および外側導体140、145の半径と絶縁体147の誘電率により決定する。上述したこの場合のスタブ135が65■の特性インピーダンスを有する。より一般的には、スタブ特性インピーダンスがソースパワー出力インピーダンスを約20%〜40%、好ましくは約30%上回っている。210MHzのVHFソースパワー周波数から若干オフセットされつつ略整合する220MHzの付近で共振を有するように、スタブ135は、約29インチ(220MHzで半波長)の軸方向長さを有する。   [139] The coaxial stub 135 is a specially designed design that further contributes to overall system stability, its wide process window capability and many other beneficial benefits. It includes an inner cylindrical conductor 140 and an outer concentric cylindrical conductor 145. The insulator 147 (indicated by cross hatching in FIG. 24) has a relative dielectric constant of 1, for example, and fills the space between the inner and outer conductors 140 and 145. Inner and outer conductors 140, 145 can be formed of, for example, nickel-coated aluminum. In the exemplary case, outer conductor 145 has a diameter of about 4 inches and inner conductor 140 has a diameter of about 1.5 inches. The stub characteristic impedance is determined by the radii of the inner and outer conductors 140 and 145 and the dielectric constant of the insulator 147. The stub 135 in this case described above has a characteristic impedance of 65. More generally, the stub characteristic impedance exceeds the source power output impedance by about 20% to 40%, preferably about 30%. The stub 135 has an axial length of approximately 29 inches (half wavelength at 220 MHz) so as to have a resonance near 220 MHz that is approximately matched with a slight offset from the VHF source power frequency of 210 MHz.

[140]タップ160が、以下で論じるように、RFパワーをRF発生器150からスタブ135に印加するために、スタブ135の軸方向長さに沿って特定の点に提供される。発生器150のRFパワー端子150bおよびRF帰線端子150aが、スタブ135上のタップ160で内側および外側同軸スタブ導体140、145にそれぞれ接続される。これらの接続は、既知の手法で、発生器150の出力インピーダンス(典型的には50■)を整合する特性インピーダンスを有する発生器−スタブ同軸ケーブル162を介してなされる。スタブ135の遠端135aの終端導体165が内側および外側導体140、145を共に短絡し、スタブ135がその遠端135aで短絡される。スタブ135の近端135b(非短絡端)では、外側導体145が環状導電性ハウジングまたは支持部175を介してチャンバ本体に接続され、内側導体140が導電性シリンダまたは支持部176を介して電極125の中央に接続されている。誘電体リング180がシリンダ176および電極125の間に保持されそれらを分離している。   [140] A tap 160 is provided at a particular point along the axial length of the stub 135 to apply RF power from the RF generator 150 to the stub 135, as discussed below. The RF power terminal 150b and the RF return terminal 150a of the generator 150 are connected to the inner and outer coaxial stub conductors 140, 145 by taps 160 on the stub 135, respectively. These connections are made through a generator-stub coaxial cable 162 having a characteristic impedance that matches the output impedance of the generator 150 (typically 50) in a known manner. A termination conductor 165 at the far end 135a of the stub 135 shorts the inner and outer conductors 140, 145 together, and the stub 135 is shorted at its far end 135a. At the proximal end 135b (non-shorted end) of the stub 135, the outer conductor 145 is connected to the chamber body via an annular conductive housing or support 175, and the inner conductor 140 is connected to the electrode 125 via a conductive cylinder or support 176. Connected to the center of the. A dielectric ring 180 is held between the cylinder 176 and the electrode 125 to separate them.

[141]内側導体140は、プロセスガスおよび冷却剤等のユーティリティ用の導管を提供する。この特徴の主な利点は、典型的なプラズマ反応器とは異なり、ガスライン170と冷却剤ライン173が大きな電位差を超えないことである。したがって、このような目的のためのより安価かつより信頼性の高い材料である金属でそれらを構成することができる。金属ガスライン170はオーバーヘッド電極125内またはそれに隣接するガス出口172に供給し、金属冷却剤ライン173はオーバーヘッド電極125内の冷却剤通路またはジャケット174に供給する。   [141] Inner conductor 140 provides conduits for utilities such as process gases and coolants. The main advantage of this feature is that, unlike typical plasma reactors, the gas line 170 and the coolant line 173 do not exceed a large potential difference. Thus, they can be constructed of metals that are cheaper and more reliable materials for such purposes. The metal gas line 170 supplies a gas outlet 172 in or adjacent to the overhead electrode 125, and the metal coolant line 173 supplies a coolant passage or jacket 174 in the overhead electrode 125.

[142]これにより、アクティブかつ共振インピーダンス変換が、RF発生器150およびオーバーヘッド電極アセンブリ126間のこの特別構成のスタブ整合、プラズマ負荷の処理、反射されたパワーの最小化、および負荷インピーダンスの広い変化を許容する非常に広いインピーダンス整合空間により提供される。結果として、典型的なインピーダンス整合装置の必要性を最小化またはそれを回避しつつ、以前は得られなかったパワーの使用効率とともに広いプロセスウィンドウおよびプロセス柔軟性が提供される。上述のとおり、全体システムQ、システム安定性ならびにプロセスウィンドウおよび多重プロセス能力をより強化するために、スタブ共振周波数も理想的な整合からオフセットされる。   [142] This allows active and resonant impedance transformations to stub match this special configuration between the RF generator 150 and the overhead electrode assembly 126, plasma load handling, reflected power minimization, and wide variations in load impedance. Provided by a very wide impedance matching space. As a result, a wide process window and process flexibility is provided along with power utilization efficiency previously unobtainable, while minimizing or avoiding the need for typical impedance matching devices. As mentioned above, the stub resonance frequency is also offset from the ideal match in order to further enhance the overall system Q, system stability and process window and multi-process capability.

電極−プラズマ共振周波数とVHFソースパワー周波数の整合:
[143]上記概略のように、主な特徴は、電極−プラズマ共振周波数でプラズマと共振するための、またソースパワー周波数と電極−プラズマ周波数を整合(またはほぼ整合)するためのオーバーヘッド電極アセンブリ126を構成することである。電極アセンブリ126は顕著な容量性リアクタンスを有し、プラズマリアクタンスは周波数、プラズマ密度および他のパラメータの複素関数である。(以下により詳細に説明するように、プラズマは虚数項を含む複素関数リアクタンスに関して分析され、一般的に負の容量に対応する。)電極−プラズマ共振周波数は電極アセンブリ126およびプラズマのリアクタンスによって決定される(コンデンサとインダクタのリアクタンスにより決定されるコンデンサ/インダクタ共振回路の共振周波数と同様)。このように、電極−プラズマ共振周波数が、プラズマ密度に依存するように、必ずしもソースパワー周波数とされる必要はない。したがって、問題は、プラズマリアクタンスが実際にプラズマ密度および電極の寸法の特定の範囲に限定された制約を考えると、電極−プラズマ共振周波数がソースパワー周波数に等しいかまたはほぼ等しくなるような、ソースパワー周波数を求めることである。問題は、プラズマ密度(プラズマリアクタンスに影響を及ぼす)と電極の寸法(電極容量に影響を及ぼす)が特定のプロセス制約を満足させねばならないので、より一層困難である。詳細には、誘電体および導体プラズマエッチングプロセスについて、プラズマ密度は10〜1012ions/ccの範囲内とすべきであり、これがプラズマリアクタンスの制約となる。更に、例えば8インチ径ウエハーの処理のために、約2インチのウエハー−電極間ギャップまたは高さとウエハー径程度またはより大きな電極径によって、より均一なプラズマイオン濃度分布が実現され、これが電極容量の制約となる。一方、12インチ径ウエハーには異なるギャップを利用することができる。
Matching of electrode-plasma resonance frequency and VHF source power frequency:
[143] As outlined above, the main features are the overhead electrode assembly 126 for resonating with the plasma at the electrode-plasma resonance frequency and for matching (or nearly matching) the source power frequency with the electrode-plasma frequency. Is to configure. The electrode assembly 126 has a significant capacitive reactance, which is a complex function of frequency, plasma density, and other parameters. (As will be described in more detail below, the plasma is analyzed for complex function reactance including an imaginary term and generally corresponds to a negative capacitance.) The electrode-plasma resonance frequency is determined by the electrode assembly 126 and the reactance of the plasma. (Similar to the resonance frequency of the capacitor / inductor resonance circuit determined by the reactance of the capacitor and the inductor). As described above, the electrode-plasma resonance frequency does not necessarily have to be the source power frequency so as to depend on the plasma density. Thus, the problem is that the source power such that the electrode-plasma resonance frequency is equal to or nearly equal to the source power frequency, given the constraints that the plasma reactance is actually limited to a specific range of plasma density and electrode dimensions. Finding the frequency. The problem is even more difficult because the plasma density (which affects plasma reactance) and electrode dimensions (which affect electrode capacity) must satisfy certain process constraints. Specifically, for dielectric and conductor plasma etching processes, the plasma density should be in the range of 10 9 to 10 12 ions / cc, which is a plasma reactance constraint. Further, for example, for processing an 8 inch diameter wafer, a more uniform plasma ion concentration distribution is achieved with a wafer-electrode gap or height of about 2 inches and an electrode diameter on the order of or larger than the wafer diameter, which is the electrode capacity. It becomes a restriction. On the other hand, different gaps can be utilized for 12 inch diameter wafers.

[144]そこで、電極容量をプラズマの負の容量の大きさに整合(またはほぼ整合)することによって、電極−プラズマ共振周波数およびソースパワー周波数が少なくともほぼ整合される。上記列挙した一般的な導体および誘電体エッチングプロセス条件(すなわち、10〜1012ions/ccのプラズマ密度、2インチのギャップおよびおおよそ11インチ程度の電極径)に対して、ソースパワー周波数がVHF周波数であれば整合が可能である。他の条件(例えば異なるウエハー径、異なるプラズマ密度等)は、反応器のこの特徴の実行において、このような整合を実現するために異なる周波数範囲を決定付けることができる。以下で詳細に述べるように、誘電体および金属プラズマエッチングおよび化学気相堆積法を含むいくつかの主な用途で8インチウエハーを処理するために好都合なプラズマ処理条件の下で、上述のプラズマ密度を有する一つの典型的な実施例のプラズマ容量が−50〜−400ピコファラドであった。例示的な場合では、11インチの電極径、およそ2インチのギャップ長(電極から台までの間隔)を用いて、9の誘電率と1インチ程度の厚みを有するシール130用の誘電体材料ならびに4の誘電率と10mm程度の厚みを有するリング120用の誘電体材料を選ぶことによって、オーバーヘッド電極アセンブリ126の容量がこの負のプラズマ容量の大きさに整合された。 [144] Thus, by matching (or nearly matching) the electrode capacitance to the magnitude of the negative capacitance of the plasma, the electrode-plasma resonance frequency and the source power frequency are at least substantially matched. For the general conductor and dielectric etch process conditions listed above (ie, plasma density of 10 9 to 10 12 ions / cc, 2 inch gap and approximately 11 inch electrode diameter), the source power frequency is VHF. Matching is possible at any frequency. Other conditions (eg, different wafer diameters, different plasma densities, etc.) can dictate different frequency ranges to achieve such matching in the implementation of this feature of the reactor. As described in detail below, the plasma density described above under convenient plasma processing conditions for processing 8 inch wafers in several main applications, including dielectric and metal plasma etching and chemical vapor deposition. One exemplary embodiment with a plasma capacity was -50 to -400 picofarads. In an exemplary case, a dielectric material for seal 130 having a dielectric constant of 9 and a thickness of about 1 inch, using an electrode diameter of 11 inches, a gap length of about 2 inches (distance from the electrode to the table), and By choosing a dielectric material for the ring 120 having a dielectric constant of 4 and a thickness on the order of 10 mm, the capacity of the overhead electrode assembly 126 was matched to the magnitude of this negative plasma capacity.

[145]それらの容量の整合が上述の通りであれば、電極アセンブリ126およびプラズマの組み合わせが、電極125に印加されたソースパワー周波数と少なくともほぼ整合する電極−プラズマ共振周波数で共振する。本発明者らは、好都合なエッチングプラズマ処理法、環境およびプラズマに対して、VHF周波数でこの電極−プラズマ共振周波数とソースパワー周波数は整合またはほぼ整合可能であり、このような周波数整合またはほぼ整合を実施することは非常に有利であることを発見している。例示的な場合では、以下に詳細を述べるように、前述のプラズマ負容量に対応する電極−プラズマ共振周波数がおよそ200MHzである。ソースパワー周波数が210MHzであり、以下で論じる他の利点が実現されるために、ソースパワー周波数が若干電極−プラズマ共振周波数よりも上にオフセットされほぼ整合である。   [145] If the capacitive matching is as described above, the electrode assembly 126 and plasma combination resonates at an electrode-plasma resonant frequency that is at least approximately matched to the source power frequency applied to the electrode 125. We can match or nearly match this electrode-plasma resonant frequency and source power frequency at VHF frequencies for convenient etch plasma processing methods, environments and plasmas, and such frequency matching or near matching. It has been found that it is very advantageous to implement. In an exemplary case, as described in detail below, the electrode-plasma resonance frequency corresponding to the aforementioned plasma negative capacity is approximately 200 MHz. Because the source power frequency is 210 MHz and the other advantages discussed below are realized, the source power frequency is offset slightly above the electrode-plasma resonance frequency and is approximately matched.

[146]プラズマ容量は何よりもプラズマ電子密度の関数である。これは、プラズマイオン濃度と関連しており、良好なプラズマ処理条件を提供するために10〜1012ions/ccの範囲内に保たれる必要がある。この密度は、ソースパワー周波数および他のパラメータと一緒にプラズマ負容量を決定するので、以下により詳細に述べるように、その選択はプラズマ処理条件を最適化する必要性により制約されている。オーバーヘッド電極アセンブリ容量は、例えばギャップ長(電極125とウエハーの間隔)、電極125の面積、誘電体シール130の誘電損失正接の範囲、電極125とアースされたチャンバ本体127間の誘電体シール130に対する誘電率の選択、プロセスキット誘電体シール130に対する誘電率の選択、ならびに誘電体シール130および120の厚みとリング180の厚みおよび誘電率等の多くの物理的要因により影響を受ける。これが、これらおよびオーバーヘッド電極アセンブリ容量に影響を及ぼす他の物理的要因のうちでなされる選択を通して、電極アセンブリ容量のいくらかの調整を可能とする。本発明者らは、この調整の範囲がオーバーヘッド電極アセンブリ容量の、負プラズマ容量の大きさに対する必要な整合度を達成するのに十分であることを発見している。特に、シール130およびリング120の誘電体材料および寸法は、所望の誘電率と誘電値結果を提供するように選ばれる。次に、電極容量、特にギャップ長に影響する同じ物理的要因が以下の実用性:大径ウエハーに対処する必要性;ウエハーの全直径にわたってプラズマイオン濃度の分布の良好な均一性をもってそうすること;およびイオン濃度対イオンエネルギーの良好な制御を有すること、によって決定付けまたは制限されるという事実にも関わらず、電極容量とプラズマ容量の整合が達成可能である。 [146] Plasma capacity is a function of plasma electron density above all. This is related to plasma ion concentration and needs to be kept in the range of 10 9 to 10 12 ions / cc to provide good plasma processing conditions. Since this density determines the plasma negative capacity along with the source power frequency and other parameters, its selection is constrained by the need to optimize plasma processing conditions, as described in more detail below. The overhead electrode assembly capacity includes, for example, the gap length (distance between the electrode 125 and the wafer), the area of the electrode 125, the range of the dielectric loss tangent of the dielectric seal 130, and the dielectric seal 130 between the electrode 125 and the grounded chamber body 127. The choice of dielectric constant, the choice of dielectric constant for the process kit dielectric seal 130, and many physical factors such as the thickness of the dielectric seals 130 and 120 and the thickness and dielectric constant of the ring 180 are affected. This allows some adjustment of the electrode assembly capacity through selections made among these and other physical factors that affect the overhead electrode assembly capacity. The inventors have discovered that this range of adjustment is sufficient to achieve the required degree of matching of the overhead electrode assembly capacity to the magnitude of the negative plasma capacity. In particular, the dielectric material and dimensions of seal 130 and ring 120 are selected to provide the desired dielectric constant and dielectric value results. Second, the same physical factors that affect electrode capacity, especially gap length, have the following practicality: the need to deal with large diameter wafers; doing so with good uniformity of plasma ion concentration distribution over the entire diameter of the wafer Despite the fact that it is determined or limited by having good control of the ion concentration versus ion energy, a matching of electrode capacity and plasma capacity can be achieved.

[147]前述のプラズマ容量の範囲とオーバーヘッド電極容量の整合を考えると、210MHzのソースパワー周波数について電極−プラズマ共振周波数が200MHzであった。   [147] Considering the aforementioned plasma capacity range and overhead electrode capacity matching, the electrode-plasma resonance frequency was 200 MHz for a source power frequency of 210 MHz.

[148]このようにして電極アセンブリ126の容量を選び、次に、結果的に生じる電極−プラズマ共振周波数とソースパワー周波数とを整合する大きな利点は、ソースパワー周波数近傍の電極およびプラズマの共振は、より広いインピーダンス整合およびより広いプロセスウィンドウ、結果としてプロセス条件の変化に対する一層大きな耐性、ならびにより大きな性能安定性を提供する。処理システム全体が、例えばプラズマインピーダンスのシフト等の動作条件の変動に対して感受性が低下し、したがってプロセス適用性の範囲の広がりにともなってより信頼性が高まる。本明細書で後述するように、この利点は電極−プラズマ共振周波数とソースパワー周波数の間の小さいオフセットにより更に強化される。   [148] The great advantage of choosing the capacitance of the electrode assembly 126 in this way and then matching the resulting electrode-plasma resonance frequency to the source power frequency is that the resonance of the electrode and plasma near the source power frequency is Provides wider impedance matching and wider process window, resulting in greater resistance to changes in process conditions, and greater performance stability. The overall processing system is less sensitive to variations in operating conditions, such as plasma impedance shifts, and thus becomes more reliable as the range of process applicability increases. As will be discussed later in this specification, this advantage is further enhanced by a small offset between the electrode-plasma resonance frequency and the source power frequency.

[149]図25は、内部および外部コイル60、65を、固定されたチューニングスタブを通してVHFプラズマソースパワー発生器に接続されたオーバーヘッド電極を有し、その周囲にMERIE電磁石を有する容量結合反応器と、どのようにして組み合わせることができるかを図示する。このような反応器は、Daniel Hoffmanらによる2001年12月19日出願の米国特許出願第10/028,922号「Plasma Reactor with Overhead RF Electorde Tuned to the Plasma」に記載され、本願の譲受人に譲渡されており、その開示は参照することにより組み入れられる。   [149] FIG. 25 shows a capacitively coupled reactor having an overhead electrode connected to a VHF plasma source power generator through fixed tuning stubs with internal and external coils 60, 65 and having a MERIE electromagnet around it. Illustrates how they can be combined. Such a reactor is described in US Patent Application No. 10 / 028,922 “Plasma Reactor with Overhead RF Electric Tuned to the Plasma” filed December 19, 2001 by Daniel Hoffman et al. The disclosure of which is incorporated herein by reference.

[150]図25を参照すると、VHF容量結合プラズマ反応器は、図1Aに見られる以下の要素を含んでいる。チャンバの底部に半導体ウエハー110を支持するウエハー支持部105を備える反応器チャンバ100である。図示された場合のプロセスキットは、アースされたチャンバ本体127上の誘電体リング120により支持される導電性または半導電性リング115からなる。チャンバ100は、誘電体シール130によりアースされたチャンバ本体127上のウエハー110上方に所定のギャップ長で支持された円盤状のオーバーヘッドアルミニウム電極125によって、頂部で境界がつけられている。オーバーヘッド電極125も、その内表面が半金属材料(例えばSiまたはSiC)で覆われた金属(例えばアルミニウム)か、あるいはそれ自体が半金属材料とすることができる。RF発生器150は、RFパワーを電極125に印加する。発生器150からのRFパワーは、発生器150と整合された同軸ケーブル162を通して電極125の接続された同軸スタブ135内に結合されている。スタブ135は、特性インピーダンス、共振周波数を有し、以下でより十分に説明するように、電極125と同軸ケーブル162/RFパワー発生器150の間にインピーダンス整合を提供する。チャンバ本体は、RF発生器150のRF帰線(RFグラウンド)に接続されている。オーバーヘッド電極125からRFグラウンドまでのRF経路は、プロセスキットの誘電体リング120および誘電体シール130の容量の影響を受ける。ウエハー支持部105、ウエハー110およびプロセスキットの導電性(または半導電性)リング115は、電極125に印加されるRFパワー用の主要なRF帰線路を提供する。   [150] Referring to FIG. 25, the VHF capacitively coupled plasma reactor includes the following elements found in FIG. 1A. The reactor chamber 100 includes a wafer support 105 that supports a semiconductor wafer 110 at the bottom of the chamber. The illustrated process kit consists of a conductive or semiconductive ring 115 supported by a dielectric ring 120 on a grounded chamber body 127. The chamber 100 is bounded at the top by a disk-like overhead aluminum electrode 125 supported at a predetermined gap length above the wafer 110 on the chamber body 127 grounded by a dielectric seal 130. The overhead electrode 125 can also be a metal (eg, aluminum) whose inner surface is covered with a metalloid material (eg, Si or SiC), or can itself be a metalloid material. The RF generator 150 applies RF power to the electrode 125. RF power from the generator 150 is coupled into a coaxial stub 135 to which the electrode 125 is connected through a coaxial cable 162 aligned with the generator 150. The stub 135 has a characteristic impedance, a resonant frequency, and provides impedance matching between the electrode 125 and the coaxial cable 162 / RF power generator 150, as described more fully below. The chamber body is connected to the RF return (RF ground) of the RF generator 150. The RF path from the overhead electrode 125 to the RF ground is affected by the capacitance of the dielectric ring 120 and dielectric seal 130 of the process kit. Wafer support 105, wafer 110, and conductive (or semiconductive) ring 115 of the process kit provide the main RF return for RF power applied to electrode 125.

[151]図1Aの場合のように、内部コイル60は外部コイル65の直径の半分よりも小さく、外部コイル65よりもチャンバから遠くはなれた平面上にある。内部コイルは電極125からかなり上方に配置されているが、外部コイル65は電極125の頂部の平面上またはそれに近接して配置されている。図1の場合のように、コイル60、65内のDC電流が、コイル60、65の電流供給源70、75を管理するプラズマステアリングコントローラ90によって制御される。   [151] As in FIG. 1A, the inner coil 60 is on a plane that is less than half the diameter of the outer coil 65 and farther from the chamber than the outer coil 65. The inner coil is positioned significantly above the electrode 125, while the outer coil 65 is positioned on or near the top plane of the electrode 125. As in the case of FIG. 1, the DC current in the coils 60 and 65 is controlled by a plasma steering controller 90 that manages the current supply sources 70 and 75 of the coils 60 and 65.

[152](図7および図8に示したのと同じように)ウエハー支持用ペデスタルの周辺部および反応器チャンバの外側に等間隔のMERIE電磁石902のセットを導入することにより、プラズマ密度分布の均一性の改善が達成される。これらのMERIE磁石は、一般にウエハー支持用ペデスタルの表面にわたって円筒チャンバの対称軸周りで低速回転する磁場を発生するように適合されている。一つの場合では、ウエハー支持用ペデスタルの周囲に正接するそれぞれの軸周りに巻かれた電磁石巻き線を有するMERIE磁石902によってこの特徴が実現される。この場合には、MERIE電流コントローラ904が各MERIE磁石の独立した電流を制御する。循環する磁場は、同じ周波数だが位相が90度(または360度をMERIE磁石の数で割っただけ)オフセットされたそれぞれ独立した磁石巻き線に、独立したAC電流を提供するコントローラ904によって、加工物支持部の平面内で生成される。別の場合には、回転する磁場の特徴が、ロータ1025(点線)により対称軸周りを回転される全てのMERIE磁石を支持する支持フレーム1020(点線)によって実現される。この別の場合には、MERIE磁石が永久磁石である。   [152] By introducing a set of equally spaced MERIE electromagnets 902 around the periphery of the wafer support pedestal and outside the reactor chamber (as shown in FIGS. 7 and 8), An improvement in uniformity is achieved. These MERIE magnets are generally adapted to generate a magnetic field that rotates slowly about the axis of symmetry of the cylindrical chamber over the surface of the wafer support pedestal. In one case, this feature is realized by a MERIE magnet 902 having electromagnet windings wound about respective axes tangent to the periphery of the wafer support pedestal. In this case, the MERIE current controller 904 controls the independent current of each MERIE magnet. The circulating magnetic field is processed by a controller 904 that provides independent AC current to each independent magnet winding at the same frequency but offset in phase by 90 degrees (or 360 degrees divided by the number of MERIE magnets). It is generated in the plane of the support. In another case, the rotating magnetic field feature is realized by a support frame 1020 (dotted line) that supports all MERIE magnets rotated about the axis of symmetry by the rotor 1025 (dotted line). In this other case, the MERIE magnet is a permanent magnet.

[153]加工物またはウエハー支持用ペデスタル周りで等間隔のMERIE磁石906の第2のアレイ(点線で示す)は、MERIE磁石902の第1のセットよりも高い平面内にも設けることができる。両方のセットの磁石が、加工物支持部の平面近傍のそれぞれの平面内にある。   [153] A second array of MERIE magnets 906 (shown in dotted lines) equally spaced around the workpiece or wafer support pedestal can also be provided in a higher plane than the first set of MERIE magnets 902. Both sets of magnets are in respective planes near the plane of the workpiece support.

[154]コントローラ910は、低周波数(0.5〜10Hz)AC電流をそれぞれの電磁石902、906に印加する。隣接する磁石に印加される電流の位相は上述のように90度オフセットされている。結果は、磁場がAC電流の低周波数で加工物支持部の対称軸周りに回転する。磁場によって、プラズマが加工物表面近傍の磁場に向かって引っ張られ、磁場で循環する。これがプラズマをかき回してその密度分布をより均一にする。結果として、より均一なエッチング結果がウエハーの全面にわたって得られるため、反応器の性能が大幅に改善される。   [154] The controller 910 applies a low frequency (0.5-10 Hz) AC current to each electromagnet 902,906. The phase of the current applied to adjacent magnets is offset by 90 degrees as described above. The result is that the magnetic field rotates around the axis of symmetry of the workpiece support at a low frequency of AC current. The magnetic field pulls the plasma toward the magnetic field near the workpiece surface and circulates in the magnetic field. This stirs the plasma and makes its density distribution more uniform. As a result, more uniform etching results are obtained over the entire surface of the wafer, greatly improving the performance of the reactor.

コンビネーションオーバーヘッド電極およびガス分布プレート:
[155]プロセスガスをオーバーヘッドシーリングから供給してチャンバ内のガス分布の均一性を改善するのが望ましい。このために、図24および25の場合のオーバーヘッド電極125をガス分配シャワーヘッドとすることが可能であり、したがって、加工物支持部105に面するその底表面に多数のガス噴射ポートまたは小孔300を有する。例示的な場合では、孔300が直径0.01〜0.03インチの間であり、それらの中心が約3/8インチずつ一様に離間されている。
Combination overhead electrode and gas distribution plate:
[155] It is desirable to supply process gas from an overhead ceiling to improve the uniformity of gas distribution within the chamber. For this purpose, the overhead electrode 125 in the case of FIGS. 24 and 25 can be a gas distribution showerhead, and therefore a number of gas injection ports or small holes 300 on its bottom surface facing the workpiece support 105. Have In the exemplary case, the holes 300 are between 0.01 and 0.03 inches in diameter, and their centers are uniformly spaced by about 3/8 inch.

[156]オーバーヘッド電極/ガス分配プレート125(以下、ガス分配プレート125という)がアーク放電に対する耐性を改善している。これは、プロセスガスおよび/またはプラズマを各開口または孔300の中心から排除する、アークを抑制する特徴の導入によるものである。このアークを抑制する特徴は、図26の断面図および図27の拡大断面図に示したような各円柱形フィンガーまたは細径ロッド303の端部で支持された孔300の中心の中心片またはディスク302のセットである。典型的なガス分配プレート内のアーク放電は、ガス噴射孔の中心近傍で起きる。したがって、各孔300の中心に中心片302を置くことにより、プロセスガスが各孔300の中心に達するのを防ぎ、アーク放電の発生を減少する。図28の平面図に示すように、中心片302を孔300に導入することにより、そうしない場合円形開口または孔300を環状開口へと変形する。   [156] An overhead electrode / gas distribution plate 125 (hereinafter gas distribution plate 125) improves resistance to arcing. This is due to the introduction of arc suppression features that exclude process gases and / or plasma from the center of each opening or hole 300. The arc-suppressing feature is the center piece or disk at the center of the hole 300 supported at the end of each cylindrical finger or narrow rod 303 as shown in the cross-sectional view of FIG. 26 and the enlarged cross-sectional view of FIG. 302 sets. Arc discharge in a typical gas distribution plate occurs near the center of the gas injection hole. Therefore, by placing the center piece 302 in the center of each hole 300, the process gas is prevented from reaching the center of each hole 300, and the occurrence of arc discharge is reduced. As shown in the plan view of FIG. 28, by introducing the center piece 302 into the hole 300, otherwise the circular opening or hole 300 is transformed into an annular opening.

[157]図29Aを参照すると、アーク抑制が改善されたガス分配プレート125は、カバー1402とベース1404からなる。ベース1404は、内側肩部1410を有する環状壁1408により囲まれたガス噴射開口を持った円盤状プレート1406が、内部を通って形成されている。カバー1402も円盤状プレートである。ディスク302は、カバー1402の底部表面に取り付けられそこから下側に延びる円柱形フィンガー303の端部である。カバー1402の外側エッジは、ベース1404の肩部1410に載っており、カバー1402とベース1404の間にガスマニホールド1414(図26)を形成している。プロセスガスが、カバー1402の中央でガス入口1416からマニホールド1414内へ流れ込む。   [157] Referring to FIG. 29A, a gas distribution plate 125 with improved arc suppression comprises a cover 1402 and a base 1404. The base 1404 is formed with a disk-like plate 1406 having a gas injection opening surrounded by an annular wall 1408 having an inner shoulder 1410 passing through the inside. The cover 1402 is also a disk-shaped plate. The disc 302 is the end of a cylindrical finger 303 that is attached to the bottom surface of the cover 1402 and extends downwardly therefrom. The outer edge of the cover 1402 rests on the shoulder 1410 of the base 1404, forming a gas manifold 1414 (FIG. 26) between the cover 1402 and the base 1404. Process gas flows into the manifold 1414 from the gas inlet 1416 at the center of the cover 1402.

[158]チャンバ内でプロセスガスまたはプラズマに接触するガス分配プレート125の部分は、炭化ケイ素等の半導体処理適合材料でコーティングされたアルミニウム等の金属で形成可能である。この例では、カバー1402の頂部表面を除いたガス分配プレートの全表面が、図29Bの拡大部分断面図に表示したような炭化ケイ素コーティング1502により覆われている。図30に示すように、カバー1402のアルミニウム頂部表面は、熱交換器1524により循環されている冷却剤を備えるウォータージャケットによって水冷される温度制御部材1520に接触しており、ガス分配プレート125の熱伝導アルミニウム材料は制御された温度を有する。あるいは、図31に示すように、ウォータージャケットがガス分配プレート125内とすることもできる。   [158] The portion of the gas distribution plate 125 that contacts the process gas or plasma in the chamber can be formed of a metal such as aluminum coated with a semiconductor processing compatible material such as silicon carbide. In this example, the entire surface of the gas distribution plate except the top surface of the cover 1402 is covered with a silicon carbide coating 1502 as shown in the enlarged partial cross-sectional view of FIG. 29B. As shown in FIG. 30, the aluminum top surface of the cover 1402 is in contact with a temperature control member 1520 that is water cooled by a water jacket with a coolant circulated by a heat exchanger 1524, and the heat of the gas distribution plate 125. The conductive aluminum material has a controlled temperature. Alternatively, the water jacket can be within the gas distribution plate 125 as shown in FIG.

[159]しかしながら、炭化ケイ素コーティング1502が同じ制御温度を有するためには、炭化ケイ素コーティングとアルミニウムとの間に熱伝導接着がなくてはならない。さもなければ、炭化ケイ素コーティングの温度が制御不能に変動することもある。ガス分配プレート125のアルミニウム材料と炭化ケイ素コーティングとの間に良好な熱伝導を達成するために、図29Aに示すように、アルミニウムのガス分配プレートと炭化ケイ素コーティング1502との間にポリマー接着層1504が形成される。図29Aは、ポリマー接着層1504が炭化ケイ素コーティング1502とアルミニウムベース1404の間にあることを示している。ポリマー接着層は、アルミニウムと炭化ケイ素コーティング1502との間に良好な熱伝導を提供し、コーティング1502の温度が熱交換器1524により制御される。   [159] However, in order for the silicon carbide coating 1502 to have the same controlled temperature, there must be a thermally conductive bond between the silicon carbide coating and the aluminum. Otherwise, the temperature of the silicon carbide coating may fluctuate uncontrollably. In order to achieve good heat conduction between the aluminum material of the gas distribution plate 125 and the silicon carbide coating, a polymer adhesive layer 1504 is provided between the aluminum gas distribution plate and the silicon carbide coating 1502 as shown in FIG. 29A. Is formed. FIG. 29A shows that the polymer adhesion layer 1504 is between the silicon carbide coating 1502 and the aluminum base 1404. The polymer adhesion layer provides good heat conduction between the aluminum and the silicon carbide coating 1502, and the temperature of the coating 1502 is controlled by the heat exchanger 1524.

[160]図32、図33および図34は、デュアルゾーンガスフロー制御を提供するように、図29Aのガス分配プレート125をどのようにして変形可能かを図示している。このような特徴は、補完的なプロセスガス分布を選択することによって、中央高または中央低のいずれかのエッチング速度または堆積速度空間分布を補正するために使用可能である。詳細には、環状仕切りまたは壁1602が、ガスマニホールド1414を中央マニホールド1414aと外側マニホールド1414bとに分割する。中央マニホールド1414aに供給する中央ガス供給部1416に加えて、ガス分配プレート125の中央および周辺間の別のガス供給部1418が外側マニホールド1414bに供給する。デュアルゾーンコントローラ1610は、プロセスガス供給源1612からのガスフローを内側および外側ガス供給部1416、1418間に分配する。図35は、関節羽根1618がガス分配プレートの内側および外側ガスマニホールド1414a、1414bへのそれぞれの量のガスフローを制御する、バルブ1610の一実施を図示する。インテリジェントフローコントローラ1640が羽根1618の位置を管理する。図36に示した別の実施では、一対のバルブ1651、1652がチャンバのそれぞれの径方向ゾーンについて独立したガスフロー制御を行う。   [160] FIGS. 32, 33, and 34 illustrate how the gas distribution plate 125 of FIG. 29A can be modified to provide dual zone gas flow control. Such a feature can be used to correct either the central high or central low etch rate or deposition rate spatial distribution by selecting a complementary process gas distribution. Specifically, an annular divider or wall 1602 divides the gas manifold 1414 into a central manifold 1414a and an outer manifold 1414b. In addition to the central gas supply 1416 supplied to the central manifold 1414a, another gas supply 1418 between the center and the periphery of the gas distribution plate 125 supplies the outer manifold 1414b. The dual zone controller 1610 distributes the gas flow from the process gas supply 1612 between the inner and outer gas supplies 1416, 1418. FIG. 35 illustrates one implementation of valve 1610 in which articulation vanes 1618 control the respective amount of gas flow to the inner and outer gas manifolds 1414a, 1414b of the gas distribution plate. Intelligent flow controller 1640 manages the position of vane 1618. In another implementation shown in FIG. 36, a pair of valves 1651, 1652 provides independent gas flow control for each radial zone of the chamber.

[161]図37は、ガス分配プレート125が3つのガスフローゾーンを有する場合を図示している。マニホールド1414は、内側および外側環状仕切り1604、1606により3個のマニホールド1414a、1414bおよび1414cに分離されている。3つのそれぞれのガス供給部1416、1418、1420がガスフローをそれぞれのマニホールド1414a、b、cに提供する。   [161] FIG. 37 illustrates the case where the gas distribution plate 125 has three gas flow zones. Manifold 1414 is separated into three manifolds 1414a, 1414b and 1414c by inner and outer annular partitions 1604, 1606. Three respective gas supplies 1416, 1418, 1420 provide gas flow to respective manifolds 1414a, b, c.

[162]この明細書において種々の場合について一対のオーバーヘッドコイル60、65を有するとして上記説明してきたが、図37は3個以上のオーバーヘッドコイルも可能であることを示している。実際に、図37の場合は、3個の同心オーバーヘッドコイルまたはコイル60、64および65を有するとして図示されている。独立に制御されるオーバーヘッドコイルの数を増やすことによって、処理の不均一性が補正されて解像度が増加したと感じられる。   [162] Although various cases have been described in this specification as having a pair of overhead coils 60, 65, FIG. 37 shows that more than two overhead coils are possible. Indeed, the case of FIG. 37 is illustrated as having three concentric overhead coils or coils 60, 64 and 65. By increasing the number of independently controlled overhead coils, it is felt that the processing non-uniformity is corrected and the resolution is increased.

[163]図34及び図37の複数ゾーンのガス分配プレートは、加工物の内側および外側処理ゾーン間のガス分配に対する柔軟な制御の利点を享受する。しかしながら、ガスフローをカスタマイズする別の方法は、異なるガス噴射孔サイズをガス分配プレート125の異なる半径で提供することにより永久的にそれを行う。例えば、反応器が中央高の空間エッチング速度分布を提示する傾向があれば、中央の小さいガス噴射孔300と周辺近傍の大きいものを用いることにより、チャンバの中央付近ではガスが少なめ、周辺部ではガスが多めに供給される。このようなガス分配プレートは図38の平面図に示されている。中央低エッチング速度分布については、逆の孔配置が図39に図示したように使用されることになる。   [163] The multi-zone gas distribution plate of FIGS. 34 and 37 enjoys the advantage of flexible control over gas distribution between the inner and outer processing zones of the workpiece. However, another way to customize the gas flow is to do so permanently by providing different gas injection hole sizes at different radii of the gas distribution plate 125. For example, if the reactor tends to present a center-high spatial etch rate distribution, by using a small gas injection hole 300 in the center and a large one near the periphery, there will be less gas near the center of the chamber and less at the periphery. More gas is supplied. Such a gas distribution plate is shown in the plan view of FIG. For the central low etch rate distribution, the reverse hole arrangement will be used as illustrated in FIG.

図9の反応器におけるプラズマステアリング:
[164]図11〜図14を参照して上述したようなプラズマステアリングは、図9の場合に行われる。側壁を指す磁場は、内側コイル60に−13アンペアの電流を印加し、外側コイル65に+1.4アンペアの電流を印加することによって発生される。シーリングまたは電極125の周辺に向かう磁場は、内側コイル60に−13アンペアの電流を印加し、外側コイル65に+5.2アンペアの電流を印加することによって発生される。側壁での密な磁場は、内側コイル60に−13アンペアの電流を印加し、外側コイル65に+9.2アンペアの電流を印加することによって発生される。本発明者らは、シーリングまたは電極125の周辺に向かう磁場を上述の手法で印加することによって、洗浄中のチャンバ表面のエッチング速度が40%程度改善されたことを見つけた。
Plasma steering in the reactor of FIG. 9:
[164] Plasma steering as described above with reference to FIGS. 11-14 is performed in the case of FIG. A magnetic field pointing to the sidewall is generated by applying a -13 ampere current to the inner coil 60 and a +1.4 ampere current to the outer coil 65. A magnetic field toward the periphery of the ceiling or electrode 125 is generated by applying a -13 ampere current to the inner coil 60 and a +5.2 ampere current to the outer coil 65. A dense magnetic field at the sidewall is generated by applying a -13 ampere current to the inner coil 60 and a +9.2 ampere current to the outer coil 65. The inventors have found that the etching rate of the chamber surface during cleaning is improved by about 40% by applying a magnetic field directed to the periphery of the sealing or electrode 125 in the above-described manner.

コイル形状:
[165]上述の場合は内側および外側コイル60、65を参照して説明されてきたが、より多くの数のコイルを使用することができる。例えば、図40の場合は、それぞれがコントローラ90により別個に制御されるそれぞれの電流を持つ、5個のオーバーヘッドコイル4060、4062、4064、4066、4068を有する。コイル4060、4062、4064、4066、4068は、シーリング125上方の同じ高さか(図40にあるように)、または異なる高さとすることができる。図41は、オーバーヘッドコイル60、65が同じ高さにある場合を図示している。図41で、各コイル60、65の巻き線が垂直方向および径方向の両方に重ねられている。図42および43は、コイル60、65が垂直方向および径方向に延びる巻き線を有する異なる場合を図示している。
Coil shape:
[165] Although the above case has been described with reference to inner and outer coils 60, 65, a greater number of coils can be used. For example, the case of FIG. 40 has five overhead coils 4060, 4062, 4064, 4066, and 4068, each having a respective current controlled separately by the controller 90. The coils 4060, 4062, 4064, 4066, 4068 can be the same height above the ceiling 125 (as in FIG. 40) or different heights. FIG. 41 illustrates the case where the overhead coils 60 and 65 are at the same height. In FIG. 41, the windings of the coils 60 and 65 are overlapped both in the vertical direction and in the radial direction. 42 and 43 illustrate different cases where the coils 60, 65 have windings extending in the vertical and radial directions.

[166]この明細書で図1Aを参照して上記論じたように、不均一な分布を補正するためのプラズマに対する磁気圧力が、磁場の二乗の勾配の径方向成分に比例する。このように、最も効率的なアプローチはカスプ型磁場等の大きい径方向勾配を有する磁場を使用することである。更に上記論じたように、カスプ型磁場の効率が大きいほど、所与の磁気圧力量に対して必要とされる磁場の強度が小さくなり、それによって、高い磁場に関連するデバイス損傷が減少するかまたはなくなる。図44は、チャンバ上方および下方に配置された一対のコイル4420、4440によってそれぞれに完全なカスプ型磁場が発生される場合を図示する。頂部および底部コイル4420、4440内の電流はそれぞれ時計回りおよび反時計回りである。図45は、一対のコイル4420、4440によって発生された完全なカスプ型磁場の磁場ラインパターンの簡略図示である。   [166] As discussed herein above with reference to FIG. 1A, the magnetic pressure on the plasma to correct for the non-uniform distribution is proportional to the radial component of the gradient of the square of the magnetic field. Thus, the most efficient approach is to use a magnetic field with a large radial gradient, such as a cusp-type magnetic field. As further discussed above, the greater the efficiency of a cusp-type magnetic field, the less magnetic field strength is required for a given amount of magnetic pressure, thereby reducing device damage associated with high magnetic fields. Or disappear. FIG. 44 illustrates the case where a complete cusp-type magnetic field is generated by a pair of coils 4420 and 4440 disposed above and below the chamber, respectively. The currents in the top and bottom coils 4420, 4440 are clockwise and counterclockwise, respectively. FIG. 45 is a simplified illustration of a magnetic line pattern of a complete cusp-type magnetic field generated by a pair of coils 4420, 4440.

[167]図46は、従来のMERIE反応器4650の4個の電磁石4610、4620、4630、4640が図45の完全なカスプ型磁場を発生させるために使用される場合を図示する。それぞれの電磁石4610、4620、4630、4640内の電流を制御する電流コントローラ4660が、全ての電磁石4610、4620、4630、4640内で図46の矢印で表示したようにDC電流を同じ(例えば時計回り)方向に流すようにプログラムされている。このようにして、頂部導体4610a、4620a、4630a、4640a内のDC電流が時計回り方向の電流ループを形成し、底部導体4610b、4620b、4630b、4640b内のDC電流が反時計回り方向の電流ループを形成する一方で、アレイの各コーナーでは隣接する電磁石(例えば一対の垂直導体4620cおよび4630d)の垂直導体の電流がウエハー表面で互いに磁場を打ち消し合う。最終的な効果は、図44の場合と同様に、チャンバ頂部および底部で時計回りおよび反時計回りの電流ループをそれぞれ発生し、図45に示した完全なカスプ型磁場を同じ結果として得ることである。図46の反応器は3つのモードのいずれでも動作される:
カスプ型磁場が発生される磁気圧力モード;
ウエハー表面上に低速回転磁場を発生するために、4つの正弦波電流が直角位相で4個の電磁石4610、4620、4630、4640に印加される正弦波モード;
4個の電磁石4610、4620、4630、4640が隣接する対の対向するセットにグループ化され、1つの対が1つのDC電流を有し、対向する対が逆方向のDC電流を有し、ウエハー表面にわたって4個の電磁石4610、4620、4630、4640の向きに対して斜めの方向に延びる略直線の磁場ラインを発生する、設定可能磁場(CMF)モードである。このグループ化は、磁場が4つの斜め方向向きを経て回転するように、電流を切り替えることによって回転される。これらの方向付けの時間系列は図47A、図47B、図47Cおよび図47Dに図示されている。
[167] FIG. 46 illustrates the case where four electromagnets 4610, 4620, 4630, 4640 of a conventional MERIE reactor 4650 are used to generate the full cusp-type magnetic field of FIG. A current controller 4660 that controls the current in each electromagnet 4610, 4620, 4630, 4640 has the same DC current (eg, clockwise) as indicated by the arrows in FIG. 46 in all electromagnets 4610, 4620, 4630, 4640. ) Programmed to flow in the direction. In this way, the DC current in the top conductors 4610a, 4620a, 4630a, 4640a forms a clockwise current loop, and the DC current in the bottom conductors 4610b, 4620b, 4630b, 4640b is counterclockwise. While at each corner of the array, the vertical conductor currents of adjacent electromagnets (eg, a pair of vertical conductors 4620c and 4630d) cancel each other's magnetic field on the wafer surface. The net effect is to generate the clockwise and counterclockwise current loops at the top and bottom of the chamber, respectively, as in FIG. 44, resulting in the same cusp-type magnetic field as shown in FIG. is there. The reactor of FIG. 46 is operated in any of three modes:
A magnetic pressure mode in which a cusp-type magnetic field is generated;
A sinusoidal mode in which four sinusoidal currents are applied to four electromagnets 4610, 4620, 4630, 4640 in quadrature to generate a slow rotating magnetic field on the wafer surface;
Four electromagnets 4610, 4620, 4630, 4640 are grouped into opposing pairs of adjacent pairs, one pair having one DC current, the opposing pair having a reverse DC current, and the wafer This is a configurable magnetic field (CMF) mode that generates a substantially straight magnetic field line extending in a direction oblique to the direction of four electromagnets 4610, 4620, 4630, 4640 over the surface. This grouping is rotated by switching the current so that the magnetic field rotates through four diagonal directions. These orientation time sequences are illustrated in FIGS. 47A, 47B, 47C and 47D.

[168]図47Aで、電磁石4610、4620は正のDC電流を有しているのに対して電磁石4630、4640は負のDC電流を有しており、結果的に生じる平均の磁場方向は図の略左上コーナーから右下コーナーに向かっている。図47Bで、電磁石4620、4630は正の電流を有しているのに対して電磁石4610、4640は負の電流を有するようにグループ化が切り替えられ、平均の磁場は時計回りに90度回転している。図47Cおよび47Dはこのサイクルを完了する。磁場ラインの強度はこのように印加された正および負のDC電流の大きさの差によって決定され、コントローラ4650をプログラムすることによって所望のように調整することができる。   [168] In FIG. 47A, electromagnets 4610, 4620 have a positive DC current, whereas electromagnets 4630, 4640 have a negative DC current, and the resulting average magnetic field direction is shown in FIG. From the upper left corner to the lower right corner. In FIG. 47B, the electromagnets 4620, 4630 have a positive current while the electromagnets 4610, 4640 have been switched to group so that they have a negative current, and the average magnetic field rotates 90 degrees clockwise. ing. 47C and 47D complete this cycle. The strength of the magnetic field line is determined by the difference between the magnitudes of the positive and negative DC currents thus applied and can be adjusted as desired by programming the controller 4650.

[169]図9の方法は、4個の電磁石4610、4620、4630、4640のDC電流を正確に選択して不均一なエッチング速度またはプラズマイオン濃度分布について最良の補正を発生するために、CMFモードにおいて使用される。図9の方法を図47A〜DのCMFモードに適用する際、電磁石またはコイル4610、4620、4630、4640のそれぞれのコイルをオーバーヘッドコイル60、65の代わりに用いて、図9の全てのステップをその代替に従って行う。違いは各コイルからの磁場の算出が図47A〜Dに対応する4つの期間についての平均として計算されることだけである。   [169] The method of FIG. 9 uses the CMF to accurately select the DC current of the four electromagnets 4610, 4620, 4630, 4640 to produce the best correction for non-uniform etch rate or plasma ion concentration distribution. Used in mode. When applying the method of FIG. 9 to the CMF mode of FIGS. 47A-D, the electromagnets or coils 4610, 4620, 4630, 4640 are used in place of the overhead coils 60, 65, and all steps of FIG. Follow that alternative. The only difference is that the calculation of the magnetic field from each coil is calculated as the average over the four periods corresponding to FIGS.

[170]図48は、ポンプ環上に挿入される特別な格子4810を含む反応器を図示する。格子4810は炭化シリコン等の半導体材料またはアルミニウム等の導電性材料で形成されており、チャンバからポンプ環を通ってガスを排出可能とする開口4820を有する。特別な格子4810は、プラズマをポンプ環から排除し、必要な保護とプロセス制御を提供する。このために、径方向平面において各開口4820の内部を横切る距離が、プラズマシース厚の2倍程度の大きさである。このように、不可能でないにしてもプラズマが格子4810を貫通することは非常に困難である。これが、ポンプ環内のプラズマのチャンバとの相互作用を減少させるかまたはなくす。   [170] FIG. 48 illustrates a reactor including a special grid 4810 that is inserted over the pump ring. The grid 4810 is formed of a semiconductor material such as silicon carbide or a conductive material such as aluminum and has an opening 4820 that allows gas to escape from the chamber through the pump ring. A special grating 4810 eliminates the plasma from the pump ring and provides the necessary protection and process control. For this reason, the distance across the inside of each opening 4820 in the radial plane is about twice the plasma sheath thickness. Thus, if not impossible, it is very difficult for the plasma to penetrate the grating 4810. This reduces or eliminates the interaction of the plasma in the pump ring with the chamber.

[171]図49および図50は、図48のプラズマ拘束格子4810を内蔵する一体形成の取り外し可能なチャンバライナー4910を図示する。ライナー4910はチャンバの電極125の下でウエハー110の上にある領域の径方向外側の部分を覆う。このように、ライナー4910は、チャンバシーリングの外側周辺を覆う上部水平部分4920と、チャンバ側壁を覆う垂直部分4930と、プラズマ拘束格子4810を含み、ウエハー110に隣接する環状表面だけでなくポンプ環も覆う下部水平部分4940とを含んでいる。ある場合には、部分4920、4930、4940のそれぞれがモノリシック炭化シリコン片4950として一緒に形成される。ライナー4910は、炭化シリコン片4950の下部水平部分4940の下にあるアルミニウムベース4960を更に含んでおり、それに接着されている。アルミニウムベース4960は、比較的長く薄い一対の下方に延びる環状レール4962、4964を含んでおり、良好な電気伝導をウエハー支持用ペデスタル105下方のアースされたチャンバの構造要素に提供する。   [171] FIGS. 49 and 50 illustrate an integrally formed removable chamber liner 4910 that incorporates the plasma constraining lattice 4810 of FIG. Liner 4910 covers the radially outer portion of the region above wafer 110 under chamber electrode 125. Thus, the liner 4910 includes an upper horizontal portion 4920 that covers the outer periphery of the chamber ceiling, a vertical portion 4930 that covers the chamber sidewalls, and a plasma constraining grating 4810 that includes not only the annular surface adjacent to the wafer 110 but also the pump ring. And a lower horizontal portion 4940 that covers it. In some cases, each of portions 4920, 4930, 4940 are formed together as monolithic silicon carbide pieces 4950. The liner 4910 further includes and is bonded to an aluminum base 4960 that is below the lower horizontal portion 4940 of the silicon carbide piece 4950. The aluminum base 4960 includes a relatively long and thin pair of downwardly extending annular rails 4962, 4964 that provides good electrical conduction to the grounded chamber structural elements below the wafer support pedestal 105.

[172]反応器は、下方に延びる環状レール4962、4964に熱的に接触する温度制御素子4972、4974と、垂直側部部分4930に熱的に接触する温度制御素子4976を有することが可能である。熱制御素子4972、4974、4976は、冷却剤経路を含む冷却装置と電気ヒータを含む加熱装置を含むことが可能である。ライナー4910を十分高い温度(例えば120度F程度)に維持してポリマーまたは過フッ化炭化水素化合物のライナー4910内部表面への堆積を最小限に抑えるかまたは防ぐために、望ましい可能性がある。   [172] The reactor can have temperature control elements 4972, 4974 in thermal contact with the downwardly extending annular rails 4962, 4964 and temperature control elements 4976 in thermal contact with the vertical side portion 4930. is there. The thermal control elements 4972, 4974, 4976 may include a cooling device including a coolant path and a heating device including an electric heater. It may be desirable to maintain the liner 4910 at a sufficiently high temperature (eg, on the order of 120 degrees F.) to minimize or prevent deposition of polymer or fluorocarbon compounds on the inner surface of the liner 4910.

[173]ライナー4910は、良好なグラウンド帰還路を提供するため、プロセス安定性を強化する。これは、電位が炭化シリコン片4950の内部表面(上部水平部分4920、垂直部分4930および下部水平部分4940の内部に面する表面を含む)に沿って均一であることによる。結果として、ライナー4910は均一なRF帰還路にその内部に面する表面でオーバーヘッド電極125またはウエハー台105のいずれかから送り出されるパワーを提供する。1つの利点は、プラズマ変動がRF帰還電流分布をライナー4910の内部表面の異なる部位に集中させることであり、その電流に与えられるインピーダンスはほとんど一定であり続ける。この特徴がプロセス安定性を促進する。   [173] Liner 4910 enhances process stability to provide a good ground return path. This is because the potential is uniform along the inner surface of the silicon carbide piece 4950 (including the surfaces facing the interior of the upper horizontal portion 4920, the vertical portion 4930, and the lower horizontal portion 4940). As a result, the liner 4910 provides power delivered from either the overhead electrode 125 or the wafer pedestal 105 on its interior facing surface to a uniform RF return path. One advantage is that plasma fluctuations concentrate the RF feedback current distribution at different sites on the inner surface of the liner 4910, and the impedance imparted to that current remains almost constant. This feature promotes process stability.

[174]図51は、オーバーヘッドコイル60、65がMERIE磁石92、94、96、98の四角形パターンと対称な四角形パターンを定義し、フォトリソグラフィマスク等の四角形半導体または誘電体加工物4910の均一処理に特に適した図7の場合の変形を図示する。   [174] FIG. 51 illustrates the uniform processing of a rectangular semiconductor or dielectric workpiece 4910, such as a photolithography mask, where the overhead coils 60, 65 define a rectangular pattern symmetrical to the square pattern of the MERIE magnets 92, 94, 96, 98. FIG. 7 illustrates a variant in the case of FIG.

[175]図52は、図24の反応器のウエハー支持用ペデスタル105が上下に移動できるバージョンを図示する。プラズマイオンの径方向分布を制御する2個のオーバーヘッドコイル60、65に加えて、ウエハー支持用ペデスタル105の平面の下方に底部コイル5210がある。加えて、チャンバの周辺に外側コイル5220がある。外側オーバーヘッドコイル65および底部コイル5210は逆方向のDC電流を有して完全なカスプ型磁場をチャンバ内に形成可能である。   [175] FIG. 52 illustrates a version in which the wafer support pedestal 105 of the reactor of FIG. 24 can move up and down. In addition to the two overhead coils 60, 65 that control the radial distribution of plasma ions, there is a bottom coil 5210 below the plane of the wafer support pedestal 105. In addition, there is an outer coil 5220 around the chamber. The outer overhead coil 65 and the bottom coil 5210 can have a reverse DC current to form a complete cusp-type magnetic field in the chamber.

[176]オーバーヘッドコイル60、65が、オーバーヘッドソースパワー電極およびガス分配プレートの両方として働くオーバーヘッドシーリングを有する反応器とのセットみ合わせで説明されてきたが、このシーリングはガス分配プレートでなく、プロセスガスが別の従来の方法で(例えば側壁を通って)導入されるタイプのものであってもよい。更に、シーリング電極によりソースパワーが容量結合されていないコイル60、65を反応器内に使用することができる。また、オーバーヘッド電極用のインピーダンス整合素子が同軸チューニングスタブ等の固定素子として説明されてきた。しかしながら、インピーダンス整合素子を、従来の動的インピーダンス整合回路等の任意の適したまたは従来のインピーダンス整合デバイスとしてもよい。   [176] Although the overhead coils 60, 65 have been described in combination with a reactor having an overhead ceiling that serves as both an overhead source power electrode and a gas distribution plate, this ceiling is not a gas distribution plate, but a process It may be of the type in which the gas is introduced in another conventional manner (eg through the side walls). Furthermore, coils 60 and 65 whose source power is not capacitively coupled by the sealing electrode can be used in the reactor. Further, an impedance matching element for an overhead electrode has been described as a fixed element such as a coaxial tuning stub. However, the impedance matching element may be any suitable or conventional impedance matching device, such as a conventional dynamic impedance matching circuit.

3磁石3モードプラズマ分布制御:
[177]プラズマ強化反応性イオンエッチング等のプラズマプロセスでは、磁場が半導体ウエハーにわたるエッチング速度の径方向分布の均一性を改善するために用いられる。ほとんどの場合、プラズマイオン濃度はウエハー中央で高く、ウエハー上の他の場所では低いので、エッチング速度はウエハー中央で高く、ウエハー周辺で低くなる傾向がある。磁場は、プラズマイオン濃度の径方向分布を変化させるために内側および外側コイル60、65により生成可能である。典型的には、所望の効果が中央でプラズマイオン濃度を減少させ、ウエハー周辺部で増加させることである。内側および外側電磁石(図1B)をこのようなプラズマイオン濃度分布の均一性の改善を成し遂げるために用いることが可能である。それらが共に生成する磁場を、径方向成分B(その磁束線は平面的なウエハー表面と平行である)および軸方向成分B(その磁束線は平面的なウエハー表面に直交する)等の2つの成分に分解することによって分析可能である。磁場の径方向成分Bは、プラズマイオン濃度の径方向分布を変化または補正するのに(例えば、エッチングプロセスにおけるエッチング速度またはCVDプロセスにおける堆積の均一な径方向分布を達成するために)最も効果的である。しかしながら、内側および外側電磁石60、65のみを用いて径方向成分Bを変化させると、径方向成分Bの変化によって決定されるように必然的に磁場の軸方向成分Bを変化させる。例えば、Bの増加は典型的にBの回避不能な増加を引き起こす。このようなBの増加は求められなかったし、望ましくない可能性がある。本発明者らは、軸方向成分Bの制御がウエハー上のデバイス損傷(例えば、電荷蓄積、高い電場、高い誘導電流または電圧による)の減少と密接に関連していることを発見した。本発明者らは、多くの場合に、同時に軸方向成分Bを最小化しつつ、径方向成分Bを所望される程度にまで最適化する(例えば、増加する)ことを好む。
Three-magnet three-mode plasma distribution control:
[177] In plasma processes such as plasma enhanced reactive ion etching, a magnetic field is used to improve the uniformity of the radial distribution of etch rates across the semiconductor wafer. In most cases, the plasma ion concentration is high at the wafer center and low elsewhere on the wafer, so the etch rate tends to be high at the wafer center and low around the wafer. A magnetic field can be generated by the inner and outer coils 60, 65 to change the radial distribution of plasma ion concentration. Typically, the desired effect is to reduce the plasma ion concentration at the center and increase it at the wafer periphery. Inner and outer electromagnets (FIG. 1B) can be used to achieve such improved plasma ion concentration distribution uniformity. The magnetic field they generate together, such as radial component B r (its flux lines are parallel to the planar wafer surface) and axial component B z (its flux lines are orthogonal to the planar wafer surface), etc. It can be analyzed by breaking it down into two components. The radial component B r of the magnetic field is most effective in changing or correcting the radial distribution of plasma ion concentration (eg, to achieve a uniform radial distribution of deposition in the etch process or CVD process). Is. However, changing the radial component B r using only the inner and outer electromagnets 60, 65, necessarily changes the axial component B z of the magnetic field as determined by the change in the radial component B r. For example, an increase in B r will typically cause avoidable impossible increase in B z. This increase in such B z is to not sought, it is undesirable. The inventors have discovered that control of the axial component B z is closely associated with a reduction in device damage (eg, due to charge accumulation, high electric field, high induced current or voltage) on the wafer. The present inventors have found that in many cases, while simultaneously minimizing the axial component B z, to optimize to the extent that the desired radial component B r (e.g., increasing) the like.

[178]図53Aを参照すると、図1Bの内側および外側電磁石60、65がウエハーの平面の真下の底部電磁石401により増補されている。コントローラ90により管理されるDC電流供給源403が底部電磁石401にDC電流を与え、コントローラ90により管理される電流供給源70、75が内側および外側電磁石60、65に電流を与える。図53Aの各電磁石60、65、401は単一の導体巻き線からなるが、図53Bに示されたように、代わりに垂直方向に配列された複数の巻き線からなってもよい。図54は、3個の電磁石60、65、401が図24の反応器でどのように置かれることができるかを図示している。この明細書で前に説明したように、図24の反応器は、固定インピーダンス整合素子を通して電極とプラズマが共振する周波数でVHFプラズマソースパワーにより駆動されるオーバーヘッドVHF電極を有する。   [178] Referring to FIG. 53A, the inner and outer electromagnets 60, 65 of FIG. 1B are augmented by a bottom electromagnet 401 just below the plane of the wafer. A DC current source 403 managed by the controller 90 provides a DC current to the bottom electromagnet 401, and current sources 70, 75 managed by the controller 90 provide a current to the inner and outer electromagnets 60, 65. Each electromagnet 60, 65, 401 in FIG. 53A consists of a single conductor winding, but may instead consist of a plurality of windings arranged in the vertical direction as shown in FIG. 53B. FIG. 54 illustrates how three electromagnets 60, 65, 401 can be placed in the reactor of FIG. As previously described in this specification, the reactor of FIG. 24 has an overhead VHF electrode that is driven by VHF plasma source power at a frequency at which the electrode and plasma resonate through a fixed impedance matching element.

[179]磁石60、65、401は、3タイプの磁場のいずれか1つ(または組み合わせ)を生成するために用いることができる。(1)ウエハー20の表面でBおよびBの両方が強いソレノイド磁場(図55A)。3個の電磁石60、65、401のうち1つだけに電流を印加することによって、このようなソレノイド磁場を発生することができる。図56Aは、ソレノイド磁場を発生するために電流が外側電磁石65に印加された実施例を図示している。(2)ウエハーの表面でBだけが強くBが弱いかまたはゼロとなるようなカスプ磁場(図55B)。底部電磁石401と内側および外側電磁石60、65のいずれか一方から等しく逆の磁場を生成することによって、このようなカスプ磁場を発生することができる。図56Bは、カスプ磁場を発生するために逆方向の電流が底部電磁石および外側電磁石401、65に印加された実施例を図示している。この例では、各磁石65、401のコイルの巻き線が同じ(時計回りまたは反時計回り方向)に巻かれていると仮定される。しかしながら、好ましい実施形態では、それらが逆方向に巻かれ、その場合は印加電流の極性が図56に図示したものから適切に修正されることになる。(3)底部電磁石401と内側および外側電磁石60、65のいずれか一方から等しく同方向の磁場を生成することによって発生することができるミラー磁場(図55C)。図56Cは、ミラー磁場を生成するために等しい電流が底部電磁石および外側電磁石401、65に印加された実施例を図示している。 [179] The magnets 60, 65, 401 can be used to generate any one (or combination) of three types of magnetic fields. (1) on the surface of the wafer 20 B r and B z of both a strong solenoid magnetic field (FIG. 55A). Such a solenoid magnetic field can be generated by applying a current to only one of the three electromagnets 60, 65, 401. FIG. 56A illustrates an embodiment in which a current is applied to the outer electromagnet 65 to generate a solenoid magnetic field. (2) A cusp magnetic field in which only Br is strong and Bz is weak or zero on the wafer surface (FIG. 55B). Such a cusp magnetic field can be generated by generating an equal and opposite magnetic field from the bottom electromagnet 401 and one of the inner and outer electromagnets 60,65. FIG. 56B illustrates an embodiment in which a reverse current is applied to the bottom and outer electromagnets 401, 65 to generate a cusp magnetic field. In this example, it is assumed that the coils of the magnets 65 and 401 are wound in the same direction (clockwise or counterclockwise). However, in the preferred embodiment, they are wound in the opposite direction, in which case the polarity of the applied current will be appropriately modified from that shown in FIG. (3) A mirror magnetic field that can be generated by generating a magnetic field in the same direction from the bottom electromagnet 401 and one of the inner and outer electromagnets 60 and 65 (FIG. 55C). FIG. 56C illustrates an embodiment in which equal currents are applied to the bottom and outer electromagnets 401, 65 to generate a mirror magnetic field.

[180]図57A、図57Bおよび図58A、図58Bは、図53Aの反応器におけるウエハー20の平面で測定された、カスプおよびミラー磁場の径方向および軸方向磁場成分B(r)およびB(r)をソレノイド磁場の径方向および軸方向磁場成分B(r)およびB(r)と比較している。図57Aがソレノイドおよびカスプ磁場の径方向磁場成分B(r)を比較し、図57Bがソレノイドおよびカスプ磁場の軸方向磁場成分B(r)を比較している。図58Aがソレノイドおよびミラー磁場の径方向磁場成分B(r)を比較し、図58Bがソレノイドおよびミラー磁場の軸方向磁場成分B(r)を比較している。ソレノイドおよびカスプ磁場の径方向成分は所望であればほぼ同じにすることが可能である(図57A)一方で、カスプ磁場の軸方向成分はほぼゼロ(だがきっかりゼロではない)か、あるいはソレノイド磁場の軸方向成分よりずっと小さくなる(図57B)。ミラー磁場の径方向成分は所望であればほぼゼロにすることが可能である(図58A)か、あるいはソレノイド磁場のものよりずっと小さくなる。ミラー磁場の軸方向成分はソレノイド磁場のものとほぼ同じにすることが可能である(図58B)。 [180] FIGS. 57A, 57B and 58A, 58B show the radial and axial magnetic field components B r (r) and B of the cusp and mirror magnetic fields measured in the plane of the wafer 20 in the reactor of FIG. 53A. z a (r) is compared with the radial and axial magnetic field components of the solenoid magnetic field B r (r) and B z (r). FIG. 57A compares the radial magnetic field component B r (r) of the solenoid and the cusp magnetic field, and FIG. 57B compares the axial magnetic field component B z (r) of the solenoid and the cusp magnetic field. 58A compares the radial magnetic field component B r (r) of the solenoid and mirror magnetic fields, and FIG. 58B compares the axial magnetic field component B z (r) of the solenoid and mirror magnetic fields. The radial components of the solenoid and cusp field can be approximately the same if desired (FIG. 57A), while the axial component of the cusp field is approximately zero (but not exactly zero) or the solenoid field. Much smaller than the axial component of (Fig. 57B). The radial component of the mirror field can be nearly zero if desired (FIG. 58A) or much smaller than that of the solenoid field. The axial component of the mirror field can be approximately the same as that of the solenoid field (FIG. 58B).

[181]図57Aおよび図58Aから、径方向磁場がウエハー中央からウエハー周辺で最大強度に増加するので、径方向磁場は中央高プラズマイオン分布を補正するために理想的になりそうだと分かる。これは、プラズマイオン飽和電流(縦軸)により示されたプラズマイオン濃度がウエハー表面上の半径(横軸)の関数としてプロットされた図59のグラフで描かれたデータで確認される。「ゼロ電流」とラベル表示された曲線はゼロ磁場に対応し、中央高プラズマイオン分布についての最小最適配置を示す。中央高プラズマイオン分布についての最良の補正は、外部電磁石65における5アンペアまたは10アンペアのいずれかによる2つのソレノイド磁場である(それぞれ「5Aソレノイド」および「10Aソレノイド」とラベル表示)。図59のグラフによると、ウエハーの中央からエッジまで最も増加させるのはソレノイド磁場である。   [181] From FIGS. 57A and 58A, it can be seen that the radial magnetic field is likely to be ideal for correcting the central high plasma ion distribution as the radial magnetic field increases from the wafer center to the maximum intensity around the wafer. This is confirmed by the data depicted in the graph of FIG. 59 where the plasma ion concentration indicated by the plasma ion saturation current (vertical axis) is plotted as a function of the radius on the wafer surface (horizontal axis). The curve labeled “Zero Current” corresponds to zero magnetic field and indicates the minimum optimal placement for the central high plasma ion distribution. The best correction for the central high plasma ion distribution is two solenoid fields with either 5 amperes or 10 amperes in the external electromagnet 65 (labeled “5A solenoid” and “10A solenoid” respectively). According to the graph of FIG. 59, it is the solenoid magnetic field that increases most from the center of the wafer to the edge.

[182]図60は、エッチング速度を200mmシリコンウエハーのウエハー表面上の径方向位置の関数として異なる磁場について測定することによって得られたデータのグラフである。ミラー磁場がエッチング速度分布の最良の均一性または最低の偏差を発生する(約1.7%の偏差比、ここで偏差比はウエハーにわたる平均エッチング速度により割った標準偏差として定義される)。次に最良の均一性は約2%の偏差比を発生したソレノイド磁場で得られた。カスプ磁場(「100%カスプ」とラベル表示)は約7.9%の偏差比で3番目に最良なだけに過ぎない。しかしながら、ウエハー上のデバイス損傷(電荷蓄積、放電あるいは局所的な高電流または電圧状態による)の測定は逆の結果をもたらした。最も均一な場合(ミラー磁場)は最もデバイス損傷が大きく、2番目に均一な場合(ソレノイド磁場)は2番目のデバイス損傷を有するのに対して、カスプ磁場はほとんど損傷がなかった。これらの結果は図61を参照して後述する。   [182] FIG. 60 is a graph of data obtained by measuring the etch rate for different magnetic fields as a function of radial position on the wafer surface of a 200 mm silicon wafer. The mirror field produces the best uniformity or lowest deviation of the etch rate distribution (deviation ratio of about 1.7%, where the deviation ratio is defined as the standard deviation divided by the average etch rate across the wafer). The best uniformity was then obtained with a solenoid field that produced a deviation ratio of about 2%. The cusp field (labeled “100% cusp”) is only the third best with a deviation ratio of about 7.9%. However, measurement of device damage on the wafer (due to charge accumulation, discharge or local high current or voltage conditions) yielded the opposite result. The most uniform case (mirror magnetic field) caused the most device damage, while the second most uniform case (solenoid magnetic field) had the second device damage, whereas the cusp magnetic field had little damage. These results will be described later with reference to FIG.

[183]上記結果は、磁場の軸方向成分B(r)の径方向成分B(r)に対する制御がウエハー上のデバイス損傷の改善に密接に関連しているという本発明者らの所見を裏付けている。カスプ磁場はデバイス損傷をほとんどまたは全く生成させなかった。しかしながら、本発明者らは図57Aおよび58Aに示した半径とともに増加する径方向成分B(r)の挙動が軸方向成分B(r)よりも均一性に到達するためのより良い見通しを与えたと感じた。したがって、以下の方法が実行された:ウエハーエッジの径方向成分B(r)がこのような良好な結果(すなわちウエハーエッジで22ガウス)をもたらした図60のソレノイド磁場と同じであるように、カスプ磁場が調整された。次に、ソレノイド磁場で得られる理想的な結果に近い均一性結果が得られるまで、カスプ磁場の大きさが増加された(Bを最小化またはゼロ化しながらB(r)を増加する)。本発明者らは、これがカスプ磁場の大きさをウエハーエッジのBが22ガウスから32ガウス(または約160%)に増加するまでの増加を要することを見つけた。これが図60のグラフの「カスプ160%」とレベル表示されたエッチング速度分布曲線である。その点で、エッチング速度の偏差比は2.4%まで減少した。カスプ磁場はその強度が劇的に増加したにもかかわらず、依然としてデバイス損傷をほとんどまたは全く発生させなかった。 [183] The above results indicate that our findings that control over the radial component B r (r) of the axial component B z (r) of the magnetic field is closely related to improving device damage on the wafer. Is backed up. The cusp field produced little or no device damage. However, the inventors have a better prospect for the behavior of the radial component B r (r) increasing with the radius shown in FIGS. 57A and 58A to reach more uniformity than the axial component B z (r). I felt I gave it. Thus, the following method was implemented: As the wafer edge radial component B r (r) is the same as the solenoid field of FIG. 60 that yielded such good results (ie, 22 Gauss at the wafer edge). The cusp magnetic field was adjusted. Next, the magnitude of the cusp field was increased (increasing B r (r) while minimizing or zeroing B z ) until a uniformity result close to the ideal result obtained with a solenoid field was obtained. . The present inventors have this finds it takes increased until the magnitude of the cusp field B r of the wafer edge increased from 22 Gauss to 32 Gauss (or about 160%). This is the etching rate distribution curve indicated as “cusp 160%” in the graph of FIG. At that point, the etching rate deviation ratio was reduced to 2.4%. Despite its dramatic increase in strength, the cusp field still caused little or no device damage.

[184]上記は図61の表にまとめられている。左欄は磁場タイプを提示し、ウエハー中央のBを、その磁場のウエハーエッジでのBをガウスで記載している。真ん中の欄は対応するエッチング速度の偏差比(非均一性)を、右欄はデバイス損傷の評価(「良」または「悪」)を提供し、誘導デバイス電流(ミリアンペアで)と電圧(ボルトで)記載している。図61の表は、ソレノイドおよびミラー磁場で得られた良好な均一性および悪いデバイス損傷結果、ならびにソレノイド磁場のものと整合するウエハーエッジでのBを有するカスプ磁場で得られた低い均一性および良好なデバイス損傷結果を示している。表の最終列は、カスプ磁場(他の磁場が実質的にない状態で)がその以前のレベルである160%まで増加された際に得られた良好な均一性および良好なデバイス損傷結果を示している。 [184] The above is summarized in the table of FIG. The left column presents a magnetic field type, a wafer central B z, describes a B r at the wafer edge of the magnetic field in gauss. The middle column provides the corresponding etch rate deviation ratio (non-uniformity), the right column provides an assessment of device damage (“good” or “bad”), inductive device current (in milliamps) and voltage (in volts). ) Table of FIG. 61, good uniformity and poor device damage results obtained with the solenoid and mirror fields, and low uniformity obtained with the cusp field having a B r at the wafer edge consistent with that of the solenoid magnetic field and Shows good device damage results. The last column of the table shows the good uniformity and good device damage results obtained when the cusp field (substantially free of other fields) was increased to its previous level of 160%. ing.

[185]上記のアプローチが図62に示した方法で容易にされる。第1のステップ(図62のブロック415)は、エッチング速度の径方向分布不均一性が最小とされるソレノイド磁場強度を求める。これはウエハーエッジで22ガウスの径方向成分強度を有する図60のソレノイド磁場に対応する。厳密値は特定のプロセスに応じて変動する可能性がる。選択された半径(例えばウエハーエッジ)での径方向成分値が記録される(ブロック417)。次に、他の磁場がないか無視できるとして、ブロック417のステップで記録されたのと同じ径方向成分磁場強度を選択された半径で有するカスプ磁場が確立される(ブロック419)。最後に、カスプ磁場強度がエッチング速度の径方向分布不均一性が最小とされるまで増加される(ブロック421)。このステップは、カスプ径方向成分を22ガウスから32ガウスまで増加させるのに相当する。ただし、これらの値は行われるプロセスに応じて変動する可能性がある。   [185] The above approach is facilitated by the method shown in FIG. The first step (block 415 in FIG. 62) determines the solenoid field strength that minimizes the radial distribution non-uniformity of the etch rate. This corresponds to the solenoid field of FIG. 60 having a radial component intensity of 22 Gauss at the wafer edge. Exact values can vary depending on the particular process. The radial component value at the selected radius (eg, wafer edge) is recorded (block 417). Next, a cusp field is established having the same radial component field strength at the selected radius as recorded in the step of block 417, assuming there are no other magnetic fields (block 419). Finally, the cusp field strength is increased until the etch rate radial distribution non-uniformity is minimized (block 421). This step corresponds to increasing the cusp radial component from 22 gauss to 32 gauss. However, these values can vary depending on the process being performed.

[186]図62の方法におけるカスプ磁場は、外側電磁石65と底面電磁石401を用いて確立される。一旦、所望の径方向成分B(r)が確立されると、図62の方法に従って、比較的小さい電流を内側電磁石60に印加することによってさらなるトリミングまたは補正を施すことができる。内側電磁石の電流は、更に均一性を強化したり、軸方向成分B(r)を制御または減少してデバイス損傷結果を改善したりする(すなわちデバイス損傷を減らす)ために、選択することができる。このアプローチは、図63の方法で実施され、第1のステップ(ブロック423)が例えば図62の方法を用いて所望の径方向成分強度B(r)を確立する。次に、比較的小さい電流を内側電磁石60に与えることによって、条件が最適化(更に均一性を改善するかBを除去するかのいずれか)される(図63のブロック425)。 The cusp field in the method of FIG. 62 is established using the outer electromagnet 65 and the bottom electromagnet 401. Once the desired radial component B r (r) is established, further trimming or correction can be performed by applying a relatively small current to the inner electromagnet 60 according to the method of FIG. The inner electromagnet current may be selected to further enhance uniformity or to control or reduce the axial component B z (r) to improve device damage results (ie, reduce device damage). it can. This approach is implemented in the method of FIG. 63 and the first step (block 423) establishes the desired radial component strength B r (r) using, for example, the method of FIG. Next, the condition is optimized (either further improving uniformity or removing B z ) by applying a relatively small current to the inner electromagnet 60 (block 425 in FIG. 63).

[187]図64に図示されたこのプロセスの変形において、所望の磁場(例えば理想的なB(r))が内側および外側磁石60、65で確立され、底部磁石は不活性とされる(図64のブロック431)。次に、内側電磁石401を通る電流を所望の結果が得られるまで増加することによって、磁場が図64のブロック433で(例えば所望に応じてBを増加するために)トリミングされる。いくつかの実施形態では、非常に小さい軸方向磁場Bを内側電磁石60から実際に印加することによって、デバイス損傷の許容不可能な増加を引き起こすことなく、改善されたプラズマイオン濃度分布の均一性が得られる。 [187] In a variation of this process illustrated in FIG. 64, the desired magnetic field (eg, ideal B r (r)) is established with the inner and outer magnets 60, 65, and the bottom magnet is deactivated ( 64, block 431). Next, the magnetic field is trimmed at block 433 of FIG. 64 (eg, to increase Br if desired) by increasing the current through the inner electromagnet 401 until the desired result is obtained. In some embodiments, improved plasma ion concentration distribution uniformity without actually causing an unacceptable increase in device damage by actually applying a very small axial magnetic field B z from the inner electromagnet 60. Is obtained.

[188]図64のプロセスを実行する際に、最小のエッチング速度分布の不均一性のための内側および外側磁石60、65に対するDC電流値の所望のセットを求めることができる。これは、他がゼロ電流を有するときに、内側および外側磁石60、65の一方の電流のそれぞれの値について得られたエッチング速度の径方向分布を測定することにより遂行される。例えば、図65は、選択された範囲(0アンペア〜25アンペア)内で内側磁石60に与えられた異なる値のDC電流について、エッチング速度の径方向分布データを表す曲線を含むグラフである。図66は、選択された範囲(0アンペア〜25アンペア)内で外側磁石65に与えられた異なる値のDC電流について、エッチング速度の径方向分布データを表す曲線を含むグラフである。内側および外側磁石の電流値I、Iの所与の対について、あり得る対の多くまたは全てが並列されるまで、図65および66からのエッチング速度分布曲線の異なる対を重ね合わせて、結果的なエッチング速度分布と重ね合わせで得られた対応するエッチング速度の径方向分布E(r)Ii,をシミュレートすることができる。次に、対応する不均一性(例えば上記定義された偏差比D)を計算するために各エッチング速度分布が処理される。これは図67に示された単一表面として表される偏差D(I,I)のセットを発生する。この表面または関数は、偏差比D(図67の縦軸)を最小にするI、Iの値または値のセットを求めるために、従来の技法を用いて検査することができる。これらは内側および外側磁石の電流についてコントローラ90により選択された値である。 [188] In performing the process of FIG. 64, a desired set of DC current values for the inner and outer magnets 60, 65 for minimum etch rate distribution non-uniformity can be determined. This is accomplished by measuring the radial distribution of etch rates obtained for each value of the current of one of the inner and outer magnets 60, 65 when the other has a zero current. For example, FIG. 65 is a graph including a curve representing etching rate radial distribution data for different values of DC current applied to the inner magnet 60 within a selected range (0 amps to 25 amps). FIG. 66 is a graph including a curve representing the radial distribution data of the etching rate for different values of DC current applied to the outer magnet 65 within a selected range (0 amperes to 25 amperes). Overlaying different pairs of etch rate distribution curves from FIGS. 65 and 66 for a given pair of inner and outer magnet current values I i , I o until many or all of the possible pairs are juxtaposed, The resulting etch rate distribution and the corresponding etch rate radial distribution E (r) I i, I o obtained by superposition can be simulated. Each etch rate distribution is then processed to calculate a corresponding non-uniformity (eg, the deviation ratio D defined above). This produces a set of deviations D (I i , I o ) represented as a single surface shown in FIG. This surface or function can be examined using conventional techniques to determine a value or set of I i , I o that minimizes the deviation ratio D (vertical axis in FIG. 67). These are the values selected by the controller 90 for the inner and outer magnet currents.

[189]上記アプローチは図68に示した方法で実施される。まず、底部磁石電流がゼロに設定される(ブロック435)。エッチング速度の径方向分布が、分布E(r)Iのセットを得るために異なる内側磁石電流について測定され(ブロック437)、分布E(r)Iのセットを得るために異なる外側磁石電流について測定される(ブロック439)。異なるエッチング速度分布E(r)Ii,を形成するために対応する2つの分布の対が重ね合わされ(ブロック441)、これから対応する偏差D(I,I)が計算される(ブロック443)。偏差のセットD(I,I)が表面によって表され(図67)、最小の偏差比Dをもたらす値のセット(I,I)が探索される(ブロック445)。 [189] The above approach is implemented in the manner shown in FIG. First, the bottom magnet current is set to zero (block 435). The radial distribution of etch rates is measured for different inner magnet currents to obtain a set of distributions E (r) I i (block 437), and different outer magnet currents to obtain a set of distributions E (r) Io. Are measured (block 439). Two corresponding distribution pairs are superimposed to form different etch rate distributions E (r) I i, I o (block 441), from which the corresponding deviation D (I i , I o ) is calculated ( Block 443). A set of deviations D (I i , I o ) is represented by the surface (FIG. 67) and a set of values (I i , I o ) that results in the smallest deviation ratio D is searched (block 445).

[190]図67の三次元表面D(I,I)の検査は、D(縦軸)が最小になる一連のまたは一覧の連続した最適な対(I,I)に対応する細長い谷(破線で強調されている)を露呈する。この谷は従来の探索で求めることができる。第3の磁石(すなわち底部磁石401)の使用を最適化するために、最適な対(I,I)のそれぞれを所定の範囲にある底部磁石電流Iの連続した値と組み合わせることができ、3つの電流(I,I,I)のそれぞれの組み合わせが反応器に印加されてエッチング速度偏差が測定される。この最後の工程は図68のブロック447である。偏差値D(I,I,I)のセットを生成するために、この結果を補間することができる(ブロック449)。これらの値のセットを四次元表面により表すことができ、従来の技法を用いてDを最小にする値(I,I,I)のセットが探索される(ブロック451)。この最小化は、磁石を2個だけ用いたブロック445のステップで得られた最小化に改善を提供することが可能である。最終的な(I,I,I)の最適値は、最適なプロセス均一性のための製品ウエハー処理中にそれぞれの電磁石60、65、401に印加される。 [190] The inspection of the three-dimensional surface D (I i , I o ) of FIG. 67 corresponds to a series or list of consecutive optimal pairs (I i , I o ) where D (vertical axis) is minimized. Exposes a narrow valley (highlighted with a dashed line). This valley can be obtained by a conventional search. To optimize the use of the third magnet (ie bottom magnet 401), combining each of the optimal pairs (I i , I o ) with successive values of the bottom magnet current I b in a predetermined range. Each combination of three currents (I i , I o , I b ) is applied to the reactor and the etch rate deviation is measured. This last step is block 447 in FIG. This result can be interpolated to generate a set of deviation values D (I i , I o , I b ) (block 449). These sets of values can be represented by a four-dimensional surface, and a set of values (I i , I o , I b ) that minimizes D is searched using conventional techniques (block 451). This minimization can provide an improvement to the minimization obtained in the block 445 step using only two magnets. The final (I i , I o , I b ) optimum values are applied to the respective electromagnets 60, 65, 401 during product wafer processing for optimum process uniformity.

[191]図68のプロセスを以下のように要約することができる。最初に、3個の磁石のうちの一対、例えば内側および外側磁石60、65だけの特性を明らかにする。次に、この磁石対は単一体として扱われ、第3の磁石、例えば底部磁石401で特性を明らかにすることによって、3個の磁石の同時使用が最適化される。しかしながら、3個の磁石の特性を明らかにするには取り得る順番が3つある。1つは図68に与えた例である。2番目は、最初に特性付けされる磁石対が外側磁石65と底部磁石401であり、第3の磁石が内側磁石60である。3番目は、最初に特性付けされる磁石対が内側磁石60と底部磁石401であり、第3の磁石が外側磁石65である。   [191] The process of FIG. 68 can be summarized as follows. First, the characteristics of only one pair of the three magnets, for example the inner and outer magnets 60, 65, will be elucidated. This magnet pair is then treated as a single body and the simultaneous use of three magnets is optimized by characterizing with a third magnet, eg, bottom magnet 401. However, there are three possible orders to characterize the three magnets. One is the example given in FIG. Second, the first magnet pair to be characterized is the outer magnet 65 and the bottom magnet 401, and the third magnet is the inner magnet 60. Third, the first magnet pair to be characterized is the inner magnet 60 and the bottom magnet 401, and the third magnet is the outer magnet 65.

[192]図69はこのプロセスの第2のバージョンを図示しており、最初の磁石対が外側磁石65と底部磁石401であり、第3の磁石が内側磁石60である。図69の第1のステップでは、内側磁石電流がゼロに設定される(ブロック435−1)。エッチング速度の径方向分布が、分布E(r)Iのセットを得るために異なる底部磁石電流について測定され(ブロック437−1)、分布E(r)Iのセットを得るために異なる外側磁石電流について測定される(ブロック439−1)。異なるエッチング速度分布E(r)Ib,を形成するために対応する2つの分布の対が重ね合わされ(ブロック441−1)、これから対応する偏差D(I,I)が計算される(ブロック443−1)。偏差のセットD(I,I)が表面によって表され(図67のものと同じ)、最小の偏差または偏差比Dをもたらす値のセット(I,I)が探索される(ブロック445−1)。 [192] FIG. 69 illustrates a second version of this process, with the first magnet pair being an outer magnet 65 and a bottom magnet 401 and the third magnet being an inner magnet 60. In the first step of FIG. 69, the inner magnet current is set to zero (block 435-1). A radial distribution of etch rates is measured for different bottom magnet currents to obtain a set of distributions E (r) I b (block 437-1) and a different outer to obtain a set of distributions E (r) Io. The magnet current is measured (block 439-1). Two corresponding distribution pairs are superimposed to form different etch rate distributions E (r) I b, I o (block 441-1), from which the corresponding deviation D (I b , I o ) is calculated. (Block 443-1). A set of deviations D (I b , I o ) is represented by the surface (same as in FIG. 67) and the set of values (I i , I o ) that results in the smallest deviation or deviation ratio D is searched (block 445-1).

[193]第3の磁石(すなわち底部磁石401)の使用を最適化するために、最適な対(I,I)のそれぞれを所定の範囲にある底部磁石電流Iの連続した値と組み合わせることができ、3つの電流(I,I,I)のそれぞれの組み合わせが反応器に印加されてエッチング速度偏差が測定される。この最後の工程は図69のブロック447−1である。偏差値D(I,I,I)のセットを生成するために、この結果を補間することができる(ブロック449−1)。これらの値のセットを行列(または四次元表面)により表すことができ、偏差または偏差比Dを最小にする従来の技法を用いて値D(I,I,I)のセットが探索される(ブロック451−1)。3個の磁石60、65、401に印加されるDC電流は、この最終的な値のセットに従って確立される。 [193] In order to optimize the use of the third magnet (ie, bottom magnet 401), each of the optimal pairs (I i , I o ) and a continuous value of bottom magnet current I b within a predetermined range Each combination of the three currents (I i , I o , I b ) is applied to the reactor and the etch rate deviation is measured. This last step is block 447-1 in FIG. This result can be interpolated to generate a set of deviation values D (I i , I o , I b ) (block 449-1). These sets of values can be represented by a matrix (or a four-dimensional surface), and a set of values D (I i , I o , I b ) is searched using conventional techniques that minimize the deviation or deviation ratio D (Block 451-1). The DC current applied to the three magnets 60, 65, 401 is established according to this final set of values.

[194]図70は、3個の電磁石60、65、401を用いて均一なプラズマまたはエッチング速度分布を達成するための別の方法を図示するフローチャートである。まず、電流を電磁石60、65、401に印加せずに、公称(未補正)エッチング速度分布ER(r)が測定される(ブロック461)。次に、内側コイル電流Iにより生じるエッチング速度径方向分布の変化、すなわちΔER(r,I)が多くの異なるIの値について測定される(ブロック463)。外側コイル電流Iにより生じるエッチング速度径方向分布の変化、すなわちΔER(r,I)が多くの異なるIの値について測定される(ブロック465)。底部コイル電流Iにより生じるエッチング速度径方向分布の変化、すなわちΔER(r,I)が多くの異なるIの値について測定される(ブロック467)。次に、異なるI、IおよびIの値のそれぞれの組み合わせについて、エッチング速度分布が計算される(ブロック469)。 [194] FIG. 70 is a flowchart illustrating another method for achieving a uniform plasma or etch rate distribution using three electromagnets 60, 65, 401. First, the nominal (uncorrected) etch rate distribution ER (r) is measured without applying current to the electromagnets 60, 65, 401 (block 461). Next, the change in etch rate radial distribution caused by the inner coil current I i , ie, ΔER (r, I i ), is measured for many different values of I i (block 463). The change in etch rate radial distribution caused by the outer coil current I o , ie, ΔER (r, I o ), is measured for many different values of I o (block 465). The change in etch rate radial distribution caused by the bottom coil current I b , ie, ΔER (r, I b ), is measured for many different values of I b (block 467). Next, an etch rate distribution is calculated for each combination of different I i , I o, and I b values (block 469).


ER(r,I,I,I)=ER(r)+ΔER(r,I)+ΔER(r,I)+ΔER(r,I

これらの分布のそれぞれの不均一性あるいは偏差または偏差比D(I,I,I)が計算される(ブロック471)。滑らかな関数を提供するために行列D(I,I,I)を補間することができ、Dを最小にする値(I,I,I)のセットが探索される(ブロック473)。DC電流(I,I,I)の最適なセットがこのようにして求められ、3個の磁石60、65、401に印加される(ブロック475)。

ER (r, I i , I o , I b ) = ER (r) + ΔER (r, I i ) + ΔER (r, I o ) + ΔER (r, I b )

A non-uniformity or deviation or deviation ratio D (I i , I o , I b ) for each of these distributions is calculated (block 471). The matrix D (I i , I o , I b ) can be interpolated to provide a smooth function, and a set of values (I i , I o , I b ) that minimize D is searched ( Block 473). An optimal set of DC currents (I i , I o , I b ) is thus determined and applied to the three magnets 60, 65, 401 (block 475).

[195]図71A〜図71Eは、エッチング速度分布ER(r,I,I,I)の1つの計算の手引き例をグラフで図示している。公称エッチング速度分布ER(r)は図71Aのグラフに描かれている。5アンペアのDC電流を内側電磁石60に印加したことにより生じる公称分布からの変化ΔER(r,I)が図71Bに描かれている。1アンペアのDC電流を外側電磁石65に印加したことにより生じる公称分布からの変化ΔER(r,I)が図71Cに描かれている。2アンペアのDC電流を底部磁石に印加したことにより生じる公称分布からの変化ΔER(r,I)が図71Dに描かれている。図71A〜図71Dのエッチング速度分布の総計が図71Eに描かれており、エッチング速度分布ER(r,I=5,I=1,I=2)である。 [195] FIGS. 71A-71E graphically illustrate one calculation guide for the etch rate distribution ER (r, I i , I o , I b ). The nominal etch rate distribution ER (r) is depicted in the graph of FIG. 71A. The change ΔER (r, I i ) from the nominal distribution caused by applying a 5 amp DC current to the inner electromagnet 60 is depicted in FIG. 71B. The change ΔER (r, I o ) from the nominal distribution caused by applying a 1 amp DC current to the outer electromagnet 65 is depicted in FIG. 71C. The change ΔER (r, I b ) from the nominal distribution caused by applying a 2 amp DC current to the bottom magnet is depicted in FIG. 71D. The sum of the etching rate distributions of FIGS. 71A to 71D is depicted in FIG. 71E, which is an etching rate distribution ER (r, I i = 5, I o = 1, I b = 2).

[196]3個の磁石の最適な電流(I,I,I)を決定する別の方法は、多くの異なる値(I,I,I)の組み合わせについてエッチング速度分布ER(r,I,I,I)を直接測定する。このアプローチは、多数の測定を伴い、図70のブロック461〜469のステップに取って代わるものである。一旦、十分な数の異なるER(r,I,I,I)がこのように測定されると、図70のブロック471、473および475のステップが行われる。 [196] Another way to determine the optimal current (I i , I o , I b ) of the three magnets is to use the etch rate distribution ER for many different combinations of values (I i , I o , I b ). (R, I i , I o , I b ) is directly measured. This approach involves a large number of measurements and replaces the steps of blocks 461-469 in FIG. Once a sufficient number of different ERs (r, I i , I o , I b ) are thus measured, the steps of blocks 471, 473, and 475 of FIG. 70 are performed.

[197]上記プロセスでは均一性が反応器でエッチングされるウエハーにわたるエッチ速度の径方向分布を参照して定義された。しかしながら、より一般的には、エッチングプロセスまたは堆積プロセスを含む任意のプロセスについて、プロセス均一性をウエハー表面にわたるプラズマイオン濃度の径方向分布の均一性として定義することもできる。エッチング反応器では、反応器で実行されるプラズマ強化反応イオンエッチングプロセスで処理されたウエハー上で測定されたエッチング速度径方向分布から、プラズマイオン濃度分布が推定される。   [197] In the above process, uniformity was defined with reference to the radial distribution of etch rate across the wafer being etched in the reactor. More generally, however, for any process, including an etching process or a deposition process, process uniformity can also be defined as the uniformity of the radial distribution of plasma ion concentration across the wafer surface. In the etching reactor, the plasma ion concentration distribution is estimated from the etching rate radial distribution measured on the wafer processed in the plasma enhanced reactive ion etching process performed in the reactor.

[198]反応器が好適な実施形態の詳細な参照により説明されてきたが、反応器の思想および範囲から逸脱することなくその変更および変形が可能であることが理解されよう。   [198] While the reactor has been described in detail with reference to the preferred embodiments, it will be understood that modifications and variations can be made without departing from the spirit and scope of the reactor.

プラズマイオンの均一性を制御するためのオーバーヘッドVHF電極およびオーバーヘッドコイルを持ったプラズマ反応器の図示である。1 is an illustration of a plasma reactor with an overhead VHF electrode and an overhead coil for controlling plasma ion uniformity. プラズマイオンの均一性を制御するためのオーバーヘッドVHF電極およびオーバーヘッドコイルを持ったプラズマ反応器の図示である。1 is an illustration of a plasma reactor with an overhead VHF electrode and an overhead coil for controlling plasma ion uniformity. プラズマイオンの均一性を制御するためのオーバーヘッドVHF電極およびオーバーヘッドコイルを持ったプラズマ反応器の図示である。1 is an illustration of a plasma reactor with an overhead VHF electrode and an overhead coil for controlling plasma ion uniformity. 図1のオーバーヘッドコイルを制御するための例示的な装置の図示である。FIG. 2 is an illustration of an exemplary apparatus for controlling the overhead coil of FIG. 1. 図1のオーバーヘッドコイルの磁場のグラフ表示である。2 is a graphical representation of the magnetic field of the overhead coil of FIG. 図1のオーバーヘッドコイルの磁場のグラフ表示である。2 is a graphical representation of the magnetic field of the overhead coil of FIG. 同じ磁場の空間表示である。It is a spatial representation of the same magnetic field. 図1の反応器の種々の動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for various modes of operation of the reactor of FIG. 図1の反応器の種々の動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for various modes of operation of the reactor of FIG. 図1の反応器の種々の動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for various modes of operation of the reactor of FIG. 図1の反応器の種々の動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for various modes of operation of the reactor of FIG. 図1の反応器のさらなる動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for a further mode of operation of the reactor of FIG. 図1の反応器のさらなる動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for a further mode of operation of the reactor of FIG. 図1の反応器のさらなる動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for a further mode of operation of the reactor of FIG. 図1の反応器のさらなる動作モードについての、径方向位置(横軸)の関数としてのウエハー表面上のエッチング速度(縦軸)のグラフである。2 is a graph of etch rate (vertical axis) on the wafer surface as a function of radial position (horizontal axis) for a further mode of operation of the reactor of FIG. 磁場の関数としてエッチング速度を描いたグラフである。3 is a graph depicting etching rate as a function of magnetic field. MERIE磁石を持った図1Aの反応器の図示である。1B is an illustration of the reactor of FIG. 1A with a MERIE magnet. MERIE磁石を持った図1Aの反応器の図示である。1B is an illustration of the reactor of FIG. 1A with a MERIE magnet. 図1Aの反応器を動作する方法を描いた図である。1B depicts a method of operating the reactor of FIG. 1A. 図1Aの反応器におけるウエハー表面上の径方向位置の関数として、磁気圧力とイオンまたは電子密度の比較例を図示するグラフである。1B is a graph illustrating a comparative example of magnetic pressure and ion or electron density as a function of radial position on the wafer surface in the reactor of FIG. 1A. コイル電流の関数としてエッチング速度の不均一性を描いたグラフである。6 is a graph depicting non-uniformity in etch rate as a function of coil current. 図11の例におけるゼロコイル電流での径方向イオン分布の図示である。It is illustration of radial direction ion distribution in the zero coil current in the example of FIG. 図11の例における約11アンペアのコイル電流で、測定されたエッチング速度分布と予測されたエッチング速度分布とを比較する図である。FIG. 12 is a diagram comparing the measured etching rate distribution with the predicted etching rate distribution at a coil current of about 11 amperes in the example of FIG. 図11の例における約11アンペアのコイル電流で、測定されたエッチング速度分布と予測されたエッチング速度分布とを比較する図である。FIG. 12 is a diagram comparing the measured etching rate distribution with the predicted etching rate distribution at a coil current of about 11 amperes in the example of FIG. 図11の例における約35アンペアのコイル電流で、測定されたエッチング速度分布と予測されたエッチング速度分布とを比較する図である。FIG. 12 compares the measured etch rate distribution with the predicted etch rate distribution at a coil current of about 35 amps in the example of FIG. 図11の例における約35アンペアのコイル電流で、測定されたエッチング速度分布と予測されたエッチング速度分布とを比較する図である。FIG. 12 compares the measured etch rate distribution with the predicted etch rate distribution at a coil current of about 35 amps in the example of FIG. 図1Aの反応器を動作するさらなる方法を描いた図である。FIG. 1B depicts a further method of operating the reactor of FIG. 1A. 図1Aに対応する反応器で得られた磁場分布の図示である。1B is an illustration of the magnetic field distribution obtained in the reactor corresponding to FIG. 1A. ウエハー平面内の図16の磁場の二乗の勾配を描いた図である。FIG. 17 depicts a gradient of the square of the magnetic field of FIG. 16 in the wafer plane. 図1Aに対応する反応器で得られた別の磁場分布の図示である。1B is an illustration of another magnetic field distribution obtained in the reactor corresponding to FIG. 1A. ウエハー平面内の図18の磁場の二乗の勾配を描いた図である。FIG. 19 depicts a gradient of the square of the magnetic field of FIG. 18 in the wafer plane. 図1Aに対応する反応器で得られたまたさらなる磁場分布の図示である。1B is an illustration of still further magnetic field distributions obtained in the reactor corresponding to FIG. 1A. ウエハー平面内の図20の磁場の二乗の勾配を描いた図である。FIG. 21 depicts the gradient of the square of the magnetic field of FIG. 20 in the wafer plane. 図1Aの反応器を動作するまた別の方法を描いた図である。FIG. 1B depicts another method of operating the reactor of FIG. 1A. 図1Aの反応器を制御するための例示的なマイクロコントローラ動作の図示である。1B is an illustration of an exemplary microcontroller operation for controlling the reactor of FIG. 1A. 図1Aの反応器に包含された特徴を含むプラズマ反応器の図示である。1B is an illustration of a plasma reactor including features included in the reactor of FIG. 1A. 図1Aの反応器に包含された特徴を含む別のプラズマ反応器の図示である。1B is an illustration of another plasma reactor including features included in the reactor of FIG. 1A. 図1A、24および25の反応器用のガス分配プレートの図示である。26 is an illustration of a gas distribution plate for the reactor of FIGS. 1A, 24 and 25. FIG. 図1A、24および25の反応器用のガス分配プレートの図示である。26 is an illustration of a gas distribution plate for the reactor of FIGS. 1A, 24 and 25. FIG. 図1A、24および25の反応器用のガス分配プレートの図示である。26 is an illustration of a gas distribution plate for the reactor of FIGS. 1A, 24 and 25. FIG. 図1A、24および25の反応器用のガス分配プレートの図示である。26 is an illustration of a gas distribution plate for the reactor of FIGS. 1A, 24 and 25. FIG. 図1A、24および25の反応器用のガス分配プレートの図示である。26 is an illustration of a gas distribution plate for the reactor of FIGS. 1A, 24 and 25. FIG. 図26のようなガス分配プレートにおける熱制御の特徴の図示である。FIG. 27 is an illustration of thermal control features in the gas distribution plate as in FIG. 26. 図26のようなガス分配プレートにおける熱制御の特徴の図示である。FIG. 27 is an illustration of thermal control features in the gas distribution plate as in FIG. 26. 図26に対応するデュアルゾーンガスフロー制御を有するガス分配プレートの図示である。FIG. 27 is an illustration of a gas distribution plate with dual zone gas flow control corresponding to FIG. 図26に対応するデュアルゾーンガスフロー制御を有するガス分配プレートの図示である。FIG. 27 is an illustration of a gas distribution plate with dual zone gas flow control corresponding to FIG. 図1Aに対応するデュアルゾーンガス分配プレートにを有するプラズマ反応器の図示である。1B is an illustration of a plasma reactor having a dual zone gas distribution plate corresponding to FIG. 1A. 例示的なデュアルゾーンガスフローコントローラの図示である。1 is an illustration of an exemplary dual zone gas flow controller. 例示的なデュアルゾーンガスフローコントローラの図示である。1 is an illustration of an exemplary dual zone gas flow controller. 図34に対応するプラズマイオン分布を制御するための3個のオーバーヘッドコイルを有するプラズマ反応器の図示である。FIG. 35 is an illustration of a plasma reactor having three overhead coils for controlling the plasma ion distribution corresponding to FIG. 図26のガス分配プレートにおける中央低または中央高ガスフロー分布をそれぞれ発生する、異なるガス噴射孔パターンを描いた図である。FIG. 27 depicts different gas injection hole patterns that respectively generate a center low or center high gas flow distribution in the gas distribution plate of FIG. 図26のガス分配プレートにおける中央低または中央高ガスフロー分布をそれぞれ発生する、異なるガス噴射孔パターンを描いた図である。FIG. 27 depicts different gas injection hole patterns that respectively generate a center low or center high gas flow distribution in the gas distribution plate of FIG. プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配列の図示である。FIG. 4 is an illustration of different arrangements of overhead coils for controlling plasma ion distribution. プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配列の図示である。FIG. 4 is an illustration of different arrangements of overhead coils for controlling plasma ion distribution. プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配列の図示である。FIG. 4 is an illustration of different arrangements of overhead coils for controlling plasma ion distribution. プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配列の図示である。FIG. 4 is an illustration of different arrangements of overhead coils for controlling plasma ion distribution. オーバーヘッドコイルが、図45に最も良く見られるカスプ型磁場を発生する反応器チャンバの上方および下方の上部または下部磁気コイルにより置き換えられた、図1Aに対応するプラズマ反応器の図示である。FIG. 46 is an illustration of a plasma reactor corresponding to FIG. 1A in which the overhead coils have been replaced by upper or lower magnetic coils above and below the reactor chamber generating the cusp-type magnetic field best seen in FIG. オーバーヘッドコイルが、図45に最も良く見られるカスプ型磁場を発生する反応器チャンバの上方および下方の上部または下部磁気コイルにより置き換えられた、図1Aに対応するプラズマ反応器の図示である。FIG. 46 is an illustration of a plasma reactor corresponding to FIG. 1A in which the overhead coils have been replaced by upper or lower magnetic coils above and below the reactor chamber generating the cusp-type magnetic field best seen in FIG. 図45のカスプ型磁場を発生するような方式で動作される設定可能磁場(CMF)コイルによって、図44の上部および下部コイルをどのようにして置き換え可能かについての図示である。FIG. 46 illustrates how the upper and lower coils of FIG. 44 can be replaced by a configurable magnetic field (CMF) coil operated in a manner to generate the cusp-type magnetic field of FIG. 所望の磁場設定を発生するための図46のCMFコイルの動作モードの図示である。FIG. 47 is an illustration of the mode of operation of the CMF coil of FIG. 46 for generating a desired magnetic field setting. 所望の磁場設定を発生するための図46のCMFコイルの動作モードの図示である。FIG. 47 is an illustration of the mode of operation of the CMF coil of FIG. 46 for generating a desired magnetic field setting. 所望の磁場設定を発生するための図46のCMFコイルの動作モードの図示である。FIG. 47 is an illustration of the mode of operation of the CMF coil of FIG. 46 for generating a desired magnetic field setting. 所望の磁場設定を発生するための図46のCMFコイルの動作モードの図示である。FIG. 47 is an illustration of the mode of operation of the CMF coil of FIG. 46 for generating a desired magnetic field setting. 図1Aの反応器においてプラズマイオンが反応器のポンプ環に入るのを防ぐための環状開口付きプレートの図示である。1B is an illustration of an annular apertured plate for preventing plasma ions from entering the reactor pump ring in the reactor of FIG. 図1Aの反応器においてプラズマイオンが反応器のポンプ環に入るのを防ぐための環状開口付きプレートの図示である。1B is an illustration of an annular apertured plate for preventing plasma ions from entering the reactor pump ring in the reactor of FIG. 図1Aの反応器においてプラズマイオンが反応器のポンプ環に入るのを防ぐための環状開口付きプレートの図示である。1B is an illustration of an annular apertured plate for preventing plasma ions from entering the reactor pump ring in the reactor of FIG. 矩形形状の加工物を処理するための、図1Aの反応器の矩形バージョンの図示である。1B is an illustration of a rectangular version of the reactor of FIG. 1A for processing a rectangular workpiece. 可倒式加工物支持用ペデスタルを有する図1Aに対応する反応器の図示である。1B is an illustration of a reactor corresponding to FIG. 1A having a retractable workpiece support pedestal. 2個のオーバーヘッドコイルと1個の下にあるコイルを使用してプラズマイオン分布を制御する異なる実施形態の図示である。FIG. 6 is an illustration of different embodiments using two overhead coils and one underlying coil to control plasma ion distribution. 2個のオーバーヘッドコイルと1個の下にあるコイルを使用してプラズマイオン分布を制御する異なる実施形態の図示である。FIG. 6 is an illustration of different embodiments using two overhead coils and one underlying coil to control plasma ion distribution. 本発明の一実施形態を描いた図である。It is the figure on which one Embodiment of this invention was drawn. 図54の反応器の3つのモードに対応するウエハー平面での3つの磁場を描いた図である。FIG. 55 depicts three magnetic fields at the wafer plane corresponding to the three modes of the reactor of FIG. 54. 図54の反応器の3つのモードに対応するウエハー平面での3つの磁場を描いた図である。FIG. 55 depicts three magnetic fields at the wafer plane corresponding to the three modes of the reactor of FIG. 54. 図54の反応器の3つのモードに対応するウエハー平面での3つの磁場を描いた図である。FIG. 55 depicts three magnetic fields at the wafer plane corresponding to the three modes of the reactor of FIG. 54. 図55A〜55Cに対応する印加された電磁石DC電流をそれぞれ描いた図である。FIG. 56 is a diagram depicting applied electromagnet DC currents corresponding to FIGS. 55A-55C, respectively. 図55A〜55Cに対応する印加された電磁石DC電流をそれぞれ描いた図である。FIG. 56 is a diagram depicting applied electromagnet DC currents corresponding to FIGS. 55A-55C, respectively. 図55A〜55Cに対応する印加された電磁石DC電流をそれぞれ描いた図である。FIG. 56 is a diagram depicting applied electromagnet DC currents corresponding to FIGS. 55A-55C, respectively. 図54の反応器のカスプモードおよびソレノイドモードの径方向成分および軸方向成分の分布を丁寧に比較するグラフである。It is a graph which compares carefully the distribution of the radial direction component and axial direction component of the cusp mode of the reactor of FIG. 54, and a solenoid mode. 図54の反応器のカスプモードおよびソレノイドモードの径方向成分および軸方向成分の分布を丁寧に比較するグラフである。It is a graph which compares carefully the distribution of the radial direction component and axial direction component of the cusp mode of the reactor of FIG. 54, and a solenoid mode. 図54の反応器のソレノイドモードおよびミラーモードの径方向成分および軸方向成分の分布を丁寧に比較するグラフである。It is a graph which compares carefully the distribution of the radial direction component of the solenoid mode of a reactor of FIG. 54, and a mirror mode, and an axial direction component. 図54の反応器のソレノイドモードおよびミラーモードの径方向成分および軸方向成分の分布を丁寧に比較するグラフである。It is a graph which compares carefully the distribution of the radial direction component of the solenoid mode of a reactor of FIG. 54, and a mirror mode, and an axial direction component. 図54の反応器の異なるモードについて、(イオン飽和電流から推測される)プラズマイオン径方向分布のグラフである。FIG. 56 is a graph of plasma ion radial distribution (inferred from ion saturation current) for different modes of the reactor of FIG. 図54の反応器の異なる磁場またはモードについての半径の関数としてのエッチング速度のグラフである。FIG. 56 is a graph of etch rate as a function of radius for different magnetic fields or modes of the reactor of FIG. エッチング速度の不均一性およびデバイス損傷によって異なる磁場またはモードの特定を明らかにする表である。FIG. 5 is a table that reveals the identification of different magnetic fields or modes depending on etch rate non-uniformity and device damage. 3個の磁石の少なくとも2個について最適なDCコイル電流を決定するための基本的なプロセスを描いた図である。FIG. 6 depicts the basic process for determining the optimal DC coil current for at least two of the three magnets. 3個の磁石の全てについて最適なDCコイル電流を決定するための、図62のプロセスに続けることが可能な追加プロセスを描いた図である。FIG. 63 depicts an additional process that can be continued to the process of FIG. 62 to determine the optimal DC coil current for all three magnets. 図63のプロセスの代替物を描いた図である。FIG. 64 depicts an alternative to the process of FIG. 1個の磁石での第1の探索に従って、異なる磁場強度についてのエッチング速度の径方向分布を描いたグラフである。It is the graph which plotted the radial direction distribution of the etching rate about different magnetic field strength according to the 1st search with one magnet. 別の磁石での第2の探索に従って、異なる磁場強度についてのエッチング速度の径方向分布を描いたグラフである。FIG. 6 is a graph depicting the radial distribution of etch rates for different magnetic field strengths according to a second search with another magnet. 図65および66の分布から確立された数学的分布関数を描いたグラフである。FIG. 67 is a graph depicting a mathematical distribution function established from the distributions of FIGS. 65 and 66. FIG. 最適な電磁石DC電流を決定するための1つのプロセスを描いた図である。FIG. 6 depicts one process for determining an optimal electromagnet DC current. 最適な電磁石DC電流を決定するための別のプロセスを描いた図である。FIG. 6 depicts another process for determining an optimal electromagnet DC current. 最適な電磁石DC電流を決定するためのまた別のプロセスを描いた図である。FIG. 6 depicts yet another process for determining an optimal electromagnet DC current. 図70のプロセスの連続的なステップで得られたエッチング速度分布を描いた図である。FIG. 71 depicts an etch rate distribution obtained in successive steps of the process of FIG. 70. 図70のプロセスの連続的なステップで得られたエッチング速度分布を描いた図である。FIG. 71 depicts an etch rate distribution obtained in successive steps of the process of FIG. 70. 図70のプロセスの連続的なステップで得られたエッチング速度分布を描いた図である。FIG. 71 depicts an etch rate distribution obtained in successive steps of the process of FIG. 70. 図70のプロセスの連続的なステップで得られたエッチング速度分布を描いた図である。FIG. 71 depicts an etch rate distribution obtained in successive steps of the process of FIG. 70. 図70のプロセスの連続的なステップで得られたエッチング速度分布を描いた図である。FIG. 71 depicts an etch rate distribution obtained in successive steps of the process of FIG. 70.

符号の説明Explanation of symbols

5・・・円筒形側壁、10・・・シーリング、15・・・ウエハー支持用ペデスタル、20・・・ウエハー、25・・・プロセスガス供給源、40・・・RF発生器、60・・・内側コイル、65・・・外側コイル、90・・・コントローラ、70、75、76・・・DC電流供給源、92、94、96、98・・・MERIE電磁石、99・・・MERIE電流コントローラ。
DESCRIPTION OF SYMBOLS 5 ... Cylindrical side wall, 10 ... Sealing, 15 ... Wafer support pedestal, 20 ... Wafer, 25 ... Process gas supply source, 40 ... RF generator, 60 ... Inner coil, 65 ... outer coil, 90 ... controller, 70, 75, 76 ... DC current supply source, 92, 94, 96, 98 ... MERIE electromagnet, 99 ... MERIE current controller.

Claims (20)

加工物を処理するプラズマ反応器であって、
側壁およびシーリングを備える真空チャンバと、
前記チャンバ内に加工物支持表面を有し、前記シーリングに面し、カソード電極を備える加工物支持用ペデスタルと、
前記カソード電極に結合されたRFパワー発生器と、
前記加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、
前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と、
前記加工物支持表面の下にある第3の平面内の外部環状底部磁石と、
前記内側、外側および底部電磁石のそれぞれに接続された内側、外側および底部DC電流供給源と、
前記内側、外側および底部DC電流供給源からのDC電流を制御するプロセッサと、
を備え、
前記プロセッサが、
(a)前記DC電流が、前記底部電磁石と前記内側および外側電磁石の一方とに、前記加工物支持表面で等しく逆の磁場を生成させるカスプモードと、
(b)前記DC電流が、前記底部電磁石と前記内側および外側電磁石の一方とに、前記加工物支持表面で等しく同一方向の磁場を生成させるミラーモードと、
(c)前記DC電流が、前記電磁石の少なくとも1個に、前記加工物支持表面で径方向および軸方向磁場の両方を生成させるソレノイドモードを備える3つのモードで動作可能である、
プラズマ反応器。
A plasma reactor for processing a workpiece,
A vacuum chamber with side walls and a sealing;
A workpiece support pedestal having a workpiece support surface in the chamber, facing the ceiling and comprising a cathode electrode;
An RF power generator coupled to the cathode electrode;
An outer annular inner electromagnet in a first plane overlying the workpiece support surface;
An outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane overlying the workpiece support surface;
An external annular bottom electromagnets in the third plane underlying the workpiece support surface,
An inner, outer and bottom DC current source connected to each of the inner, outer and bottom electromagnets;
A processor for controlling DC current from the inner, outer and bottom DC current sources;
With
The processor is
(A) a cusp mode in which the DC current causes the bottom electromagnet and one of the inner and outer electromagnets to generate an equal and opposite magnetic field on the workpiece support surface;
(B) a mirror mode in which the DC current causes the bottom electromagnet and one of the inner and outer electromagnets to generate a magnetic field in the same direction on the workpiece support surface;
(C) the DC current is operable in three modes comprising a solenoid mode that causes at least one of the electromagnets to generate both radial and axial magnetic fields at the workpiece support surface;
Plasma reactor.
前記加工物支持用ペデスタルならびに前記内側、外側および底部磁石が同軸である、請求項1に記載のプラズマ反応器。 It said workpiece support pedestal and said inner, outer and bottom electromagnets are coaxial plasma reactor according to claim 1. 前記第1の平面が前記第2の平面の上にあり、前記第1の平面と第2の平面の両方が前記第3の平面の上にある、請求項2に記載のプラズマ反応器。   The plasma reactor according to claim 2, wherein the first plane is above the second plane, and both the first plane and the second plane are above the third plane. 前記第1、第2、第3の平面が前記加工物支持表面と平行である、請求項3に記載のプラズマ反応器。   The plasma reactor of claim 3, wherein the first, second, and third planes are parallel to the workpiece support surface. 前記プロセッサが一度に前記3つのモードの1つだけで動作可能である、請求項に記載のプラズマ反応器。 The plasma reactor of claim 1 , wherein the processor is operable in only one of the three modes at a time. 前記プロセッサが前記3つのモードの選択された1つで動作可能である、請求項に記載のプラズマ反応器。 The plasma reactor of claim 1 , wherein the processor is operable in a selected one of the three modes. 加工物を処理するプラズマ反応器であって、
側壁およびシーリングを備える真空チャンバと、
前記チャンバ内に加工物支持表面を有し、前記シーリングに面し、カソード電極を備える加工物支持用ペデスタルと、
前記カソード電極に結合されたRFパワー発生器と、
前記加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、
前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と、
前記加工物支持表面の下にある第3の平面内の外部環状底部電磁石と、
前記内側、外側および底部電磁石のそれぞれに接続された内側、外側および底部DC電流供給源と、
前記内側、外側および底部DC電流供給源からのDC電流を制御するプロセッサと、
を備え、
前記プロセッサが、
(a)前記底部電磁石と前記内側および外側電磁石の一方とが主に径方向DC磁場を発生するカスプモードと、
(b)前記底部電磁石と前記内側および外側電磁石の一方とが主に軸方向磁場を発生するミラーモードと、
(c)前記電磁石の1個が軸方向および径方向磁場を発生させるソレノイドモードを備える3つのモードで動作可能である、プラズマ反応器。
A plasma reactor for processing a workpiece,
A vacuum chamber with side walls and a sealing;
A workpiece support pedestal having a workpiece support surface in the chamber, facing the ceiling and comprising a cathode electrode;
An RF power generator coupled to the cathode electrode;
An outer annular inner electromagnet in a first plane overlying the workpiece support surface;
An outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane overlying the workpiece support surface;
An outer annular bottom electromagnet in a third plane below the workpiece support surface;
An inner, outer and bottom DC current source connected to each of the inner, outer and bottom electromagnets;
A processor for controlling DC current from the inner, outer and bottom DC current sources;
With
The processor is
(A) a cusp mode in which the bottom electromagnet and one of the inner and outer electromagnets mainly generate a radial DC magnetic field;
(B) a mirror mode in which the bottom electromagnet and one of the inner and outer electromagnets mainly generate an axial magnetic field;
(C) A plasma reactor in which one of the electromagnets is operable in three modes including a solenoid mode that generates axial and radial magnetic fields.
前記プロセッサが前記3つのモードの選択された1つで動作可能である、請求項に記載のプラズマ反応器。 The plasma reactor of claim 7 , wherein the processor is operable in a selected one of the three modes. 前記プロセッサが前記3つのモードの成分を同時に発生するように動作可能である、請求項に記載のプラズマ反応器。 The plasma reactor of claim 7 , wherein the processor is operable to generate the three modes of components simultaneously. 加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と、前記加工物支持表面の下にある第3の平面内の外部環状底部磁石と、前記内側、外側および底部電磁石のそれぞれに接続された内側、外側および底部DC電流供給源とを有するプラズマ反応器において、プラズマイオン濃度分布の均一性を改善する方法であって、
前記底部電磁石と前記内側および外側電磁石の一方とから、前記加工物支持表面の中央でのプラズマイオン濃度に対して、前記加工物支持表面の周辺部近傍のプラズマイオン濃度を増加させるのに十分な磁場強度を有する径方向磁場を、前記加工物支持表面で生成するステップを備え、
製品加工物を処理する前に、プラズマイオン濃度径方向分布の所望の均一性を生成するソレノイド磁場を求めて、前記ソレノイド磁場の径方向成分を決定するステップを更に備え、
前記径方向磁場を発生するステップが、プラズマイオン濃度径方向分布の均一性が前記ソレノイド磁場により生成された前記所望の均一性に達するまで、前記径方向磁場を前記ソレノイド磁場の前記径方向成分の強度を越えて増加させることを備える、前記方法。
An outer annular inner electromagnet in a first plane overlying the workpiece support surface; and an outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane above the workpiece support surface; , plasma reaction having an external annular bottom electromagnets in the third plane underlying the workpiece support surface, the inner, inside which are connected to the respective outer and bottom electromagnets, and an outer and bottom DC current source A method for improving the uniformity of plasma ion concentration distribution in a vessel, comprising:
Sufficient to increase the plasma ion concentration near the periphery of the workpiece support surface relative to the plasma ion concentration at the center of the workpiece support surface from the bottom electromagnet and one of the inner and outer electromagnets. Generating a radial magnetic field having a magnetic field strength at the workpiece support surface;
Prior to processing the product workpiece, further comprising determining a solenoid magnetic field that produces a desired uniformity of plasma ion concentration radial distribution and determining a radial component of said solenoid magnetic field;
The step of generating the radial magnetic field comprises converting the radial magnetic field into the radial component of the solenoid magnetic field until the uniformity of the plasma ion concentration radial distribution reaches the desired uniformity generated by the solenoid magnetic field. Said method comprising increasing beyond intensity .
前記内側および外側電磁石の他方で追加の磁場成分を生成することによって、前記周辺部でのプラズマイオン濃度を更に増加させるステップを更に備える、請求項10に記載の方法。 The method of claim 10 , further comprising further increasing plasma ion concentration at the periphery by generating an additional magnetic field component at the other of the inner and outer electromagnets. 前記追加の磁場成分が前記加工物支持表面での軸方向磁場を含む、請求項10に記載の方法。 The method of claim 10 , wherein the additional magnetic field component comprises an axial magnetic field at the workpiece support surface. 前記軸方向磁場が前記加工物支持表面で前記径方向磁場よりも低い磁場強度を有する、
請求項12に記載の方法。
The axial magnetic field has a lower magnetic field strength at the workpiece support surface than the radial magnetic field;
The method of claim 12 .
加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と、前記加工物支持表面の下にある第3の平面内の外部環状底部磁石とを有するプラズマ反応器において、プラズマイオン濃度分布を制御する方法であって、
プラズマイオン濃度分布の不均一性を最小化する傾向がある、前記内側、外側および底部磁石の対に印加されるDC電流対のセットを求めるステップと、
前記DC電流対のそれぞれについて、プラズマイオン濃度分布の不均一性を最小化する傾向がある、前記内側、外側および底部磁石の他方に印加されるDC電流を求めて、前記内側、外側および底部磁石に対応する3つのDC電流のセットを確立するステップと、
前記3つのDC電流の1つを前記内側、外側および底部電磁石に印加するステップであって、プラズマイオン濃度分布が、前記加工物支持表面で加工された半導体ウエハー上で測定されたエッチング速度の径方向分布から推定される、前記ステップと、
を備える、方法。
An outer annular inner electromagnet in a first plane overlying the workpiece support surface; and an outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane above the workpiece support surface; in the plasma reactor having an external annular bottom electromagnets in the third plane underlying the workpiece support surface, a method of controlling plasma ion density distribution,
A step tends to minimize the non-uniformity of plasma ion density distribution, obtaining a set of inner, DC current vs. applied to a pair of outer and bottom electromagnets,
For each of said DC current to tend to minimize the non-uniformity of plasma ion density distribution, the inner, seeking DC current applied to the other of the outer and bottom electromagnets, said inner, outer and bottom establishing a set of three DC current corresponding to the electromagnets,
One of the inner side of the three DC current, comprising the steps of applying to the outer and bottom electromagnets, plasma ion density distribution, the workpiece diameter measured etch rate on the processed semiconductor wafers in which the support surface The step estimated from the directional distribution;
A method comprising:
内側、外側および底部電磁石の前記対が前記底部電磁石と前記内側および外側電磁石の一方とを備え、それによって前記電磁石対が主に径方向磁場を前記加工物支持表面で確立し、他の磁石がより小さい軸方向磁場を確立する、請求項14に記載の方法。 Inside, it said pair of outer and bottom electromagnets and a one of said inner and outer electromagnets and said bottom electromagnet, whereby the electromagnet pair is established predominantly radial magnetic field at said workpiece support surface, the other electromagnets 15. The method of claim 14 , wherein establishes a smaller axial magnetic field. 内側、外側および底部磁石の前記対が、前記底部磁石および前記外側磁石を備え、前記他の磁石が前記内側磁石を備える、請求項15に記載の方法。 Inside, it said pair of outer and bottom electromagnets is provided with the bottom electromagnets and outer electromagnets, the other electromagnets comprises the inner electromagnets method of claim 15. 加工物支持表面の上にある第1の平面内の外部環状内側電磁石と、前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と、前記加工物支持表面の下にある第3の平面内の外部環状底部磁石とを有するプラズマ反応器において、プラズマイオン濃度分布を制御する方法であって、前記加工物支持表面で未補正のプラズマイオン濃度分布を決定するステップと、
プラズマイオン濃度分布の変化を、前記内側、外側および底部磁石のそれぞれ独立した1個に単独で印加されるDC電流の関数として決定するステップと、
前記内側、外側および底部磁石に印加されるDC電流の異なる組み合わせについて、前記関数を前記未補正プラズマ分布に重ね合わせ、複数の試験的なプラズマイオン濃度分布を得るステップと、
高い均一性を有するプラズマイオン濃度分布の少なくとも1つを求めて前記試験的プラズマイオン濃度分布を探索し、それに対応する電流の最適なセットを決定するステップと、
前記電流の最適なセットを前記内側、外側および底部磁石のそれぞれに印加するステップと、
を備え、
前記プラズマイオン濃度分布を決定するステップが、前記プラズマイオン濃度分布を前記加工物支持表面で加工された半導体ウエハー上で測定されたエッチング速度分布から推測することを備える、前記方法。
An outer annular inner electromagnet in a first plane overlying the workpiece support surface; and an outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane above the workpiece support surface; in the plasma reactor having an external annular bottom electromagnets in the third plane underlying the workpiece support surface, a method of controlling plasma ion density distribution, uncorrected by said workpiece support surface Determining a plasma ion concentration distribution;
Determining a change in plasma ion density distribution as a function of the inner, independent DC current applied one to solely the outer and bottom electromagnets,
Said inner, different combinations of DC current applied to the outer and bottom electromagnets, said function superimposed on said uncorrected plasma distribution, and obtaining a plurality of pilot plasma ion density distribution,
Searching the experimental plasma ion concentration distribution for at least one of the plasma ion concentration distributions having high uniformity and determining an optimal set of currents corresponding thereto;
And applying the optimal set of current in each of said inner, outer and bottom electromagnets,
With
The method wherein the step of determining the plasma ion concentration distribution comprises inferring the plasma ion concentration distribution from an etch rate distribution measured on a semiconductor wafer processed on the workpiece support surface .
反応器チャンバ内の加工物支持表面上で加工物を処理するプラズマ反応器であって:
前記加工物支持表面の上にある第1の平面内の外部環状内側電磁石と;
前記加工物支持表面の上にある第2の平面内の、前記内側電磁石よりも大きな直径を有する外部環状外側電磁石と;
前記加工物支持表面の下にある第3の平面内の外部環状底部磁石と;
前記内側、外側および底部電磁石のそれぞれに印加されるDC電流を制御するプロセッサと;
前記プロセッサにアクセス可能なメモリであって、前記メモリが前記内側、外側および底部電磁石のそれぞれ用のDC電流の値を記憶し、前記電流が、
前記加工物支持表面で未補正のプラズマイオン濃度分布を決定するステップ、
プラズマイオン濃度分布の変化を、前記内側、外側および底部磁石のそれぞれ独立した1個に単独で印加されるDC電流の関数として決定するステップ、
前記内側、外側および底部磁石に印加されるDC電流の異なる組み合わせについて、前記関数を前記未補正プラズマ分布に重ね合わせ、複数の試験的なプラズマイオン濃度分布を得るステップ、
高い均一性を有するプラズマイオン濃度分布の少なくとも1つを求めて前記試験的プラズマイオン濃度分布を探索し、それに対応する電流の最適なセットを決定するステップ、
を備えるプロセスにより決定される、前記メモリと;
を備え、
前記プラズマイオン濃度分布が、前記加工物支持表面で加工されたウエハー上で測定されたエッチング速度分布から推測される、前記プラズマ反応器。
A plasma reactor for processing a workpiece on a workpiece support surface in a reactor chamber comprising:
An outer annular inner electromagnet in a first plane overlying the workpiece support surface;
An outer annular outer electromagnet having a larger diameter than the inner electromagnet in a second plane overlying the workpiece support surface;
An external annular bottom electromagnets in the third plane underlying the workpiece support surface;
A processor for controlling a DC current applied to each of the inner, outer and bottom electromagnets;
A memory accessible to the processor, wherein the memory stores a value of a DC current for each of the inner, outer and bottom electromagnets;
Determining an uncorrected plasma ion concentration distribution at the workpiece support surface;
Determining a change in plasma ion density distribution as a function of the inner, independent DC current applied one to solely the outer and bottom electromagnets,
Said inner, different combinations of DC current applied to the outer and bottom electromagnets, said function superimposed on said uncorrected plasma distribution, to obtain a plurality of trial plasma ion density distribution,
Searching the experimental plasma ion concentration distribution for at least one of the plasma ion concentration distributions having high uniformity and determining an optimal set of currents corresponding thereto;
Said memory determined by a process comprising:
With
The plasma reactor wherein the plasma ion concentration distribution is inferred from an etch rate distribution measured on a wafer processed on the workpiece support surface .
前記シーリングが容量結合オーバーヘッド電極を備え、前記プラズマ反応器が、
VHFプラズマソースパワー発生器と、
前記VHFプラズマソースパワー発生器を前記オーバーヘッド電極に結合する固定チューニング素子と、
共振周波数を前記VHFプラズマソースパワー発生器の周波数またはその近傍に有する前記チャンバでプラズマとの共振を形成する前記電極と、
を更に備える、請求項1に記載のプラズマ反応器。
The ceiling comprises a capacitively coupled overhead electrode, and the plasma reactor comprises:
A VHF plasma source power generator;
A fixed tuning element coupling the VHF plasma source power generator to the overhead electrode;
The electrode forming resonance with the plasma in the chamber having a resonance frequency at or near the frequency of the VHF plasma source power generator;
The plasma reactor according to claim 1, further comprising:
前記固定チューニング素子が、スタブ共振周波数を前記共振周波数またはその近傍に有する同軸チューニングスタブを備える、請求項19に記載のプラズマ反応器。
The plasma reactor of claim 19 , wherein the fixed tuning element comprises a coaxial tuning stub having a stub resonance frequency at or near the resonance frequency.
JP2006019588A 2005-01-28 2006-01-27 Plasma reactor and method for improving the uniformity of plasma ion concentration distribution Expired - Fee Related JP4769586B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/046656 2005-01-28
US11/046,656 US8617351B2 (en) 2002-07-09 2005-01-28 Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction

Publications (2)

Publication Number Publication Date
JP2006237590A JP2006237590A (en) 2006-09-07
JP4769586B2 true JP4769586B2 (en) 2011-09-07

Family

ID=36282827

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006019588A Expired - Fee Related JP4769586B2 (en) 2005-01-28 2006-01-27 Plasma reactor and method for improving the uniformity of plasma ion concentration distribution

Country Status (6)

Country Link
US (1) US8617351B2 (en)
EP (1) EP1686612A1 (en)
JP (1) JP4769586B2 (en)
KR (1) KR100853577B1 (en)
CN (1) CN1812683B (en)
TW (1) TWI388243B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699882B2 (en) 2018-02-22 2020-06-30 Toshiba Memory Corporation Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
JP4009087B2 (en) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド Magnetic generator in semiconductor manufacturing apparatus, semiconductor manufacturing apparatus, and magnetic field intensity control method
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7422654B2 (en) 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
CN100362619C (en) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 RF matching coupling network for vacuum reaction chamber and its configuration method
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100978754B1 (en) * 2008-04-03 2010-08-30 주식회사 테스 Plasma processing apparatus
KR100823302B1 (en) * 2006-12-08 2008-04-17 주식회사 테스 Plasma processing apparatus
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100941070B1 (en) * 2007-05-10 2010-02-09 세메스 주식회사 Apparatus treating a substrate using plasma
KR101115273B1 (en) * 2007-12-20 2012-03-05 가부시키가이샤 알박 Plasma source mechanism and film forming apparatus
CN102301832B (en) 2009-02-04 2014-07-23 全面熔合有限公司 Systems and methods for compressing plasma
JP2010232476A (en) * 2009-03-27 2010-10-14 Tokyo Electron Ltd Plasma processing apparatus
WO2011002800A2 (en) * 2009-06-30 2011-01-06 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
KR101110080B1 (en) * 2009-07-08 2012-03-13 주식회사 유진테크 Method for processing substrate
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5723130B2 (en) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 Plasma processing equipment
TWI792087B (en) 2011-05-05 2023-02-11 日商半導體能源研究所股份有限公司 Semiconductor device and method for manufacturing the same
CN103163438A (en) * 2011-12-12 2013-06-19 中国科学技术大学 Micro-discharger performance testing device and method
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
WO2014036155A1 (en) * 2012-08-28 2014-03-06 Jh Quantum Tehcnology, Inc. Material processor with plasma generator
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
CN103972012A (en) * 2013-01-25 2014-08-06 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction chamber and plasma equipment with same
CN103151235B (en) * 2013-02-20 2016-01-27 上海华力微电子有限公司 A kind of device improving etching homogeneity
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
KR102298032B1 (en) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
WO2016026040A1 (en) * 2014-08-19 2016-02-25 General Fusion Inc. System and method for controlling plasma magnetic field
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN105161411B (en) * 2015-07-09 2018-01-05 江苏德尔森传感器科技有限公司 The sensor monocrystalline silicon etching device of positioning processing can be achieved
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
KR102487342B1 (en) 2016-06-14 2023-01-13 삼성전자주식회사 Electrostatic chuck and a plasma apparatus for processing substrates having the same
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
CN109994355B (en) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 Plasma reactor with low-frequency radio frequency power distribution adjusting function
CN111613513A (en) * 2020-07-07 2020-09-01 大连理工大学 Plasma etching device and method
JP2022049494A (en) * 2020-09-16 2022-03-29 キオクシア株式会社 Semiconductor manufacturing equipment
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11955322B2 (en) * 2021-06-25 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Device for adjusting position of chamber and plasma process chamber including the same for semiconductor manufacturing
JP7417569B2 (en) * 2021-10-29 2024-01-18 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and program

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76482A (en) * 1868-04-07 John j
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (en) 1964-04-27 1965-06-11 Csf Improvements to ion sources
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4293794A (en) 1980-04-01 1981-10-06 Kapetanakos Christos A Generation of intense, high-energy ion pulses by magnetic compression of ion rings
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5779621A (en) 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
JPS59175125A (en) 1983-03-24 1984-10-03 Toshiba Corp Dry etching device
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4665489A (en) 1984-03-15 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US4665487A (en) 1984-05-25 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4552639A (en) 1984-07-20 1985-11-12 Varian Associates, Inc. Magnetron sputter etching system
JPS6134177A (en) 1984-07-25 1986-02-18 Tokuda Seisakusho Ltd Magnet driving device
DE3580953D1 (en) 1984-08-31 1991-01-31 Anelva Corp UNLOADING DEVICE.
US4631106A (en) 1984-09-19 1986-12-23 Hitachi, Ltd. Plasma processor
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4668338A (en) 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPS6393881A (en) 1986-10-08 1988-04-25 Anelva Corp Plasma treatment apparatus
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (en) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner HIGH FREQUENCY ION SOURCE
US4947085A (en) 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4740268A (en) 1987-05-04 1988-04-26 Motorola Inc. Magnetically enhanced plasma system
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
EP0334184B1 (en) 1988-03-16 1996-08-14 Hitachi, Ltd. Microwave ion source
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
JP2566648B2 (en) * 1988-05-23 1996-12-25 日本電信電話株式会社 Plasma etching equipment
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (en) 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
DE3923661A1 (en) 1989-07-18 1991-01-24 Leybold Ag CIRCUIT ARRANGEMENT FOR ADJUSTING THE IMPEDANCE OF A PLASMA LINE TO A HIGH FREQUENCY GENERATOR
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5081398A (en) 1989-10-20 1992-01-14 Board Of Trustees Operating Michigan State University Resonant radio frequency wave coupler apparatus using higher modes
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
JPH04901A (en) 1990-04-18 1992-01-06 Mitsubishi Electric Corp Method and device for feeding high frequency power for plasma apparatus
KR930004713B1 (en) 1990-06-18 1993-06-03 삼성전자 주식회사 Plasma exciting apparatus using modulation step and its method
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
JP2598336B2 (en) 1990-09-21 1997-04-09 株式会社日立製作所 Plasma processing equipment
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (en) 1990-10-12 1992-04-17 Sodern ELECTRON SOURCE HAVING A MATERIAL RETENTION DEVICE.
US5208512A (en) 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
JP2501948B2 (en) 1990-10-26 1996-05-29 三菱電機株式会社 Plasma processing method and plasma processing apparatus
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
WO1992016959A1 (en) 1991-03-25 1992-10-01 Commonwealth Scientific And Industrial Research Organisation Arc source macroparticle filter
CA2102201A1 (en) 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
DE4118973C2 (en) 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Device for the plasma-assisted processing of substrates and use of this device
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
JPH0521391A (en) * 1991-07-12 1993-01-29 Sumitomo Metal Ind Ltd Microwave plasma device
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (en) 1991-07-24 1999-04-15 이노우에 쥰이치 Plasma processing apparatus capable of detecting and regulating actual rf power at electrode within chamber
US5308417A (en) 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP2621728B2 (en) * 1992-02-21 1997-06-18 株式会社日立製作所 Sputtering method and apparatus
US5444207A (en) 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
KR930021034A (en) 1992-03-31 1993-10-20 다니이 아끼오 Plasma generating method and apparatus for generating same
EP0566143B1 (en) 1992-04-17 1999-11-24 Matsushita Electric Industrial Co., Ltd. Apparatus and method for generating plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (en) 1993-01-27 1999-11-08 日本電気株式会社 RF / ECR plasma etching equipment
FR2701797B1 (en) 1993-02-18 1995-03-31 Commissariat Energie Atomique Microwave power transfer coupler to a plasma table and linear microwave source for plasma surface treatment.
TW249313B (en) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5534108A (en) 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
KR0170456B1 (en) 1993-07-16 1999-03-30 세끼사와 다까시 Manufacture of semiconductor device
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5565382A (en) 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
KR100321536B1 (en) 1993-12-28 2002-06-20 히가시 데쓰로 Dipole ring magnet for magnetron sputtering or magnetron etching
JP3279038B2 (en) 1994-01-31 2002-04-30 ソニー株式会社 Plasma apparatus and plasma processing method using the same
JP3365067B2 (en) 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
JP3124204B2 (en) 1994-02-28 2001-01-15 株式会社東芝 Plasma processing equipment
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5506475A (en) 1994-03-22 1996-04-09 Martin Marietta Energy Systems, Inc. Microwave electron cyclotron electron resonance (ECR) ion source with a large, uniformly distributed, axially symmetric, ECR plasma volume
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5798029A (en) 1994-04-22 1998-08-25 Applied Materials, Inc. Target for sputtering equipment
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
CN1269196C (en) 1994-06-15 2006-08-09 精工爱普生株式会社 Method for making thin-film semiconductor device
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
IT1269413B (en) 1994-10-21 1997-04-01 Proel Tecnologie Spa RADIOFREQUENCY PLASMA SOURCE
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (en) 1994-10-26 1999-10-04 住友金属工業株式会社 Plasma processing equipment
JPH08167588A (en) * 1994-12-12 1996-06-25 Sony Corp Plasma treatment device and plasma monitoring device
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (en) 1995-02-07 2006-05-24 東京エレクトロン株式会社 Plasma etching method
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3595608B2 (en) 1995-05-30 2004-12-02 アネルバ株式会社 Vacuum processing apparatus, method for removing deposited film on inner surface of vacuum vessel in vacuum processing apparatus, and method for uniforming film deposition on inner surface of vacuum vessel in vacuum processing apparatus
JP3169337B2 (en) 1995-05-30 2001-05-21 キヤノン株式会社 Photovoltaic element and method for manufacturing the same
JP3208044B2 (en) 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5659276A (en) 1995-07-12 1997-08-19 Shin-Etsu Chemical Co., Ltd. Magnetic field generator for magnetron plasma
JPH0945624A (en) 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5718795A (en) 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
KR100226366B1 (en) 1995-08-23 1999-10-15 아끼구사 나오유끼 Plasma equipment and plasma processing method
JPH09106898A (en) 1995-10-09 1997-04-22 Anelva Corp Plasma cvd device, plasma processor, and plasma cvd method
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5783102A (en) 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5907220A (en) 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (en) 1996-05-16 2001-12-10 シャープ株式会社 Electronic device manufacturing equipment
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6014943A (en) 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
JPH10134996A (en) 1996-10-31 1998-05-22 Nec Corp Plasma treatment equipment
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
DE69832165T2 (en) 1997-03-15 2006-04-20 Nakamura, Toshikazu HGF FOR THE TREATMENT OF ACUTE KIDNEY FAILURE
JP3650248B2 (en) 1997-03-19 2005-05-18 東京エレクトロン株式会社 Plasma processing equipment
JP3582287B2 (en) 1997-03-26 2004-10-27 株式会社日立製作所 Etching equipment
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5880034A (en) 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
JPH1116893A (en) * 1997-06-25 1999-01-22 Hitachi Ltd Plasma treatment device and method therefor
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US5902461A (en) 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
JP2929284B2 (en) 1997-09-10 1999-08-03 株式会社アドテック Impedance matching and power control system for high frequency plasma processing equipment
US6076482A (en) 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US5876576A (en) 1997-10-27 1999-03-02 Applied Materials, Inc. Apparatus for sputtering magnetic target materials
US6051151A (en) 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6015476A (en) 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6164240A (en) 1998-03-24 2000-12-26 Applied Materials, Inc. Semiconductor wafer processor, plasma generating apparatus, magnetic field generator, and method of generating a magnetic field
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000021871A (en) 1998-06-30 2000-01-21 Tokyo Electron Ltd Plasma treating method
JP3375302B2 (en) 1998-07-29 2003-02-10 東京エレクトロン株式会社 Magnetron plasma processing apparatus and processing method
US6545580B2 (en) 1998-09-09 2003-04-08 Veeco Instruments, Inc. Electromagnetic field generator and method of operation
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
FI105612B (en) 1998-10-23 2000-09-15 Nokia Networks Oy Method and circuitry for correcting phase error in power amplifier linearization loop
JP3818561B2 (en) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド Method for forming silicon oxide film and method for manufacturing thin film transistor
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6579421B1 (en) 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
JP2000245005A (en) 1999-02-18 2000-09-08 Toshiba Corp Drive controller for rolling stock
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3374796B2 (en) 1999-08-06 2003-02-10 松下電器産業株式会社 Plasma processing method and apparatus
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
JP3892996B2 (en) 1999-09-02 2007-03-14 東京エレクトロン株式会社 Magnetron plasma processing equipment
JP2001074049A (en) 1999-09-07 2001-03-23 Ebara Corp Magnetic bearing system
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
AU1606101A (en) 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
JP2001156044A (en) 1999-11-26 2001-06-08 Tokyo Electron Ltd Apparatus and method for processing
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
DE60133159T2 (en) 2000-01-12 2009-03-19 Tokyo Electron Ltd. VACUUM TREATMENT PLANT
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6296747B1 (en) 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
JP3565774B2 (en) 2000-09-12 2004-09-15 株式会社日立製作所 Plasma processing apparatus and processing method
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100516844B1 (en) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 Device and method for treatment
JP3987686B2 (en) 2001-02-02 2007-10-10 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー Static magnetic field correction method and MRI apparatus
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6663754B2 (en) 2001-04-13 2003-12-16 Applied Materials, Inc. Tubular magnet as center pole in unbalanced sputtering magnetron
JP3843880B2 (en) 2001-05-31 2006-11-08 株式会社デンソー Gas concentration sensor heater control device
JP4009087B2 (en) 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド Magnetic generator in semiconductor manufacturing apparatus, semiconductor manufacturing apparatus, and magnetic field intensity control method
US6674241B2 (en) 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6495009B1 (en) 2001-08-07 2002-12-17 Applied Materials, Inc. Auxiliary in-plane magnet inside a nested unbalanced magnetron
US6491801B1 (en) 2001-08-07 2002-12-10 Applied Materials, Inc. Auxiliary vertical magnet outside a nested unbalanced magnetron
US6805770B1 (en) 2001-08-30 2004-10-19 Oster Magnetics, Inc. Technique for improving uniformity of magnetic fields that rotate or oscillate about an axis
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6521082B1 (en) 2002-04-16 2003-02-18 Applied Materials Inc. Magnetically enhanced plasma apparatus and method with enhanced plasma uniformity and enhanced ion energy control
US6715900B2 (en) 2002-05-17 2004-04-06 A L Lightech, Inc. Light source arrangement
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
AU2003270496A1 (en) 2002-09-09 2004-03-29 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20090250432A1 (en) 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by time-weighted superposition of different solenoid fields

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699882B2 (en) 2018-02-22 2020-06-30 Toshiba Memory Corporation Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2006237590A (en) 2006-09-07
US20050167051A1 (en) 2005-08-04
EP1686612A1 (en) 2006-08-02
CN1812683B (en) 2013-07-17
US8617351B2 (en) 2013-12-31
TW200628022A (en) 2006-08-01
CN1812683A (en) 2006-08-02
TWI388243B (en) 2013-03-01
KR100853577B1 (en) 2008-08-21
KR20060087451A (en) 2006-08-02

Similar Documents

Publication Publication Date Title
JP4769586B2 (en) Plasma reactor and method for improving the uniformity of plasma ion concentration distribution
JP4387299B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6900596B2 (en) Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6095084A (en) High density plasma process chamber
JP4236294B2 (en) Electromagnetically coupled RF plasma reactor with solenoid antenna on top
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
KR100810482B1 (en) Coil having variable rf coupling, plasma processor with the same, and method of controlling rf plasma using the same
JP3903034B2 (en) Inductively coupled plasma generator with serpentine coil antenna
US20040168771A1 (en) Plasma reactor coil magnet
KR20040018343A (en) Inductive plasma processor having coil with plural windings and method of controlling plasma density
JP2002334800A (en) Immersion type inductively-coupled plasma source
JP4046207B2 (en) Plasma processing equipment
CN111183504B (en) Superlocal and plasma uniformity control in manufacturing processes
JPH1197430A (en) High-density plasma processing chamber
KR100391063B1 (en) Device and Method for Generating Capacitively Coupled Plasma Enhanced Inductively Coupled Plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100212

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100628

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110304

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110330

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110404

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110502

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110531

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110620

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees