JP4759368B2 - Semiconductor integrated circuit design method - Google Patents

Semiconductor integrated circuit design method Download PDF

Info

Publication number
JP4759368B2
JP4759368B2 JP2005330871A JP2005330871A JP4759368B2 JP 4759368 B2 JP4759368 B2 JP 4759368B2 JP 2005330871 A JP2005330871 A JP 2005330871A JP 2005330871 A JP2005330871 A JP 2005330871A JP 4759368 B2 JP4759368 B2 JP 4759368B2
Authority
JP
Japan
Prior art keywords
voltage drop
timing
drop amount
logic cell
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005330871A
Other languages
Japanese (ja)
Other versions
JP2007140708A (en
Inventor
和隆 村上
英孝 南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP2005330871A priority Critical patent/JP4759368B2/en
Publication of JP2007140708A publication Critical patent/JP2007140708A/en
Application granted granted Critical
Publication of JP4759368B2 publication Critical patent/JP4759368B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、半導体集積回路の設計方法に関するものである。 The present invention relates to a method for designing a semiconductor integrated circuit.

従来のLSI等の半導体集積回路の設計方法では、電圧降下量による遅延変動はライブラリに含まれ、電源配線の最適化により最大電圧降下量をライブラリの保証値内に抑える方法が一般的である。
このような半導体集積回路の設計方法では、チップ全体が最大電圧降下量で規定された電圧降下を起こしているとした設計となるため、マージンを持った設計になることが指摘されている。
そのため、タイミングの最適化時の論理セルサイジングやバッファインサーションが過剰になり、レイアウト時のゲート数の増加、チップサイズの増大等の問題がある。
タイミング改善時に論理セルサイジングやバッファインサーションを用いない技術としては特許文献1がある。
特許文献1は、電源電圧を変えることによりタイミング改善を行う発明があるが、予め電源電圧の異なる電源配線を行わなければならず、チップサイズ増大の問題を回避することができないという欠点があった。
そこで、上記した問題を回避するために、近年では最大電圧降下量が異なる複数のライブラリを用意し、各論理セルの電圧降下量を反映する設計方法等も提案されており、この設計方法によれば、各論理セルの電圧降下量に合ったライブラリから正確な遅延計算が可能となり、過剰なマージン設計になることを回避することができる。
さらに上記設計方法では電圧降下量を軽減することが出来れば論理セルの遅延が早くなり、タイミング収束度が向上する特徴がある。
電圧降下量を改善することによるタイミング収束度の向上を行う方法としては、特許文献2がある。
特開2003−345845公報 特開2000−99554公報
In a conventional method for designing a semiconductor integrated circuit such as an LSI, a delay variation due to a voltage drop amount is included in a library, and a method of keeping the maximum voltage drop amount within a guaranteed value of the library by optimizing power supply wiring is general.
It has been pointed out that such a semiconductor integrated circuit design method is designed with a margin because the entire chip has a voltage drop defined by the maximum voltage drop amount.
For this reason, logic cell sizing and buffer insertion during timing optimization become excessive, and there are problems such as an increase in the number of gates during layout and an increase in chip size.
Patent Document 1 discloses a technique that does not use logic cell sizing or buffer insertion when timing is improved.
Japanese Patent Application Laid-Open No. 2003-228867 has an invention that improves timing by changing a power supply voltage, but has a disadvantage that a power supply wiring having a different power supply voltage has to be performed in advance, and the problem of an increase in chip size cannot be avoided. .
Therefore, in order to avoid the above-mentioned problems, a plurality of libraries having different maximum voltage drop amounts have been prepared in recent years, and a design method that reflects the voltage drop amount of each logic cell has been proposed. For example, an accurate delay calculation can be performed from a library suitable for the voltage drop amount of each logic cell, and an excessive margin design can be avoided.
Further, the above design method has a feature that if the amount of voltage drop can be reduced, the delay of the logic cell becomes faster and the timing convergence is improved.
As a method of improving the timing convergence by improving the voltage drop amount, there is Patent Document 2.
JP 2003-345845 A JP 2000-99554 A

しかしながら、上記特許文献2は、論理的に低消費電力化を行い、回路全体の電圧降下量を抑制することによりタイミング収束性の向上を図るようにしているが、論理的に低消費電力化できなければ、電圧降下を抑制できずタイミング収束できないという欠点があった。
そこで、本発明は上記したような点を鑑みてなされたもので、論理的に低消費電力化できない回路においても、タイミングの収束性の向上を図ることが出来る半導体集積回路の設計方法を提供することを目的とする。
However, although Patent Document 2 logically reduces power consumption and suppresses the voltage drop amount of the entire circuit to improve timing convergence, it can logically reduce power consumption. Otherwise, there is a drawback that the voltage drop cannot be suppressed and the timing cannot be converged.
Therefore, the present invention has been made in view of the above points, and provides a semiconductor integrated circuit design method capable of improving timing convergence even in a circuit that cannot logically reduce power consumption. For the purpose.

上記目的を達成するため、請求項1に記載の発明は、半導体集積回路のレイアウト設計を行うための設計装置が実行する半導体集積回路の設計方法であって、半導体チップ全体、または、各論理セルの電圧降下量を解析する電圧降下量解析ステップと、前記電圧降下量を考慮して静的タイミング解析を行い、得られたタイミング情報に基づいて前記論理セルのクリティカルパスのタイミング収束性を解析する静的タイミング解析ステップと、前記静的タイミング解析ステップの結果がタイミング違反である場合に、前記電圧降下量の減少によるタイミング改善が可能であるか否かを判別する第1判別ステップと、前記電圧降下量の減少によるタイミング改善が可能である場合に配置位置の変更によりタイミング改善が可能であるか否かの判別を行う第2判別ステップと、前記判別ステップにより前記配置位置の変更によりタイミング改善が可能であると判別した場合に、前記クリティカルパスに含まれる論理セルを、前記電圧降下量が小さい位置へ配置変更する配置変更ステップと、を有することを特徴とする。
請求項2に記載の発明は、前記第2判別ステップにより前記配置位置の変更によりタイミング改善が可能でないと判別した場合に、前記クリティカルパスに含まれる論理セルの電源ラインを強化するステップを有することを特徴とする。
また請求項3に記載の発明は、前記第1判別ステップは、許容可能な到達時間が、前記静的タイミング解析ステップにおいて抽出されたクリティカルパスの各論理セルまでの電圧降下量を考慮した到達時間と電圧降下量なしの到達時間の間にあるか否かで判別することを特徴とする。
また請求項4に記載の発明は、前記第2判別ステップは、配置位置の変更が容易に行えるか否かを数値化した論理セルの移動容易性を基準として判別することを特徴とする。
また請求項5に記載の発明は、前記移動容易性は、チップ全体またはクリティカルパスに含まれる論理セルの平均電圧降下量を超える電圧降下量を持つ論理セルの数をクリティカルパスの総数で割った値に基づいて計算されることを特徴とする。
また請求項6に記載の発明は、前記論理セルの始点及び終点がフリップフロップである場合、前記論理セルの始点及び終点の電圧降下量に基づき、前記論理セルの移動による電圧降下量の改善を選択、もしくは、電源強化による電圧降下量の改善を選択することを特徴とする。
In order to achieve the above object, a first aspect of the present invention is a semiconductor integrated circuit design method executed by a design apparatus for designing a layout of a semiconductor integrated circuit, the entire semiconductor chip or each logic cell. A voltage drop amount analyzing step for analyzing the voltage drop amount of the battery, and performing a static timing analysis in consideration of the voltage drop amount, and analyzing the timing convergence of the critical path of the logic cell based on the obtained timing information A static timing analysis step; a first determination step for determining whether timing improvement is possible by reducing the voltage drop amount when the result of the static timing analysis step is a timing violation; and the voltage When the timing can be improved by reducing the amount of descent, it is determined whether the timing can be improved by changing the arrangement position. When it is determined that the timing can be improved by changing the arrangement position in the second determination step to be performed and the determination step, the logic cell included in the critical path is relocated to a position where the voltage drop amount is small. And an arrangement changing step.
The invention according to claim 2 has a step of strengthening a power line of a logic cell included in the critical path when it is determined in the second determination step that the timing cannot be improved by changing the arrangement position. It is characterized by.
According to a third aspect of the present invention, in the first determination step, an allowable arrival time is an arrival time in consideration of a voltage drop amount to each logic cell of the critical path extracted in the static timing analysis step. And whether it is between the arrival time without voltage drop amount or not.
According to a fourth aspect of the present invention, in the second determining step, whether or not the arrangement position can be easily changed is determined on the basis of the ease of movement of the logic cell that is digitized.
In the invention according to claim 5, the ease of movement is obtained by dividing the number of logic cells having a voltage drop amount exceeding the average voltage drop amount of the logic cells included in the entire chip or the critical path by the total number of critical paths. It is calculated based on a value.
According to a sixth aspect of the present invention, when the start point and the end point of the logic cell are flip-flops, the amount of voltage drop due to the movement of the logic cell is improved based on the voltage drop amount of the start point and end point of the logic cell. It is characterized by selecting or improving the amount of voltage drop by strengthening the power source.

本発明によれば、レイアウトのタイミング改善によるゲート数増加を最小限に抑えることが可能となり、最適なレイアウトの半導体集積回路の設計を行うことができる。
According to the present invention, an increase in the number of gates due to layout timing improvement can be minimized, and a semiconductor integrated circuit having an optimum layout can be designed .

以下、図面を参照しながら本発明の実施形態を説明する。
図1は、本発明の実施形態としての半導体集積回路の設計フローを示した図である。
半導体集積回路の設計を行う設計装置では、先ず、デザインルール、ライブラリ、及びネットリスト、タイミング制約の読み込みを行う(S1,S2)。次にフロアプランを実施してチップサイズ、ハードマクロの配置位置を決定する(S3)。この後、許容可能な電圧降下値を設定、またはライブラリに記述してある許容可能な電圧降下値を自動取得し、読み込んだネットリストを解析して電源配線を決定する(S4)。
次に、ステップS5として論理セルの配置処理を行う。論理セルの配置処理では電圧降下量を考慮した配置を行う。
ステップS5の配置処理としては、まずステップS5−1において、タイミング収束性と配線混雑度のみを考慮した初期配置を実施する。
次に、ステップS5−2において、電圧降下解析を行い、チップ全体の電圧降下量情報、各論理セルの電圧降下量情報を得るようにする。なお、チップ全体の電圧降下量情報や各論理セルの電圧降下量情報は外部より入力することも可能である。
次にステップS5−3において、電圧降下量を考慮可能なSTA(静的タイミング解析)を実施してタイミング情報を得ると共に、このタイミング情報よりクリティカルパスの認識を行う。なお、外部よりタイミング情報を入力してクリティカルパスを認識するようにしても良い。
ステップS5−4においては、上記ステップS5−1において得られた論理セルの配置情報、上記ステップS5−2の電圧降下量解析によって得られたチップ全体の電圧降下量情報及び各論理セルの電圧降下情報、上記ステップS5−3のSTAにより得られたクリティカルパス情報に基づいて、クリティカルパスを電圧降下量が小さな位置に優先的に配置する詳細配置処理を実施する。
ここで、これまで述べた上記ステップS5の配置処理をさらに詳細に説明する。
先ず、ステップS5−1における初期配置、及びステップS5−2の電圧降下解析は、ステップS5−3のSTAを実施するために必要となる。なお、先にも述べたが、外部からチップ全体、及び各論理セルの電圧降下量情報、タイミング情報を入力する場合は必要ない。
図2にステップS5−2の電圧降下解析結果を示す。
図2では、チップ中央の電圧降下量が最も大きく、外側へ向かって電圧降下量が小さくなっている。
図3はステップS5−3のSTA実施結果から抽出されたクリティカルパスに含まれる論理セル(A,B,C,D,E)の配置と、上記ステップS5−2において得られた電圧降下解析結果とを合わせて示した図である。
ステップS5−1における初期配置では電圧降下量を考慮した配置ではないため、クリティカルパスが電圧降下量の大きな位置に配置されてしまっていることが分かる。
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
FIG. 1 is a diagram showing a design flow of a semiconductor integrated circuit as an embodiment of the present invention.
In a design apparatus for designing a semiconductor integrated circuit, first, design rules, a library, a net list, and timing constraints are read (S1, S2). Next, a floor plan is executed to determine the chip size and hard macro placement position (S3). Thereafter, an allowable voltage drop value is set or an allowable voltage drop value described in the library is automatically acquired, and the read netlist is analyzed to determine the power supply wiring (S4).
Next, logic cell placement processing is performed as step S5. In the logic cell placement process, placement is performed in consideration of the voltage drop amount.
As the placement processing in step S5, first, in step S5-1, initial placement is performed considering only the timing convergence and the wiring congestion degree.
Next, in step S5-2, voltage drop analysis is performed to obtain voltage drop amount information for the entire chip and voltage drop amount information for each logic cell. The voltage drop information on the entire chip and the voltage drop information on each logic cell can be input from the outside.
Next, in step S5-3, STA (static timing analysis) capable of considering the voltage drop amount is performed to obtain timing information, and a critical path is recognized from this timing information. Note that the critical path may be recognized by inputting timing information from the outside.
In step S5-4, the logic cell arrangement information obtained in step S5-1, the voltage drop information of the entire chip obtained by the voltage drop analysis in step S5-2, and the voltage drop of each logic cell. Based on the information and the critical path information obtained by the STA in step S5-3, a detailed arrangement process for preferentially arranging the critical path at a position where the voltage drop amount is small is performed.
Here, the arrangement process of step S5 described so far will be described in more detail.
First, the initial arrangement in step S5-1 and the voltage drop analysis in step S5-2 are necessary to perform the STA in step S5-3. As described above, it is not necessary to input voltage drop amount information and timing information of the entire chip and each logic cell from the outside.
FIG. 2 shows the voltage drop analysis result of step S5-2.
In FIG. 2, the voltage drop amount at the center of the chip is the largest, and the voltage drop amount decreases toward the outside.
FIG. 3 shows the arrangement of logic cells (A, B, C, D, E) included in the critical path extracted from the STA implementation result in step S5-3, and the voltage drop analysis result obtained in step S5-2. FIG.
Since the initial arrangement in step S5-1 is not an arrangement that takes the voltage drop amount into consideration, it can be seen that the critical path has been arranged at a position where the voltage drop amount is large.

次に、図4を用いて電圧降下量を考慮したステップS5−4の詳細配置処理について説明する。
この場合、先ず、チップを一定の大きさの領域で区切る。次に全ての領域について領域内の平均電圧降下量を求める。この後、最大電圧降下量を何等分かした値を求め、各領域の平均電圧降下量と比較して、電圧降下量がどの範囲に入るか判別して各領域をグループ化する。例えば、最大電圧降下量10mVであった場合、2.5mV刻みでAAグループ(0〜2.5mV)、BBグループ(2.6〜5.0mV)、CCグループ(5.1〜7.5mV)、DDグループ(7.6〜10mV)の4つの範囲に分割し、各領域がどの範囲にはいるかでグループ分けを行う。この場合、AAグループが最も電圧降下量が少ないグループとなり、DDグループが最も電圧降下量が大きなグループとなる。
次に、ステップS5−3のSTA実施結果から抽出されたクリティカルパスに含まれる論理セル(A,B,C,D)の配置を行う。まず、タイミングと配線収束度から求められた最適な座標にクリティカルパスに含まれる論理セルを仮配置する。この時、論理セルAが仮配置位置を位置aとする。
次に、位置aから最も近い電圧降下量の小さなグループに含まれる領域を検索する。初期配置でのタイミング、配線収束度をある程度維持するために、ある一定の範囲で検索を行う。この範囲は、初期値として与えられた値を使用してもよいし、外部から入力することもできる。また、このクリティカルパスのタイミングが厳しい程、タイミングへの影響が少ない範囲で移動出来るように、初期値にこのパスのタイミング解析より得られたSLACK値との和をとってもよい。
この範囲内に含まれる最も電圧降下が低いグループの中で最も近い領域を領域aとする。
次に、領域a内に置かれている全ての論理セルの面積aを求める。次に、面積aにセルaの面積を足した面積bを求める。面積bが領域aの面積(面積c)より小さい場合は、セルaを領域a内に移動させる。面積bが領域a面積を超える場合には、位置aから次に近い電圧降下量の小さなグループに含まれる領域bを検索する。この処理をクリティカルパスに含まれる全ての論理セルについて行う。
これにより、最も電圧降下の小さな領域にクリティカルパスを配置することができる。この処理を全ての論理セルが配置されるまで行うことにより、クリティカルパスを電圧降下量が小さな位置に優先的に配置を行う詳細配置が可能である。
Next, the detailed arrangement process in step S5-4 in consideration of the voltage drop amount will be described with reference to FIG.
In this case, first, the chip is divided into regions of a certain size. Next, the average voltage drop amount in each region is obtained. Thereafter, a value obtained by dividing the maximum voltage drop amount by some amount is obtained, and compared with the average voltage drop amount of each region, a range in which the voltage drop amount falls is determined to group each region. For example, when the maximum voltage drop is 10 mV, AA group (0 to 2.5 mV), BB group (2.6 to 5.0 mV), CC group (5.1 to 7.5 mV) in 2.5 mV increments. And divided into four ranges of DD groups (7.6 to 10 mV), and grouping is performed according to which range each region is. In this case, the AA group is the group having the smallest voltage drop amount, and the DD group is the group having the largest voltage drop amount.
Next, the logic cells (A, B, C, D) included in the critical path extracted from the STA implementation result of step S5-3 are arranged. First, the logic cells included in the critical path are temporarily placed at the optimum coordinates obtained from the timing and the degree of convergence of the wiring. At this time, the logic cell A sets the temporary arrangement position as the position a.
Next, an area included in the small group with the smallest voltage drop amount from the position a is searched. In order to maintain the initial placement timing and wiring convergence to some extent, a search is performed within a certain range. For this range, a value given as an initial value may be used or input from the outside. In addition, the initial value may be summed with the SLACK value obtained from the timing analysis of this path so that the critical path timing is severer so that the movement can be performed in a range where the influence on the timing is small.
The closest region in the group with the lowest voltage drop included in this range is defined as region a.
Next, the area a of all the logic cells placed in the area a is obtained. Next, an area b obtained by adding the area a to the area a is obtained. If the area b is smaller than the area a (area c), the cell a is moved into the area a. When the area b exceeds the area a, the area b included in the group with the smallest voltage drop amount next to the position a is searched. This process is performed for all the logic cells included in the critical path.
Thereby, a critical path can be arranged in an area where the voltage drop is the smallest. By performing this process until all the logic cells are arranged, it is possible to perform detailed arrangement in which the critical path is preferentially arranged at a position where the voltage drop amount is small.

図4は、本実施形態の詳細配置(S5−4)によりクリティカルパスの論理セル(A’,B’,C’,D’,E)を電圧降下量の小さな位置に優先的に配置した結果を示す。
このように、クリティカルパスを電圧降下量の小さな位置に優先的に配置することにより、電圧降下量による遅延変動の影響を少なくし、タイミング収束性を向上させ、後述するタイミング最適化処理でのタイミング改善を容易にすることができる。
また電圧降下量を考慮した他の配置方法として、一般的にチップの中心部が最も電圧降下量が大きくなることが分かっている。そのため、クリティカルパスをチップの中心に配置しないように詳細配置を行うことも可能である。
上記したようなステップS5における配置処理を行った後は、図1に示すステップS6において、CTS(クロックツリーシンセシス)を実施し、続くステップS7においてタイミング最適化処理を実施する。
ステップS7のタイミング最適化処理としては、先ず、ステップS7−1において、電圧降下解析を実施する。この電圧降下解析では、チップ全体での解析の他に各論理セルの電圧降下量も解析する。次に、ステップS7−2において、STA(静的タイミング解析)を実施する(S7−2)。このSTAのタイミング情報よりクリティカルパスの認識を行う。
そして、続くステップS7−3において、回路全体のタイミングが収束しているか確認を行い、全てのタイミングを満たしていることを確認した場合(S7−3で「Y」)、ステップS8に進んで、次の処理である信号配線を実施する。
FIG. 4 shows a result of preferentially arranging critical path logic cells (A ′, B ′, C ′, D ′, E) at positions where the voltage drop amount is small by the detailed arrangement (S5-4) of this embodiment. Indicates.
In this way, by placing the critical path preferentially at a position where the voltage drop amount is small, the influence of delay variation due to the voltage drop amount is reduced, the timing convergence is improved, and the timing in the timing optimization processing described later is performed. Improvements can be facilitated.
As another arrangement method in consideration of the voltage drop amount, it has been found that the voltage drop amount is generally the largest at the center of the chip. Therefore, it is possible to perform detailed arrangement so that the critical path is not arranged at the center of the chip.
After performing the arrangement processing in step S5 as described above, CTS (clock tree synthesis) is performed in step S6 shown in FIG. 1, and timing optimization processing is performed in subsequent step S7.
As the timing optimization process in step S7, first, in step S7-1, a voltage drop analysis is performed. In this voltage drop analysis, in addition to the analysis of the entire chip, the voltage drop amount of each logic cell is also analyzed. Next, in step S7-2, STA (static timing analysis) is performed (S7-2). The critical path is recognized from the timing information of this STA.
In subsequent step S7-3, it is confirmed whether the timing of the entire circuit has converged. If it is confirmed that all the timings are satisfied ("Y" in S7-3), the process proceeds to step S8. The next process is signal wiring.

一方、ステップS7−3において否定結果が得られた場合、即ちタイミング違反が発生した場合は、ステップS7−4において、チップ全体の電圧降下量、タイミング違反が発生したクリティカルパスに含まれる各論理セルの電圧降下量、論理セルの配置位置情報から電圧降下量を軽減することによりタイミングを改善する事ができるか否かの判断を行う。
そして、電圧降下量を軽減することによりタイミング違反をなくすことができる判断した場合(S7−4で「Y」)、ステップS7−5において、より電圧降下量の小さい位置へ配置位置の変更が可能か否かの判断を行う。そして、より電圧降下量の小さい位置へ配置位置の変更が可能である場合(S7−5で「Y」)、ステップS7−6において、電圧降下量が小さい位置への配置変更を行うようにする。これによりタイミングの改善を行う。
また、ステップS7−5において、より電圧降下量の小さい位置へ配置位置の変更ができない場合(S7−5で「N」)、ステップS7−7において、電源配線の強化を行う。これによりタイミングの改善を行う。
また、ステップS7−4において、電圧降下量を軽減してもタイミングを改善することができない場合(S7−4で「N」)、ステップS7−8において、タイミング違反を起こしているパスのセルサイジングやバッファインサーション等による公知技術によるタイミング改善を行う。なお、ステップS7−6、S7−7、S7−8の処理を行った場合は、ステップS7−1に戻り、ステップS7−3においてタイミングが収束しているかどうか確認を行うようにしている。
なお、複数のパスでタイミング違反が起こっている場合は、パス毎にステップS7におけるタイミング最適化処理を実施する。
On the other hand, if a negative result is obtained in step S7-3, that is, if a timing violation occurs, in step S7-4, the voltage drop amount of the entire chip, each logic cell included in the critical path where the timing violation occurred It is determined whether the timing can be improved by reducing the voltage drop amount from the voltage drop amount and the logic cell arrangement position information.
If it is determined that the timing violation can be eliminated by reducing the voltage drop amount (“Y” in S7-4), the arrangement position can be changed to a position with a smaller voltage drop amount in step S7-5. Judge whether or not. If the arrangement position can be changed to a position where the amount of voltage drop is smaller (“Y” in S7-5), the arrangement is changed to a position where the amount of voltage drop is small in step S7-6. . This improves the timing.
In step S7-5, if the arrangement position cannot be changed to a position where the amount of voltage drop is smaller (“N” in S7-5), the power supply wiring is strengthened in step S7-7. This improves the timing.
If the timing cannot be improved even if the voltage drop amount is reduced in step S7-4 ("N" in S7-4), the cell sizing of the path causing the timing violation in step S7-8. And timing improvement by a known technique such as buffer insertion. In addition, when the process of step S7-6, S7-7, S7-8 is performed, it returns to step S7-1 and it is made to confirm whether the timing has converged in step S7-3.
If timing violations occur in a plurality of paths, the timing optimization process in step S7 is performed for each path.

ここで、ステップS7のタイミング最適化処理について詳細に説明する。
先ず、図5にステップS7−1の電圧降下解析におけるチップ全体の電圧降下解析結果を示す。この場合、チップ中央の電圧降下量が最も大きく、外側へ向かって順に電圧降下量が小さくなっている。なお、図5に示したチップ全体の電圧降下解析結果は上記図2と同様になる。
図6はステップS7−1による各論理セルの電圧降下量を考慮可能なSTAの結果得られたクリティカルパスの論理セル(A→B→C→D→E)の配置位置をチップの電圧降下量マップと対応させた図である。
この場合は、論理セルAと論理セルEの配置されている位置ではほとんど電圧降下はおきておらず、論理セルBも電圧降下量が小さいため、電圧降下による遅延変動は無視できるレベルであると考えられる。
一方、論理セルCは電圧降下量中の位置に配置されているため、電圧降下による遅延変動の影響を受けている。論理セルDは電圧降下量大の位置に配置されているため、電圧降下による遅延変動の影響を大きく受けている。
図7はクリティカルパスを構成する論理セルの遅延を示した図である。
論理セルAと論理セルEはフリップフロップであり、論理セルC,D,Eは同一のバッファセルである。各論理セルの電圧降下量を考慮可能なSTAを実施した結果、電圧降下の影響をほとんど受けていない論理セルCは1nsの遅延を持つのに対して、電圧降下量中の位置に配置された論理セルDでは3ns、電圧降下量大の位置に配置された論理セルEは5nsかかっている。実際には論理セルA,Eのフリップフロップの遅延も考慮に入れないといけないが説明の便宜上省略する。また、この回路のクロック周期は8nsである。
次に、ステップS7−3において回路のタイミングが収束しているか判断を行う。
クリティカルパス(A〜E)の遅延の合計は9nsであるが、クロック周期は8nsであるため、1nsのタイミング違反となる。即ち、図7に示す場合はタイミングが収束していないことになる。したがって、この場合は、ステップS7−4に進み、ステップS7−4において、電圧降下量の改善で収束可能か否かの判断を行う。
Here, the timing optimization processing in step S7 will be described in detail.
First, FIG. 5 shows the voltage drop analysis result of the entire chip in the voltage drop analysis of step S7-1. In this case, the amount of voltage drop at the center of the chip is the largest, and the amount of voltage drop becomes smaller toward the outside. The voltage drop analysis result of the entire chip shown in FIG. 5 is the same as that shown in FIG.
FIG. 6 shows the arrangement position of the logic cells (A → B → C → D → E) in the critical path obtained as a result of the STA that can consider the voltage drop of each logic cell in step S7-1. It is the figure matched with the map.
In this case, there is almost no voltage drop at the position where the logic cell A and the logic cell E are arranged, and the logic cell B has a small voltage drop amount, so that the delay variation due to the voltage drop is a level that can be ignored. Conceivable.
On the other hand, since the logic cell C is arranged at a position in the amount of voltage drop, it is affected by delay variation due to the voltage drop. Since the logic cell D is arranged at a position where the amount of voltage drop is large, the logic cell D is greatly affected by delay variation due to the voltage drop.
FIG. 7 is a diagram showing the delay of the logic cells constituting the critical path.
The logic cell A and the logic cell E are flip-flops, and the logic cells C, D, and E are the same buffer cell. As a result of performing the STA that can consider the voltage drop amount of each logic cell, the logic cell C that is hardly affected by the voltage drop has a delay of 1 ns, but is arranged at a position in the voltage drop amount. It takes 3 ns for the logic cell D and 5 ns for the logic cell E arranged at a position where the voltage drop amount is large. Actually, the delay of the flip-flops of the logic cells A and E must be taken into consideration, but it is omitted for convenience of explanation. The clock cycle of this circuit is 8 ns.
Next, in step S7-3, it is determined whether the circuit timing has converged.
The total delay of the critical paths (A to E) is 9 ns, but the clock cycle is 8 ns. That is, in the case shown in FIG. 7, the timing has not converged. Therefore, in this case, the process proceeds to step S7-4, and in step S7-4, it is determined whether or not convergence is possible by improving the voltage drop amount.

ここで、電圧降下量の改善でタイミングが収束可能か判断を行う手法の一例を示す。
図8は、STAにおいて抽出されたクリティカルパスの各論理セル(A→B→C→D→E)までの到達時間をグラフ化したものである。
電圧降下量の改善によるタイミング改善が可能な範囲は、各論理セルの電圧降下量を考慮した到達時間T1から電圧降下量なしの到達時間T2までの間となる。
この例では、許容可能な到達時間T3が電圧降下量を考慮した論理セルEまでの到達時間T1と電圧降下量なしの到達時間T2の間にあるため、電圧降下量の改善によるタイミングの改善が可能であると判断できる。
次に電圧降下量の改善によるタイミングの改善が可能な場合、配置位置変更によるタイミング改善が可能か否かの判断(S7−5)の処理について説明する。
配置位置変更によるタイミング改善を効果的に行うためには、配置位置変更が容易に行えることが必要であるため、論理セルの移動容易性を判断基準とすることができる。
まず、論理セルの移動容易性を下記のように定義する。
論理セルの移動容易性=(チップ全体の平均電圧降下量を超える電圧降下量を持つ論理セルの数/クリティカルパスの総数)
上記計算式では、論理セルの移動容易性を求める際に、チップ全体の平均電圧降下量を基準としているがクリティカルパスに含まれる論理セルの平均電圧降下量を基準としてもよい。
図9は、STAの結果得られたクリティカルパスの各論理セルの電圧降下量とチップ全体の平均電圧降下量を示したグラフである。
クリティカルパスにおいてチップ全体の平均電圧降下量を超える電圧降下量を持つ論理セルは、論理セルC,Dの2セルであり、クリティカルパスに含まれる論理セルの総数は5である。この場合、上記式から論理セルの移動容易性は0.4となる。
Here, an example of a method for determining whether the timing can be converged by improving the voltage drop amount is shown.
FIG. 8 is a graph of the arrival time to each logical cell (A → B → C → D → E) of the critical path extracted in the STA.
The range in which the timing can be improved by improving the voltage drop amount is from the arrival time T1 considering the voltage drop amount of each logic cell to the arrival time T2 without the voltage drop amount.
In this example, since the allowable arrival time T3 is between the arrival time T1 to the logic cell E in consideration of the voltage drop amount and the arrival time T2 without the voltage drop amount, the improvement of the timing due to the improvement of the voltage drop amount is achieved. It can be judged that it is possible.
Next, the process of determining whether or not the timing can be improved by changing the arrangement position when the timing can be improved by improving the voltage drop amount (S7-5) will be described.
In order to effectively improve the timing by changing the arrangement position, it is necessary to easily change the arrangement position. Therefore, the ease of movement of the logic cell can be used as a criterion.
First, the ease of movement of the logic cell is defined as follows.
Ease of movement of logic cells = (number of logic cells having a voltage drop exceeding the average voltage drop of the entire chip / total number of critical paths)
In the above calculation formula, when determining the mobility of the logic cell, the average voltage drop amount of the entire chip is used as a reference, but the average voltage drop amount of the logic cell included in the critical path may be used as a reference.
FIG. 9 is a graph showing the voltage drop amount of each logic cell in the critical path and the average voltage drop amount of the entire chip obtained as a result of STA.
Logic cells having a voltage drop amount exceeding the average voltage drop amount of the entire chip in the critical path are two logic cells C and D, and the total number of logic cells included in the critical path is five. In this case, the ease of movement of the logic cell is 0.4 from the above formula.

一方、図10では平均電圧降下量以上の電圧降下量を持つ論理セル(セルA,B,C,E)が4セルとなり、論理セルの移動容易性は0.8となる。
上記例において、例えば論理セルの移動容易性が0.5以下で電圧降下量の減少によるタイミング改善が可能と判断すると、図9に示すクリティカルパスは、配置位置変更によるタイミング改善可能であると判断でき、図10のクリティカルパスは配置位置変更によるタイミング改善ができないと判断することができる。なお、電圧降下量の減少によるタイミング改善が可能と判断する基準値(上記例では0.5)は異なる値でも構わない。また、他の方法としてはクリティカルパスの始点、終点の電圧降下量に着目する方法もある。一般的に論理セルの始点、終点はフリップフロップであることが多い。フリップフロップにはクロック端子があり、ステップS6のCTS処理にてSKEWを抑えたバッファツリーが張られることが多い。
フリップフロップを移動させることにより、ステップS6のCTS処理にて抑えたSKEWが崩れてしまう。このため、論理セルの始点、終点の電圧降下量が小さい場合、論理セルの移動による電圧降下量改善を選択し、論理セルの始点、終点の論理セルの電圧降下量が大きい場合、電源強化による電圧降下量の改善を選択する。
On the other hand, in FIG. 10, the number of logic cells (cells A, B, C, E) having a voltage drop amount equal to or greater than the average voltage drop amount is 4 cells, and the mobility of the logic cells is 0.8.
In the above example, for example, when it is determined that the ease of movement of the logic cell is 0.5 or less and the timing can be improved by reducing the voltage drop amount, the critical path shown in FIG. 9 is determined to be able to improve the timing by changing the arrangement position. The critical path in FIG. 10 can be determined to be unable to improve the timing by changing the arrangement position. Note that the reference value (0.5 in the above example) for determining that the timing can be improved by reducing the voltage drop amount may be a different value. As another method, there is a method that pays attention to the voltage drop amount at the start point and end point of the critical path. In general, the start and end points of logic cells are often flip-flops. The flip-flop has a clock terminal, and a buffer tree that suppresses the skew in the CTS processing in step S6 is often extended.
By moving the flip-flop, the SKEW suppressed in the CTS process in step S6 is destroyed. For this reason, when the voltage drop amount at the start and end points of the logic cell is small, the voltage drop amount improvement is selected by moving the logic cell. When the voltage drop amount at the logic cell at the start and end points of the logic cell is large, the power supply is strengthened. Select to improve the voltage drop.

タイミング改善の方法としては、電圧降下量が小さい位置への配置変更によるタイミング改善方法と、電源強化によるタイミング改善手法の2通りの手法が存在するどちらの手法でタイミング改善が行われるかはステップS7−5の処理によって選択される。
それぞれの改善例を以下に示す。
先ず、電圧降下量が小さい位置への配置変更によるタイミング改善例について説明する。
この場合は、まず、移動させる論理セルの認識を行う。
移動させる論理セルは、平均電圧降下量以上の電圧降下量を持つ論理セルある。
例えば上記図9では、平均電圧降下量以上の電圧降下量を持つ論理セルは論理セルC,Dである。よって、配置の変更を行う論理セルはC、Dであると認識できる。配置を行う論理セルの特定が完了した後、配置の変更を行う。
次に、図11を用いて論理セルDの配置の変更を行う処理について説明する。
まず、始点と終点である論理セルA,Eを結ぶ直線L1(図中破線)を引く。この直線は、始点と終点を結ぶ直線以外に移動させる論理セルの前後のセルを結ぶ直線でもよい。
次に配置の変更を行う論理セルDからこの直線と直行する直線L2を引く。
次いで、論理セルDを始点と終点に直行する直線方向へ一定距離移動させる。一定距離移動させたところで、その位置の電圧降下量を確認する。移動させる距離、回数は初期値で与えられたものを使用しても良いし、外部入力された値でもよい。また、移動させる論理セル(この例では論理セルD)から、始点と終点を結ぶ直線までの距離を基準に計算しても良い。さらに、再度同じ方向へ一定距離移動させ、その位置での電圧降下量を確認する。この移動を初期値で指定された回数、または、外部入力された回数実行し、電圧降下量が最も低い位置を特定する。
クリティカルパスの総配線長を長くさせないためには、移動範囲は論理セルDから始点と終点を結ぶ直線までの距離の2倍までとした方がよい。この範囲のセルの移動では、総配線長は短くなる傾向にある。
As a timing improvement method, there are two methods, a timing improvement method by changing the arrangement to a position where the amount of voltage drop is small, and a timing improvement method by strengthening the power source. It is selected by the process of -5.
Examples of improvements are shown below.
First, an example of timing improvement by changing the arrangement to a position where the voltage drop amount is small will be described.
In this case, first, the logic cell to be moved is recognized.
The logic cell to be moved is a logic cell having a voltage drop amount greater than the average voltage drop amount.
For example, in FIG. 9 described above, logic cells having a voltage drop amount greater than the average voltage drop amount are logic cells C and D. Therefore, it can be recognized that the logic cells whose arrangement is changed are C and D. After the identification of the logic cell to be arranged is completed, the arrangement is changed.
Next, processing for changing the arrangement of the logic cells D will be described with reference to FIG.
First, a straight line L1 (broken line in the figure) connecting the logic cells A and E that are the start point and the end point is drawn. This straight line may be a straight line connecting cells before and after the logic cell to be moved other than the straight line connecting the start point and the end point.
Next, a straight line L2 perpendicular to this straight line is drawn from the logic cell D whose arrangement is to be changed.
Next, the logic cell D is moved a certain distance in a straight line direction perpendicular to the start point and the end point. After moving a certain distance, check the voltage drop at that position. As the distance and the number of times of movement, those given as initial values may be used, or values externally input may be used. Further, the calculation may be performed based on the distance from the logic cell to be moved (logic cell D in this example) to the straight line connecting the start point and the end point. Furthermore, it is moved again by a certain distance in the same direction, and the amount of voltage drop at that position is confirmed. This movement is executed the number of times designated by the initial value or the number of times externally input, and the position with the lowest voltage drop is specified.
In order not to increase the total wiring length of the critical path, it is preferable that the movement range is up to twice the distance from the logic cell D to the straight line connecting the start point and the end point. When the cells in this range are moved, the total wiring length tends to be short.

次に、特定された電圧降下量が最も低い位置へセルD’を移動させる。この処理を移動させる論理セルとして認識した全ての論理セルに対して行う。
図12に論理セルC,Dの配置を変更した後の配置図を示す。
変更前の論理セルC,Dは電圧降下による遅延変動の影響を大きく受けていたが、電圧降下量による遅延変動が無視できるレベルの電圧降下量が小さい位置へ移動させている。これにより、電圧降下量を減少させ、タイミングを改善することが出来る。
図13に配置改善後の回路と論理セルC’,D’の配置変更後のSTA結果による遅延値を示す。論理セルC’とD’の電圧降下量は電圧降下量が小さく変化したため、共にセル遅延が1nsとなっている。論理セルA,B,Eの遅延は変化しない。回路のクロック周期は8nsと変わらないため、5nsタイミングがMETしている。
このように、電圧降下量による遅延変動の影響を受けている論理セルの配置位置を、電圧降下量が小さい位置に再配置することより、論理セルの遅延が小さくなりタイミング違反を解消することができる。これにより、ステップS7−3において、タイミングが収束している事が確認され、次のステップS8へと進むことになる。
Next, the cell D ′ is moved to a position where the specified voltage drop amount is the lowest. This process is performed for all the logic cells recognized as the logic cells to be moved.
FIG. 12 shows a layout after the layout of the logic cells C and D is changed.
The logic cells C and D before the change were greatly affected by the delay variation due to the voltage drop, but moved to a position where the voltage drop amount at a level where the delay variation due to the voltage drop amount can be ignored is small. Thereby, the amount of voltage drop can be reduced and timing can be improved.
FIG. 13 shows a delay value based on the STA result after the arrangement change of the circuit after the arrangement improvement and the logic cells C ′ and D ′. Since the voltage drop amount of the logic cells C ′ and D ′ has changed small, the cell delay is 1 ns. The delay of the logic cells A, B, and E does not change. Since the clock cycle of the circuit is not changed from 8 ns, the timing of 5 ns is MET.
In this way, by rearranging the arrangement position of the logic cell affected by the delay variation due to the voltage drop amount to a position where the voltage drop amount is small, the delay of the logic cell can be reduced and the timing violation can be eliminated. it can. Thereby, in step S7-3, it is confirmed that the timing has converged, and the process proceeds to the next step S8.

次に電源強化によるタイミング改善手法について説明する。
図14に電源配線強化後の電圧降下解析結果とクリティカルパスの配置を示す。電圧降下量による遅延変動の影響を大きく受けている論理セルC,Dの周囲の電源を強化したことにより電圧降下マップ電圧降下マップが変化している。電源強化の手法については、公知の技術を用いることとする。
図15に電源配線強化後の回路と電源強化後のSTA結果の論理セルの遅延値を示した図である。論理セルA,B,C,Eは、電圧降下量が変化していないため、遅延の変化は無い。論理セルDは論理セルDの周囲の電源強化により電圧降下量が小さくなり、電圧降下量が中の位置となったため、論理セルの遅延が3nsとなっている。回路のクロック周期8nsは変わらないため、1nsタイミングがMETしている。論理セルの電源配線を強化し、タイミング違反パスに含まれる論理セルの電圧降下量を小さくすることにより、論理セルの遅延が小さくなりタイミング違反を解消することができる。これにより、ステップS7−3において、タイミングが収束している事が確認され、次のステップS8へと進むことになる。
以上のように本実施形態ではクリティカルパスの電圧降下量に着目し、クリティカルパスの電圧降下量を制御することによりタイミング収束性を向上させ、レイアウトのタイミング改善によるゲート数増加を極力抑えた最適なレイアウトにより半導体集積回路を設計することができる。したがって、本実施形態の半導体集積回路の設計方法を用いて半導体集積回路を製造すれば、論理的に低消費電力化できない回路においても、タイミングの収束性の向上を図ることが出来る半導体集積回路を製造することができるようになる。
Next, the timing improvement method by power supply reinforcement will be described.
FIG. 14 shows the voltage drop analysis result after the power supply wiring is strengthened and the arrangement of critical paths. The voltage drop map and the voltage drop map are changed by strengthening the power supply around the logic cells C and D that are greatly affected by the delay variation due to the voltage drop amount. As a method for strengthening the power source, a known technique is used.
FIG. 15 is a diagram showing the delay value of the logic cell of the circuit after the power supply wiring enhancement and the STA result after the power enhancement. The logic cells A, B, C, and E have no change in delay because the amount of voltage drop does not change. The logic cell D has a reduced voltage drop due to the strengthening of the power supply around the logic cell D, and the voltage drop is in the middle position. Therefore, the delay of the logic cell is 3 ns. Since the circuit clock period 8 ns does not change, the 1 ns timing is MET. By strengthening the power supply wiring of the logic cell and reducing the voltage drop amount of the logic cell included in the timing violation path, the delay of the logic cell is reduced and the timing violation can be solved. Thereby, in step S7-3, it is confirmed that the timing has converged, and the process proceeds to the next step S8.
As described above, in this embodiment, focusing on the voltage drop amount of the critical path, the timing convergence is improved by controlling the voltage drop amount of the critical path, and the optimal number of gates due to the improvement of the layout timing is suppressed as much as possible. A semiconductor integrated circuit can be designed according to the layout. Therefore, if a semiconductor integrated circuit is manufactured by using the semiconductor integrated circuit design method of this embodiment, a semiconductor integrated circuit that can improve timing convergence even in a circuit that cannot logically reduce power consumption. Can be manufactured.

本発明の実施形態としての半導体集積回路の設計フローを示した図である。It is the figure which showed the design flow of the semiconductor integrated circuit as embodiment of this invention. 電圧降下の解析結果を示した図である。It is the figure which showed the analysis result of the voltage drop. クリティカルパスの配置例を示した図である。It is the figure which showed the example of arrangement | positioning of a critical path. クリティカルパスの改善後の配置例を示した図である。It is the figure which showed the example of arrangement | positioning after the improvement of a critical path. 電圧降下の解析結果を示した図である。It is the figure which showed the analysis result of the voltage drop. クリティカルパスの配置例を示した図である。It is the figure which showed the example of arrangement | positioning of a critical path. クリティカルパスを構成する論理セルの遅延を示した図である。FIG. 5 is a diagram showing delay of logic cells constituting a critical path. 電圧降下の減少により改善可能な遅延の説明図である。It is explanatory drawing of the delay which can be improved by reduction of a voltage drop. 各論理セルの電圧降下量と、平均電圧降下量を示したグラフ図である。It is the graph which showed the voltage drop amount of each logic cell, and the average voltage drop amount. 各論理セルの電圧降下量と、平均電圧降下量を示したグラフ図である。It is the graph which showed the voltage drop amount of each logic cell, and the average voltage drop amount. 各論理セルの移動方法の説明図である。It is explanatory drawing of the movement method of each logic cell. 各論理セルの移動後の配置を示した図である。It is the figure which showed the arrangement | positioning after the movement of each logic cell. クリティカルパスを構成する論理セルの遅延を示した図である。FIG. 5 is a diagram showing delay of logic cells constituting a critical path. 電源強化による改善例を説明する図である。It is a figure explaining the example of improvement by power supply reinforcement. クリティカルパスを構成する論理セルの遅延を示した図である。FIG. 5 is a diagram showing delay of logic cells constituting a critical path.

符号の説明Explanation of symbols

A,B,C,D,E…論理セル   A, B, C, D, E ... logic cells

Claims (6)

半導体集積回路のレイアウト設計を行うための設計装置が実行する半導体集積回路の設計方法であって、
半導体チップ全体、または、各論理セルの電圧降下量を解析する電圧降下量解析ステップと、
前記電圧降下量を考慮して静的タイミング解析を行い、得られたタイミング情報に基づいて前記論理セルのクリティカルパスのタイミング収束性を解析する静的タイミング解析ステップと、
前記静的タイミング解析ステップの結果がタイミング違反である場合に、前記電圧降下量の減少によるタイミング改善が可能であるか否かを判別する第1判別ステップと、
前記電圧降下量の減少によるタイミング改善が可能である場合に配置位置の変更によりタイミング改善が可能であるか否かの判別を行う第2判別ステップと、
前記判別ステップにより前記配置位置の変更によりタイミング改善が可能であると判別した場合に、前記クリティカルパスに含まれる論理セルを、前記電圧降下量が小さい位置へ配置変更する配置変更ステップと、
を有することを特徴とする半導体集積回路の設計方法
A design method of a semiconductor integrated circuit executed by a design apparatus for designing a layout of a semiconductor integrated circuit,
A voltage drop analysis step for analyzing the voltage drop of the whole semiconductor chip or each logic cell;
Static timing analysis in consideration of the amount of voltage drop, static timing analysis step of analyzing the timing convergence of the critical path of the logic cell based on the obtained timing information;
A first determination step of determining whether or not timing improvement by reducing the voltage drop amount is possible when the result of the static timing analysis step is a timing violation;
A second determination step of determining whether or not the timing can be improved by changing the arrangement position when the timing can be improved by reducing the voltage drop amount;
An arrangement changing step for changing the arrangement of the logic cells included in the critical path to a position where the amount of voltage drop is small when it is determined that the timing can be improved by changing the arrangement position in the determining step;
A method for designing a semiconductor integrated circuit , comprising:
前記第2判別ステップにより前記配置位置の変更によりタイミング改善が可能でないと判別した場合に、前記クリティカルパスに含まれる論理セルの電源ラインを強化するステップを有することを特徴とする請求項1記載の半導体集積回路の設計方法2. The method according to claim 1, further comprising a step of strengthening a power supply line of a logic cell included in the critical path when it is determined in the second determination step that the timing cannot be improved by changing the arrangement position. A method for designing a semiconductor integrated circuit. 前記第1判別ステップは、許容可能な到達時間が、前記静的タイミング解析ステップにおいて抽出されたクリティカルパスの各論理セルまでの電圧降下量を考慮した到達時間と電圧降下量なしの到達時間の間にあるか否かで判別することを特徴とする請求項1または2記載の半導体集積回路の設計方法In the first determination step, an allowable arrival time is between an arrival time in consideration of a voltage drop amount to each logic cell in the critical path extracted in the static timing analysis step and an arrival time without a voltage drop amount. 3. The method of designing a semiconductor integrated circuit according to claim 1, wherein the determination is made based on whether or not the semiconductor integrated circuit is present . 前記第2判別ステップは、配置位置の変更が容易に行えるか否かを数値化した論理セルの移動容易性を基準として判別することを特徴とする請求項1乃至3の何れか一項に記載の半導体集積回路の設計方法4. The determination according to claim 1, wherein in the second determination step, determination is made on the basis of ease of movement of the logic cell, which is a numerical value as to whether or not the arrangement position can be easily changed. 5. Design method of semiconductor integrated circuit. 前記移動容易性は、チップ全体またはクリティカルパスに含まれる論理セルの平均電圧降下量を超える電圧降下量を持つ論理セルの数をクリティカルパスの総数で割った値に基づいて計算されることを特徴とする請求項4記載の半導体集積回路の設計方法The ease of movement is calculated based on a value obtained by dividing the number of logic cells having a voltage drop amount exceeding the average voltage drop amount of logic cells included in the entire chip or the critical path by the total number of critical paths. The method of designing a semiconductor integrated circuit according to claim 4. 前記論理セルの始点及び終点がフリップフロップである場合、前記論理セルの始点及び終点の電圧降下量に基づき、前記論理セルの移動による電圧降下量の改善を選択、もしくは、電源強化による電圧降下量の改善を選択することを特徴とする請求項1記載の半導体集積回路の設計方法When the start point and end point of the logic cell are flip-flops, the improvement of the voltage drop amount due to the movement of the logic cell is selected based on the voltage drop amount of the start point and end point of the logic cell, or the voltage drop amount due to power strengthening 2. The method of designing a semiconductor integrated circuit according to claim 1, wherein the improvement is selected.
JP2005330871A 2005-11-15 2005-11-15 Semiconductor integrated circuit design method Expired - Fee Related JP4759368B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005330871A JP4759368B2 (en) 2005-11-15 2005-11-15 Semiconductor integrated circuit design method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005330871A JP4759368B2 (en) 2005-11-15 2005-11-15 Semiconductor integrated circuit design method

Publications (2)

Publication Number Publication Date
JP2007140708A JP2007140708A (en) 2007-06-07
JP4759368B2 true JP4759368B2 (en) 2011-08-31

Family

ID=38203513

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005330871A Expired - Fee Related JP4759368B2 (en) 2005-11-15 2005-11-15 Semiconductor integrated circuit design method

Country Status (1)

Country Link
JP (1) JP4759368B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8099702B2 (en) * 2008-07-30 2012-01-17 Synopsys, Inc. Method and apparatus for proximate placement of sequential cells
JP5701930B2 (en) * 2013-04-22 2015-04-15 株式会社東芝 Semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05216961A (en) * 1992-02-05 1993-08-27 Nec Corp Block arrangement modification system
JP3122595B2 (en) * 1995-03-23 2001-01-09 株式会社日立製作所 Power supply wiring method
JP2000099554A (en) * 1998-09-21 2000-04-07 Matsushita Electric Ind Co Ltd Logic block arranging method, delay library and delay time calculating method
JP2001273348A (en) * 2000-03-24 2001-10-05 Toshiba Microelectronics Corp Circuit production system, circuit production method and computer readable recording medium with circuit production program stored therein
JP2003296386A (en) * 2002-04-04 2003-10-17 Matsushita Electric Ind Co Ltd Method for designing semiconductor integrated circuit and semiconductor integrated circuit
JP2004096103A (en) * 2002-08-09 2004-03-25 Matsushita Electric Ind Co Ltd The design method and design equipment of semiconductor integrated circuit device
JP4130117B2 (en) * 2002-10-30 2008-08-06 富士通株式会社 Semiconductor device design method

Also Published As

Publication number Publication date
JP2007140708A (en) 2007-06-07

Similar Documents

Publication Publication Date Title
US8984470B2 (en) Method and apparatus for performing redundant via insertion during circuit design
US8677302B2 (en) Multi-threaded detailed routing
US7739098B2 (en) System and method for providing distributed static timing analysis with merged results
CN107066681B (en) Integrated circuit and computer-implemented method of manufacturing an integrated circuit
US8104012B1 (en) System and methods for reducing clock power in integrated circuits
US20240095434A1 (en) Layout context-based cell timing characterization
US20090172622A1 (en) Automatic block composition tool for composing custom blocks having non-standard library cells in an integrated circuit design flow
US20220092248A1 (en) Method for optimizing floor plan for an integrated circuit
US7657860B1 (en) Method and system for implementing routing refinement and timing convergence
US11003821B1 (en) Deterministic loop breaking in multi-mode multi-corner static timing analysis of integrated circuits
US10452807B1 (en) Method, system, and computer program product for implementing routing aware placement for an electronic design
US9047434B2 (en) Clustering for processing of circuit design data
JP2010257164A (en) Design method of semiconductor integrated circuit device, and program
JP4759368B2 (en) Semiconductor integrated circuit design method
US20160203254A1 (en) Methods for reducing congestion region in layout area of ic
US9672315B2 (en) Optimization for circuit migration
US7114139B2 (en) Device and method for floorplanning semiconductor integrated circuit
US7467368B1 (en) Circuit clustering during placement
US10339244B1 (en) Method and apparatus for implementing user-guided speculative register retiming in a compilation flow
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
US20110191740A1 (en) Zone-based optimization framework
US20090172619A1 (en) Method and system for implementing timing analysis and optimization of an electronic design based upon extended regions of analysis
Huang et al. Timing-driven cell placement optimization for early slack histogram compression
US20110041112A1 (en) Method and apparatus for generating a centerline connectivity representation
US11328109B2 (en) Refining multi-bit flip flops mapping without explicit de-banking and re-banking

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081016

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100914

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110531

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110606

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4759368

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140610

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees