JP2000099554A - Logic block arranging method, delay library and delay time calculating method - Google Patents

Logic block arranging method, delay library and delay time calculating method

Info

Publication number
JP2000099554A
JP2000099554A JP10266720A JP26672098A JP2000099554A JP 2000099554 A JP2000099554 A JP 2000099554A JP 10266720 A JP10266720 A JP 10266720A JP 26672098 A JP26672098 A JP 26672098A JP 2000099554 A JP2000099554 A JP 2000099554A
Authority
JP
Japan
Prior art keywords
delay
logic
power supply
value
supply voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10266720A
Other languages
Japanese (ja)
Inventor
Yoshiyuki Kawakami
善之 川上
Ryuichi Yamaguchi
龍一 山口
Nobufusa Iwanishi
信房 岩西
Masaaki Hirata
正明 平田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP10266720A priority Critical patent/JP2000099554A/en
Publication of JP2000099554A publication Critical patent/JP2000099554A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To improve operation timing while a power voltage drop phenomenon by a wiring resistor is considered and to reduce power consumption. SOLUTION: In a power voltage map generation process ST01, a power voltage map showing the distribution of operation power voltage owing to the wiring position of a power wiring in a cell arrangement area is generated. In an initial arrangement deciding process ST02 a cell is initialized for outline arrangement 1, a pad cell is arranged at the peripheral edge part of a chip, and a cell except for the pad cell in the center of the chip, for example. In an operation timing improvement process ST03, the operation timing of the respective cells, which considers the drop of power voltage is improved. In a cell group dividing process ST06 a cell group is divided. The improvement processing of timing and the reduction processing of power consumption are repeated for the prescribed number of times and the cells are minutely arranged in a minute arrangement process ST07.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路の
レイアウト設計における機能ブロック又は論理セルから
なる論理ブロックの配置方法、遅延ライブラリの作成方
法及び遅延時間算出方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of arranging functional blocks or logical blocks composed of logical cells, a method of creating a delay library, and a method of calculating a delay time in a layout design of a semiconductor integrated circuit.

【0002】[0002]

【従来の技術】近年はシステム・オン・シリコン時代と
呼ばれるように、1チップ上にシステムを構築するほど
に高集積化された半導体LSIチップが開発されてきて
おり、LSI設計に要する工数が増加の一途をたどって
いる。
2. Description of the Related Art In recent years, as is called the system-on-silicon era, a semiconductor LSI chip with a higher degree of integration has been developed as a system is built on one chip, and the number of steps required for LSI design has increased. Is going on.

【0003】レイアウト設計においても例外ではなく、
工数及び処理時間が指数関数的に増大してきており、回
路全体を一度にレイアウトするには膨大な時間及び労力
を必要とする。
[0003] The layout design is no exception.
Since man-hours and processing time are increasing exponentially, laying out the entire circuit at once requires enormous time and labor.

【0004】半導体LSIチップのレイアウト設計方式
には、ゲートアレイ方式、シー・オブ・ゲート方式又は
スタンダードセル方式等がある。これら各方式は、NA
ND及びOR等の基本論理セルやこれらを組み合わせた
複合セルをアレイ状にLSI基板上に配置し、所定の回
路接続に従って各セルに設けられた端子間を配線するこ
とによってLSIチップを構成する方法である。これら
の方法は設計の自動化が進んでおり、様々な設計支援シ
ステム(CADシステム)が開発されている。
As a layout design method of a semiconductor LSI chip, there are a gate array method, a sea of gate method, a standard cell method, and the like. Each of these methods is based on NA
A method of arranging an LSI chip by arranging basic logic cells such as ND and OR and composite cells combining them on an LSI substrate in an array and wiring between terminals provided in each cell according to a predetermined circuit connection It is. In these methods, design automation has been advanced, and various design support systems (CAD systems) have been developed.

【0005】本システムを用いてLSIの設計を行なう
基本手順を示す。
A basic procedure for designing an LSI using the present system will be described.

【0006】1)仮想的なチップ領域内に論理セルを配
置する(セル配置)。
1) A logic cell is arranged in a virtual chip area (cell arrangement).

【0007】2)チップ上の大まかな配線経路を決定す
る(概略配線)。
2) A rough wiring path on the chip is determined (schematic wiring).

【0008】3)概略配線に基づいて具体的なデザイン
ルールを満足するようにすべてのネット間の配線を決定
する(詳細配線)。
3) Wiring between all nets is determined based on the schematic wiring so as to satisfy a specific design rule (detailed wiring).

【0009】ここで、ネットとは等電位に接続しなけれ
ばならないセルの端子の集合である。例えば、ビルディ
ングブロック方式を用いてLSIを設計する場合に、ま
ず、チップ全体に対して機能ブロックをどの領域に配置
するかを決定するフロアプランを行なうことが多い。そ
の際に、レイアウトされていない機能ブロックの配置を
行なうと共に、これらの機能ブロックが外部と入出力を
行なう外部端子(浮動外部端子)の位置を決定するピン
配置を行なう。
Here, a net is a set of terminals of cells which must be connected to an equal potential. For example, when designing an LSI using the building block method, first, a floor plan for determining in which area functional blocks are to be arranged for the entire chip is often performed. At this time, the functional blocks that are not laid out are arranged, and the pins are arranged to determine the positions of the external terminals (floating external terminals) with which these functional blocks perform input / output with the outside.

【0010】半導体LSIの製造技術の進歩により、今
日ではディープサブミクロンと呼ばれる0.5μm未満
のデザインルールを用いて半導体LSIを設計する程に
なっている。これにより、回路内を信号が伝達する時
間、すなわち、信号遅延時間(以下、単に遅延時間と呼
ぶ。)に占める配線遅延とゲート遅延との関係におい
て、配線遅延がゲート遅延よりも大きくなり、LSI設
計において配線遅延を考慮することは必須の課題となっ
ている。この課題を解決するために、遅延時間を考慮し
ながら半導体LSI設計が行なわれるようになり、この
ような設計手法をタイミングドリブン設計法と呼ぶ。
[0010] Advances in semiconductor LSI manufacturing technology have led to the design of semiconductor LSIs using a design rule of less than 0.5 μm, which is called deep submicron today. As a result, the wiring delay becomes larger than the gate delay in the relationship between the wiring delay and the gate delay occupying the signal transmission time in the circuit, that is, the signal delay time (hereinafter simply referred to as delay time). It is an indispensable task to consider wiring delay in the design. In order to solve this problem, a semiconductor LSI is designed while considering a delay time. Such a design method is called a timing-driven design method.

【0011】以下、タイミングドリブン設計法の基本原
理を簡単に説明する。一般に、多くの半導体LSIは同
期回路として設計されている。この同期回路は、フリッ
プフロップ等からなる同期素子の集合及び該同期素子同
士をつなぐ組み合わせ回路の集合としてモデル化するこ
とができる。このとき、回路のクロックサイクル時間T
clk は、 Tclk ≧ max(Thold+TD-Q +Tdelay
skew) として定式化できる。ここで、Tholdは同期素子のセッ
トアップ・ホールド時間、TD-Q は同期素子内部の信号
入力から信号出力までの遅延時間、Tdelay は組み合わ
せ回路の遅延時間、及びTskewはクロックスキューであ
る。
Hereinafter, the basic principle of the timing driven design method will be briefly described. Generally, many semiconductor LSIs are designed as synchronous circuits. This synchronous circuit can be modeled as a set of synchronous elements such as flip-flops and a set of combinational circuits connecting the synchronous elements. At this time, the clock cycle time T of the circuit
clk is Tclk ≧ max ( Thold + TDQ + Tdelay +
T skew ). Here, T hold is the setup / hold time of the synchronous element, T DQ is the delay time from signal input to signal output inside the synchronous element, T delay is the delay time of the combinational circuit, and T skew is the clock skew .

【0012】さらに、遅延時間Tdelay は、ゲート同士
の間の遅延(一のゲートのファンインから該一のゲート
と接続される他のゲートのファンインまでの遅延)Td
の和によってモデル化できる。すなわち、 Td = Tintrinsic +Tload+Twire+Tpriv として定式化できる。ここで、Tintrinsic は配線負荷
に依存しないゲート遅延、Tloadは配線負荷全体(配線
容量及び入力ピン容量の総和)に関するゲート遅延、T
wireは配線形状等に依存する配線遅延、及びTprivは前
段の波形鈍りに依存する遅延である。
Further, the delay time T delay is a delay between gates (a delay from a fan-in of one gate to a fan-in of another gate connected to the one gate) T d.
Can be modeled by the sum of That is, it can be formulated as T d = T intrinsic + T load + T wire + T priv . Here, T intrinsic is a gate delay independent of the wiring load, T load is a gate delay relating to the entire wiring load (sum of wiring capacitance and input pin capacitance),
wire is a wiring delay that depends on the wiring shape and the like, and Tpriv is a delay that depends on the waveform blunting of the preceding stage.

【0013】さらにモデルを簡単化して、配線形状に依
存する配線遅延Twireを除く3項、すなわち、T
intrinsic 、Tload及びTprivをゲート遅延Tgateと置
くと、 Td = Tgate + Twire となる。
[0013] The model is further simplified to include three terms excluding the wiring delay T wire depending on the wiring shape, ie, T
If intrinsic , T load and T priv are put as the gate delay T gate , then T d = T gate + T wire .

【0014】このように、タイミングドリブン設計は、
以上説明したモデル化に基づいてゲート遅延と配線遅延
とを見積もることによって設計する手法である。なお、
詳細は「第27回デザイン オートメーション会議議事
録、1990、ページ573−579(Proc. of the 2
7th Design Automation Conference, 1990, pp.573-57
9)」又は「第31回デザイン オートメーション会議議
事録、1994、ページ327−332(Proc. of the
31st Design Automation Conference, 1994, pp.327-3
32)」に記されている。
Thus, the timing driven design is
This is a method of designing by estimating a gate delay and a wiring delay based on the modeling described above. In addition,
For details, see Proceedings of the 27th Design Automation Conference Minutes, 1990, pp. 573-579 (Proc. Of the 2
7th Design Automation Conference, 1990, pp.573-57
9) ”or“ The 31st Design Automation Conference Minutes, 1994, pp. 327-332 (Proc. Of the
31st Design Automation Conference, 1994, pp.327-3
32)).

【0015】次に、タイミングドリブン設計法に用いら
れる基本概念「スラック」について説明する。
Next, the basic concept “slack” used in the timing driven design method will be described.

【0016】スラック値(slack(x))は、信号
パス及び信号ネット等のタイミング制約(遅延値制約)
に対する余裕度を示す値であり、 slack(x)=Tr(x)−Ta(x) と定義される。ここで、Tr(x)は回路x(素子、信号
パス等)に設計上要求される到着時間を表わし、T
a(x)は回路xに実際に到着した(レイアウト図上で求
められた)時間である。従って、Ta(x)がTr(x)よ
りも大きい場合、すなわち、slack(x)が負とな
る場合は、タイミング違反が生じていることを意味す
る。
The slack value (slack (x)) is a timing constraint (delay value constraint) of a signal path, a signal net, and the like.
For a value indicating the margin is defined as slack (x) = T r ( x) -T a (x). Here, T r (x) represents the arrival time required by design for the circuit x (element, signal path, etc.),
a (x) is the time when it actually arrived at the circuit x (determined on the layout diagram). Therefore, when T a (x) is larger than T r (x), that is, when slack (x) is negative, it means that a timing violation has occurred.

【0017】タイミングドリブン設計法はすべてのスラ
ック値が正になるまで配線を短縮化して動作タイミング
を改善する。詳細は「第22回デザイン オートメーシ
ョン会議議事録、1985、ページ124−130(Pr
oc. of the 22nd Design Automation Conference, 198
5, pp.124-130)」に記述されている。
The timing-driven design method shortens the wiring until all slack values become positive to improve operation timing. For details, see “The 22nd Design Automation Conference Minutes, 1985, pp. 124-130 (Pr.
oc. of the 22nd Design Automation Conference, 198
5, pp. 124-130) ".

【0018】一方、遅延時間を考慮して論理ブロックの
配置を行なう方法はタイミングドリブン配置法と呼び、
PROUD法「第25回デザイン オートメーション会
議議事録、1988、ページ318−323(Proc. of
the 25th Design Automation Conference, 1988, pp.3
18-323)」、及びRITUAL法「アイトリプルイート
ランザクションズ オン サーキッツ アンド システム
ズ、1992、ページ825−840(Proc. IEEE Tra
nsactions on circuits and systems, 1992, pp.825-84
0)」、Gordian法「アイトリプルイー トラン
ザクションズ オン コンピュータ エイディッド デザイ
ン、1991、ページ356−365(Proc. IEEE Tra
nsactions on computer Aided Design, 1991, pp.356-3
65)」等が提案されている。
On the other hand, a method of arranging logical blocks in consideration of a delay time is called a timing-driven arranging method.
PROUD Law, Proceedings of the 25th Design Automation Conference Minutes, 1988, pp. 318-323 (Proc. Of
the 25th Design Automation Conference, 1988, pp.3
18-323) "and the RITUAL method" I Triple E Transactions on Circuits and Systems, 1992, pp. 825-840 (Proc. IEEE Tra
nsactions on circuits and systems, 1992, pp.825-84
0) ", the Gordian method," I Triple E Transactions on Computer Aided Design, 1991, pp. 356-365 (Proc. IEEE Tra
nsactions on computer Aided Design, 1991, pp.356-3
65) ”has been proposed.

【0019】これらの手法は最近数多く発表されてい
る、最小カット法と数理計画技法とを組み合わせた高速
アルゴリズムである。これらの手法は、高速解法の一つ
でもあり、評価関数は下記の式(1)に示すような2次
式が使われている。 Φ(x)=(xt×B×x + yt×B×y)/2 + ct×x + dt×y …(1) ここで、行列x及び行列yはモジュール(論理ブロッ
ク)の座標位置のベクタを表わし、行列ct及び行列d
tは固定モジュールの座標位置に対するベクタの転置行
列を表わし、行列xt及び行列ytは行列x及び行列y
のそれぞれの転置行列を表わしている。さらに、行列B
はモジュールの接続関係等に重みを持たせたラプラス行
列であり、動作タイミング(コストの重み付け)は該行
列Bに反映されて制御される。
These methods are high-speed algorithms which have been recently announced in many ways and combine a minimum cut method and a mathematical programming technique. These methods are also one of the high-speed solutions, and a quadratic expression as shown in the following expression (1) is used as the evaluation function. Φ (x) = (xt × B × x + yt × B × y) / 2 + ct × x + dt × y (1) Here, the matrix x and the matrix y are the coordinate positions of the module (logical block). Represents a vector, matrix ct and matrix d
t represents the transpose of the vector with respect to the coordinate position of the fixed module, and the matrices xt and yt are the matrices x and y
Represents the respective transposed matrices. Further, matrix B
Is a Laplace matrix in which the connection relations of modules are weighted, and the operation timing (cost weighting) is controlled by being reflected in the matrix B.

【0020】式(1)に示す2次式を解くこと(非線形
計画法)は1次式を解くこと(線形計画法)よりも計算
コストが小さいため、最近よく使われる手法である。し
かしながら、半導体LSIチップの配置結果は評価関数
が1次式である方が優れるという報告がなされており、
これに着目して式(1)を改良してバネ定数の概念を用
いた疑似2次式を用いる手法も報告されている(Gor
dian法「第28回デザイン オートメーション会議
議事録、1991、ページ427−432(Proc. of t
he 28th Design Automation Conference, 1991, pp.427
-432)」)。
Solving the quadratic equation shown in equation (1) (nonlinear programming) is a technique that is often used recently because the calculation cost is smaller than solving the linear equation (linear programming). However, it has been reported that the placement result of a semiconductor LSI chip is better when the evaluation function is a linear expression,
Focusing on this, there has been reported a method of improving equation (1) and using a pseudo-quadratic equation using the concept of a spring constant (Gor
Dian method, Proceedings of the 28th Design Automation Conference Minutes, 1991, pp. 427-432 (Proc. of t
he 28th Design Automation Conference, 1991, pp.427
-432) ").

【0021】本配置アルゴリズムの概略は、X軸とY軸
とを交互に 1)式(1)を用いて1次元の配置を求める、 2)1)の結果の適当な位置で配置領域を2分割する、 3)分けられた配置領域ごとに1)及び2)を適当な回
数繰り返す、である。
The outline of this arrangement algorithm is as follows. 1) The one-dimensional arrangement is obtained by using the equation (1) alternately on the X axis and the Y axis. And 3) repeating 1) and 2) an appropriate number of times for each divided arrangement area.

【0022】このようすを図12(a)〜(c)に示
す。図12(a)に示すように、半導体層チップ101
上の中央部に、論理セル等からなる複数のモジュール1
02が初期配置として重なるように配置されている。こ
こで、まず、式(1)の評価結果を用いて一次元配置を
求め、X軸と平行な第1の直線103Aを用いて配置領
域を分割する。次に、図12(b)に示すように、分割
された各領域でそれぞれ一次元配置を求め、Y軸と平行
な第2の直線103Bを用いて配置領域を再度分割す
る。次に、図12(c)に示すように、分割された各領
域でそれぞれ一次元配置を求め、X軸とそれぞれ平行な
第3の直線103C及びを第4の直線103Dを用いて
配置領域をさらに分割する。このように、X軸又はY軸
と平行な直線による領域の分割を交互に繰り返して、図
12(d)に示す最終的なモジュール配置を得る。
This is shown in FIGS. 12 (a) to 12 (c). As shown in FIG. 12A, the semiconductor layer chip 101
In the upper center, a plurality of modules 1 including logic cells and the like
02 are arranged so as to overlap as an initial arrangement. Here, first, a one-dimensional arrangement is obtained using the evaluation result of Expression (1), and the arrangement area is divided using a first straight line 103A parallel to the X axis. Next, as shown in FIG. 12B, a one-dimensional arrangement is obtained in each of the divided areas, and the arrangement area is divided again using a second straight line 103B parallel to the Y axis. Next, as shown in FIG. 12C, a one-dimensional arrangement is obtained in each of the divided areas, and an arrangement area is formed using a third straight line 103C and a fourth straight line 103D which are respectively parallel to the X axis. Divide further. In this way, the division of the area by the straight line parallel to the X axis or the Y axis is alternately repeated to obtain the final module arrangement shown in FIG.

【0023】ところで、半導体LSIチップは、高速動
作のみならず低消費電力化を図る設計が求められてい
る。半導体LSIチップで広く用いられる回路方式であ
るCMOS回路は、動作時にのみ電流が流れるため、消
費電力を抑えた回路を得られるという特徴がある。しか
しながら、CMOS回路とはいえ消費電力は増大の一途
をたどっている。
Incidentally, semiconductor LSI chips are required to be designed not only for high-speed operation but also for low power consumption. A CMOS circuit, which is a circuit method widely used in a semiconductor LSI chip, has a feature that a current with low power consumption can be obtained because current flows only during operation. However, power consumption continues to increase despite CMOS circuits.

【0024】半導体LSIチップにおけるCMOS回路
の消費電力Pは以下の式(2)で与えられる。
The power consumption P of the CMOS circuit in the semiconductor LSI chip is given by the following equation (2).

【0025】 P=Kp ×(CL×Vs ×Vdd+ISC×ΔtSC×Vdd)×fCLK +(IDC+ILEAK)×Vdd =Kp ×fCLK ×CL×Vs ×Vdd +Kp ×fCLK ×ISC×ΔtSC×Vdd +IDC×Vdd +ILEAK×Vdd …(2) ここで、Kp はスイッチング(遷移)確率を表わし、C
Lは負荷容量を表わし、Vs は信号振幅を表わし、Vdd
は電源電圧を表わし、ISCは貫通電流の平均値を表わ
し、ΔTSCは貫通電流が流れる貫通電流発生時間を表わ
し、fCLK はクロック周波数を表わし、IDCは差動増幅
器等の直流電流を表わし、ILEAKはリーク電流を表わし
ている。
[0025] P = K p × (CL × V s × V dd + I SC × Δt SC × V dd) × f CLK + (I DC + I LEAK) × V dd = K p × f CLK × CL × V s × V dd + K p × f CLK × I SC × Δt SC × V dd + I DC × V dd + I LEAK × V dd (2) where K p represents a switching (transition) probability and C
L represents load capacitance, V s represents signal amplitude, and V dd
Represents a power supply voltage, I SC represents an average value of a through current, ΔT SC represents a through current generation time during which a through current flows, f CLK represents a clock frequency, and I DC represents a DC current of a differential amplifier or the like. And I LEAK represents a leak current.

【0026】式(2)において、第1項は負荷の充放電
に要する電力を表わし、第2項はスイッチング時に流れ
る貫通電流による電力を表わしている。
In equation (2), the first term represents the power required for charging and discharging the load, and the second term represents the power due to the through current flowing during switching.

【0027】なお、第3項は直流電流が流れるメモリの
センスアンプ及びアナログ回路等を除いて一般的なCM
OS回路では無視でき、また、第4項はトランジスタの
しきい値電圧を極端に下げない限り、CMOS回路にお
いてはほとんど流れない。これにより、CMOS回路に
おいては、第3項及び第4項を無視できるため消費電力
Pは次のように近似できる。
The third term is a general CM except for a sense amplifier and an analog circuit of a memory through which a DC current flows.
The term can be ignored in the OS circuit, and the fourth term hardly flows in the CMOS circuit unless the threshold voltage of the transistor is extremely reduced. As a result, in the CMOS circuit, the third and fourth terms can be ignored, so that the power consumption P can be approximated as follows.

【0028】 P=Kp ×fCLK ×CL×Vs ×Vdd +Kp ×fCLK ×ISC×ΔtSC×Vdd …(3) この消費電力Pの表現式(3)は、各設計工程において
一般的に使われている。
[0028] P = K p × f CLK × CL × V s × V dd + K p × f CLK × I SC × Δt SC × V dd ... (3) expression of the power P (3), each designed Commonly used in the process.

【0029】低消費電力化を図るためには、トグル回数
(Kp ×fCLK )、負荷容量CL、信号振幅Vs 、電源
電圧Vdd、又は貫通電流発生時間ΔtSCを小さくするこ
とが必要である。
[0029] To reduce power consumption, the toggle count (K p × f CLK), the load capacitance CL, the signal amplitude V s, the power supply voltage V dd, or through current generation requires that time be reduced Delta] t SC It is.

【0030】低消費電力化を目的とした配置手法も提案
されている。代表例は、Pcube法「ヨーロピアン
デザイン オートメーション会議議事録、1993、ペ
ージ72−77(Proc. of the European Design Autom
ation Conference, 1993, pp.72-77)」である。これ
は、基本的にPROUD法、RITUAL法及びはGo
rdian法とほぼ同様の手法であるが、式(1)に示
す行列Bが若干異なる。すなわち、行列Bの要素に遷移
確率を用いており、これにより、遷移確率が大きいモジ
ュールの配線が短くなるような配置を実現できる。
An arrangement method for lowering power consumption has also been proposed. A typical example is the Pcube method “European
Minutes of the Design Automation Conference, 1993, pp. 72-77 (Proc. Of the European Design Autom
ation Conference, 1993, pp.72-77). This is basically the PROUD method, RITUAL method and Go
This is almost the same method as the rdian method, but the matrix B shown in equation (1) is slightly different. That is, the transition probabilities are used for the elements of the matrix B, whereby an arrangement in which the wiring of a module having a high transition probability is shortened can be realized.

【0031】また、低消費電力化の別の観点からの研究
がある。それは、いったんレイアウトを終えた後、さら
に最適化を行なうポストレイアウト最適化手法である。
基本技術は、ゲートリサイジング(ゲートサイズの縮
小)、バッファ挿入等による最適化、及びPNO法(Pla
cement based Net Optimization)である。
There is also research from another viewpoint of low power consumption. This is a post-layout optimization method for performing further optimization once the layout has been completed.
The basic technologies are gate resizing (reduction of gate size), optimization by buffer insertion, etc., and PNO method (Pla
cement based Net Optimization).

【0032】アルゴリズムの概略は、 1)タイミングドリブン配置を実行する、 2)すべてのセルのゲートサイズを最小にする、 3)タイミング違反を起こした遅延パスを検出し、PN
O法を用いて動作タイミングの改善を図る、である。
The outline of the algorithm is as follows: 1) Execute timing-driven placement; 2) Minimize the gate size of all cells; 3) Detect delay paths that have caused timing violations;
The operation timing is improved by using the O method.

【0033】報告によれば、最適化前と比べて約1/4
の低消費電力化を実現できている。
According to reports, it is about 1/4 compared to before optimization.
Power consumption can be reduced.

【0034】また、半導体LSIの電流量が電力量以上
に急速に増大するディープサブミクロン時代の半導体L
SIの場合は、LSI電源配線において、エレクトロマ
イグレーションによる断線又は電源配線抵抗により電圧
降下が生じることにより発生するセル等の動作速度の低
下が問題となる。
Further, the semiconductor LSI of the deep submicron era where the current amount of the semiconductor LSI rapidly increases beyond the electric power amount
In the case of SI, there is a problem in that the operation speed of cells or the like is reduced due to disconnection due to electromigration or a voltage drop due to power supply wiring resistance in the LSI power supply wiring.

【0035】従来、電源電圧降下を正確に見積もる技術
として、電源配線網を抵抗及び電流源回路網の等価回路
モデルを用いて解く手法が提案されている。電源配線網
を抵抗回路網とし、セル又はトランジスタを電流源とし
て扱う。関連する公知例として、XPOWER法(日経
BP社発行「最新ASIC設計技術 ’94」、ページ
87−92)又は「第29回デザイン オートメーショ
ン会議議事録、1992、ページ524-529(Proc.
of the 29th Design Automation Conference,1992, p
p.524-529)」がある。
Conventionally, as a technique for accurately estimating a power supply voltage drop, a method of solving a power supply wiring network using an equivalent circuit model of a resistor and a current source network has been proposed. The power supply wiring network is treated as a resistance network, and the cell or transistor is treated as a current source. Related known examples include the XPOWER method (“Latest ASIC Design Technology '94”, published by Nikkei BP, pages 87-92) or “The 29th Design Automation Conference Minutes, 1992, pages 524-529 (Proc.
of the 29th Design Automation Conference, 1992, p
p.524-529) ".

【0036】[0036]

【発明が解決しようとする課題】以上説明したように、
ディープサブミクロン時代の半導体LSIは配線抵抗に
よる電源電圧降下を無視できない。
As described above,
In a semiconductor LSI in the deep submicron era, a power supply voltage drop due to wiring resistance cannot be ignored.

【0037】しかしながら、前記従来のレイアウト設計
の自動配置配線に用いられる遅延計算モデルは、電源電
圧が一律に各機能ブロック又は論理セルに供給されると
仮定している。そのため、レイアウト設計後に厳密な電
源電圧降下のシミュレーションを行なって遅延時間を算
出した場合との食い違いが生じる可能性が高いため、設
計のやり直しが必要となる事態も生じてくる。
However, the delay calculation model used for the automatic layout and wiring in the conventional layout design assumes that the power supply voltage is uniformly supplied to each functional block or logic cell. Therefore, there is a high possibility that discrepancies with the case where the delay time is calculated by performing a strict simulation of the power supply voltage drop after the layout design is performed, and a situation in which the design needs to be redone may occur.

【0038】また、レイアウト設計時の低消費電力化と
して、Pcube法が消費電力化を図る配置として提案
されているが、以下のような問題がある。すなわち、遷
移確率を2次関数により直接評価しているため、配線長
の短縮に有効ではあるが、消費電力化という意味では不
十分である。例えば、遷移確率が大きいネットの消費電
力を過大に評価してしまうという問題である。
In order to reduce power consumption during layout design, the Pcube method has been proposed as an arrangement for reducing power consumption, but has the following problems. That is, since the transition probability is directly evaluated by a quadratic function, it is effective for shortening the wiring length, but is insufficient in terms of power consumption. For example, there is a problem that power consumption of a net having a high transition probability is excessively evaluated.

【0039】また、PNO法にも以下のような問題があ
る。すなわち、ポストレイアウトでセルの駆動能力の最
適化を図るため、配線に関する負荷容量の最適化が行な
われない。具体的には、前述の式(3)に示すピン容量
に対する負荷容量CLは低減されるものの、配線長の短
縮による負荷容量CLの低減が無視されており、また、
貫通電流発生時間ΔtSCは大きくなる。
The PNO method also has the following problem. That is, since the driving capability of the cell is optimized in the post layout, the optimization of the load capacitance related to the wiring is not performed. Specifically, although the load capacitance CL with respect to the pin capacitance shown in the above equation (3) is reduced, the reduction in the load capacitance CL due to the shortened wiring length is ignored.
The through current generation time Δt SC increases.

【0040】本発明は、前記従来の問題を解決し、半導
体集積回路のレイアウト設計時に、配線抵抗による電源
電圧降下現象を考慮しながら、動作タイミングを改善で
きるようにすることを第1の目的とし、低消費電力化を
図れるようにすることを第2の目的とする。
A first object of the present invention is to solve the above-mentioned conventional problems and to improve the operation timing while considering the power supply voltage drop phenomenon due to the wiring resistance when designing the layout of a semiconductor integrated circuit. It is a second object to reduce power consumption.

【0041】[0041]

【課題を解決するための手段】本発明に係る第1の論理
ブロック配置方法は、前記第1及び第2の目的を達成す
るもので、動作周波数を制約条件とするタイミングドリ
ブン配置法を用いて、半導体集積回路を構成する機能ブ
ロック又は論理セルからなる複数の論理ブロックを配置
領域に配置する論理ブロック配置方法を対象とし、配置
領域における、電源配線の配線位置に起因する論理ブロ
ックごとの動作電源電圧の分布を表わす電源電圧マップ
を作成する電源電圧マップ作成工程と、複数の論理ブロ
ックの初期配置を決定する初期配置決定工程と、電源電
圧マップの電圧値に基づいて複数の論理ブロックごとに
遅延時間を算出し、算出した遅延時間が短縮されるよう
に複数の論理ブロックを再配置することにより、複数の
論理ブロックの各動作タイミングを改善する動作タイミ
ング改善工程と、電源電圧マップの電圧値に基づいて複
数の論理ブロックごとに消費電力を算出し、算出した消
費電力値が小さくなるように論理ブロックの出力側の駆
動能力を下げることにより、複数の論理ブロックの各消
費電力を低減する消費電力低減工程と、再配置された複
数の論理ブロックを、それぞれが複数の論理ブロックを
含むように分割して複数の分割ブロック群を生成する分
割ブロック群生成工程と、複数のブロック群のブロック
ごとに、動作タイミング改善工程、消費電力低減工程及
びブロック群生成工程を順次繰り返す繰り返し工程と、
再配置された複数の論理ブロックを、互いに重ならない
ように且つ動作タイミングを満たすように再配置する詳
細配置工程とを備えている。
A first logical block arrangement method according to the present invention achieves the first and second objects and employs a timing-driven arrangement method in which the operating frequency is a constraint. The present invention is directed to a method of arranging a plurality of logic blocks including functional blocks or logic cells constituting a semiconductor integrated circuit in an arranging area. A power supply voltage map creating step of creating a power supply voltage map representing a voltage distribution; an initial arrangement determining step of determining an initial arrangement of a plurality of logic blocks; and a delay for each of the plurality of logic blocks based on the voltage values of the power supply voltage map. By calculating the time and rearranging the plurality of logical blocks so as to reduce the calculated delay time, each of the plurality of logical blocks is An operation timing improvement step for improving the operation timing, and calculating the power consumption for each of a plurality of logic blocks based on the voltage value of the power supply voltage map, and the driving capability of the output side of the logic block so that the calculated power consumption value is reduced. A power consumption reducing step of reducing the power consumption of each of the plurality of logical blocks, and dividing the rearranged plurality of logical blocks so as to include each of the plurality of logical blocks. Generating a divided block group, and an iterative step of sequentially repeating an operation timing improvement step, a power consumption reduction step, and a block group generation step for each block of the plurality of block groups,
And a detailed arrangement step of rearranging the rearranged plurality of logical blocks so that they do not overlap each other and satisfy operation timing.

【0042】第1の論理ブロック配置方法によると、論
理ブロックを配置するよりも前に、配置領域の電源配線
の配線位置に起因する論理ブロックごとの動作電源電圧
の分布を表わす電源電圧マップを作成するため、動作タ
イミング改善工程において、電源電圧マップに表われた
電圧値に基づいて論理ブロックごとに算出される遅延時
間には電圧降下現象が反映される。また、消費電力低減
工程において、電源電圧マップに表われた電圧値に基づ
いて論理ブロックごとに消費電力を算出するため、算出
された消費電力にも、配置領域における電源配線の配線
位置に起因する電圧降下現象が反映される。
According to the first logic block arranging method, before arranging the logic block, a power supply voltage map representing the distribution of the operating power supply voltage for each logic block due to the wiring position of the power supply wiring in the arrangement area is created. Therefore, in the operation timing improvement step, the voltage drop phenomenon is reflected in the delay time calculated for each logic block based on the voltage value shown in the power supply voltage map. Further, in the power consumption reduction step, the power consumption is calculated for each logical block based on the voltage value represented in the power supply voltage map. Therefore, the calculated power consumption also depends on the wiring position of the power supply wiring in the placement area. The voltage drop phenomenon is reflected.

【0043】第1の論理ブロック配置方法において、動
作タイミング改善工程が、複数の論理ブロックごとに、
それぞれが配置された位置に依存する電源電圧値に基づ
いて遅延時間を算出する遅延時間算出工程と、算出した
遅延時間に基づいて、複数の論理ブロックの仮配置を行
なう仮配置工程と、算出した遅延時間に基づいて、複数
の論理ブロックにおける等電位に接続される入出力端子
の集合からなる複数のネットのうち、制約条件に規制さ
れた遅延制約値を超えるネットを抽出する遅延値超過ネ
ット抽出工程と、複数の論理ブロックのうち、遅延制約
値を超えるネットを有する論理ブロックに対して、該論
理ブロックを遅延制約値に収まるように再配置する再配
置工程とを含む。
In the first logical block arranging method, the operation timing improving step includes the steps of:
A delay time calculating step of calculating a delay time based on a power supply voltage value depending on a position where each is arranged; and a tentative arrangement step of tentatively arranging a plurality of logical blocks based on the calculated delay time. Delay value excess net extraction for extracting a net exceeding a delay constraint value regulated by a constraint condition among a plurality of nets composed of a set of input / output terminals connected to equipotentials in a plurality of logic blocks based on the delay time. And a relocation step of relocating a logical block having a net exceeding the delay constraint value among the plurality of logic blocks so that the logical block falls within the delay constraint value.

【0044】第1の論理ブロック配置方法において、消
費電力低減工程が、複数の論理ブロックごとに、各論理
ブロックが有する出力ピンの電位がハイからロー又はロ
ーからハイに遷移する遷移確率を算出する遷移確率算出
工程と、複数の論理ブロックごとに、それぞれが配置さ
れた位置に依存する電源電圧値に基づいて消費電力を算
出する消費電力算出工程と、複数の論理ブロックにおけ
る等電位に接続される入出力端子の集合からなる複数の
ネットのうち、制約条件に規制された遅延制約値を超え
ないネットを抽出した後、算出した消費電力値を大きい
順に並べ、並べられた消費電力値が大きい順に該消費電
力値とそれぞれ対応する論理ブロックを選択し、選択さ
れた論理ブロックを該論理ブロックよりも駆動能力が小
さい論理ブロックと遅延制約値を超えないように交換す
る論理ブロック交換工程とを含む。
In the first logic block arrangement method, the power consumption reduction step calculates, for each of the plurality of logic blocks, a transition probability that the potential of the output pin of each logic block transitions from high to low or from low to high. A transition probability calculating step, a power consumption calculating step of calculating power consumption based on a power supply voltage value depending on a position where each of the plurality of logic blocks is disposed, and an equipotential in the plurality of logic blocks. After extracting a net that does not exceed the delay constraint value regulated by the constraint condition from a plurality of nets composed of a set of input / output terminals, the calculated power consumption values are arranged in descending order, and the arranged power consumption values are arranged in descending order. A logic block corresponding to each of the power consumption values is selected, and the selected logic block is a logic block having a lower driving capability than the logic block. And a logical block exchange step of exchanging so as not to exceed the delay constraint value.

【0045】本発明に係る第2の論理ブロック配置方法
は、前記第1の目的を達成するもので、動作周波数を制
約条件とするタイミングドリブン配置法を用いて、半導
体集積回路を構成する機能ブロック又は論理セルからな
る複数の論理ブロックを配置する論理ブロック配置方法
を前提とし、複数の論理ブロックの初期配置を決定する
初期配置決定工程と、複数の論理ブロックごとに、それ
ぞれが配置された位置に依存する電源電圧値に基づいて
遅延時間を算出する遅延時間算出工程と、算出した遅延
時間に基づいて、複数の論理ブロックの仮配置を行なう
仮配置工程と、算出した遅延時間に基づいて、複数の論
理ブロックにおける等電位に接続される入出力端子の集
合からなる複数のネットのうち、制約条件に規制された
遅延制約値を超えるネットを抽出する遅延値超過ネット
抽出工程と、複数の論理ブロックのうち、遅延制約値を
超えるネットを有する論理ブロックに対して、該論理ブ
ロックを遅延制約値に収まるように再配置する再配置工
程とを備えている。
A second logic block arranging method according to the present invention achieves the first object, and uses a timing-driven arranging method with an operating frequency as a constraint condition to configure a functional block constituting a semiconductor integrated circuit. Or, based on a logical block arrangement method of arranging a plurality of logical blocks composed of logical cells, an initial arrangement determining step of determining an initial arrangement of a plurality of logical blocks, and, for each of the plurality of logical blocks, at a position where each is arranged. A delay time calculating step of calculating a delay time based on a dependent power supply voltage value, a provisional arrangement step of temporarily arranging a plurality of logical blocks based on the calculated delay time; Of multiple nets consisting of a set of input / output terminals connected to equipotentials in the logic block of A delay value excess net extracting step of extracting a net, and a relocation step of relocating a logical block having a net exceeding a delay constraint value among a plurality of logical blocks so that the logical block falls within the delay constraint value. And

【0046】第2の論理ブロック配置方法によると、複
数の論理ブロックごとに、それぞれが配置された位置に
依存する電源電圧値に基づいて遅延時間を算出するた
め、論理ブロックごとに算出される遅延時間には電圧降
下現象が反映される。また、遅延制約値を超えるネット
を有する論理ブロックに対して、該論理ブロックを遅延
制約値に収まるように再配置するため、動作タイミング
違反を解消できる。
According to the second logic block arrangement method, the delay time is calculated for each of the plurality of logic blocks based on the power supply voltage value depending on the position where each of the logic blocks is arranged. The time reflects the voltage drop phenomenon. Further, since a logical block having a net exceeding the delay constraint value is rearranged so as to be within the delay constraint value, an operation timing violation can be eliminated.

【0047】本発明に係る第3の論理ブロック配置方法
は、前記第1及び第2の目的を達成するもので、動作周
波数を制約条件とするタイミングドリブン配置法を用い
て、半導体集積回路を構成する機能ブロック又は論理セ
ルからなる複数の論理ブロックを配置する論理ブロック
配置方法を対象とし、複数の論理ブロックの初期配置を
決定する初期配置決定工程と、複数の論理ブロックごと
に、各論理ブロックが有する出力ピンの電位がハイから
ロー又はローからハイに遷移する遷移確率を算出する遷
移確率算出工程と、複数の論理ブロックごとに、それぞ
れが配置された位置に依存する電源電圧値に基づいて消
費電力を算出する消費電力算出工程と、複数の論理ブロ
ックにおける等電位に接続される入出力端子の集合から
なる複数のネットのうち、制約条件に規制された遅延制
約値を超えないネットを抽出した後、算出した消費電力
値を大きい順に並べ、並べられた消費電力値が大きい順
に該消費電力値とそれぞれ対応する論理ブロックを選択
し、選択された論理ブロックを該論理ブロックよりも駆
動能力が小さい論理ブロックと遅延制約値を超えないよ
うに交換する論理ブロック交換工程とを備えている。
A third logic block layout method according to the present invention achieves the first and second objects, and configures a semiconductor integrated circuit by using a timing-driven layout method with operating frequency as a constraint. A logical block arranging method for arranging a plurality of logical blocks composed of functional blocks or logical cells to be performed, and an initial arrangement determining step of deciding an initial arrangement of the plurality of logical blocks; A transition probability calculating step of calculating a transition probability that the potential of the output pin has a transition from high to low or from low to high, and, for each of a plurality of logic blocks, consuming based on a power supply voltage value depending on a position where each is arranged. A power consumption calculation step of calculating power; and a plurality of nets each including a set of input / output terminals connected to equipotentials in a plurality of logic blocks. After extracting a net that does not exceed the delay constraint value regulated by the constraint condition, the calculated power consumption values are arranged in descending order, and the logic blocks respectively corresponding to the power consumption values are arranged in descending order of the arranged power consumption values. And a logic block exchange step of exchanging the selected logic block with a logic block having a smaller driving capability than the logic block so as not to exceed the delay constraint value.

【0048】第3の論理ブロック配置方法によると、論
理ブロックごとに、それぞれが配置された位置に依存す
る電源電圧値に基づいて消費電力を算出するため、算出
された消費電力にも、配置領域における電源配線の配線
位置に起因する電圧降下現象が反映される。さらに、遅
延制約値を超えないネットを抽出した後、算出した消費
電力値を大きい順に並べ、抽出されたネットの消費電力
値が大きい側から該消費電力値とそれぞれ対応する論理
ブロックを選択し、選択された論理ブロックを該論理ブ
ロックよりも駆動能力が小さい論理ブロックと遅延制約
値を超えない範囲で交換するため、動作タイミング違反
を起こすことなく消費電力を低減できる。
According to the third logic block arranging method, the power consumption is calculated for each logic block based on the power supply voltage value depending on the position where each logic block is arranged. , The voltage drop phenomenon caused by the wiring position of the power supply wiring is reflected. Furthermore, after extracting nets that do not exceed the delay constraint value, the calculated power consumption values are arranged in descending order, and the logic blocks respectively corresponding to the power consumption values are selected from the side with the higher power consumption value of the extracted nets, Since the selected logic block is replaced with a logic block having a smaller driving capability than the logic block within a range not exceeding the delay constraint value, power consumption can be reduced without causing an operation timing violation.

【0049】本発明に係る遅延ライブラリは、前記第1
の目的を達成するもので、機能ブロック又は論理セルか
らなる複数の論理ブロックにより構成される半導体集積
回路のタイミング設計における複数の論理ブロックごと
の遅延時間を算出するための遅延ライブラリ前提とし、
入力信号波形の傾き値、出力負荷容量値及び電源電圧値
を含む遅延計算関数を備えている。
The delay library according to the present invention comprises the first
The delay library for calculating the delay time for each of a plurality of logic blocks in the timing design of a semiconductor integrated circuit composed of a plurality of logic blocks consisting of functional blocks or logic cells,
A delay calculation function including a slope value of an input signal waveform, an output load capacitance value, and a power supply voltage value is provided.

【0050】本発明の遅延ライブラリの作成方法による
と、入力信号波形の傾き値、出力負荷容量値及び電源電
圧値を含む遅延計算関数を生成するため、該遅延計算関
数を用いると、電源電圧値に電源電圧降下量を含めてお
けば、該関数から出力される遅延時間には電源電圧降下
が反映される。
According to the delay library creating method of the present invention, a delay calculation function including a slope value of an input signal waveform, an output load capacitance value and a power supply voltage value is generated. , The power supply voltage drop is reflected in the delay time output from the function.

【0051】本発明に係る遅延時間算出方法は、前記第
1の目的を達成するもので、機能ブロック又は論理セル
からなる複数の論理ブロックにより構成される半導体集
積回路のタイミング設計における複数の論理ブロックご
との遅延時間を算出する遅延時間算出方法を前提とし、
複数の論理ブロックのうち、論理ブロックの動作を保証
する動作電源電圧値がライブラリに登録されていない未
登録論理ブロックを用いる際に、未登録論理ブロックの
動作電源電圧値よりも大きい第1の電源電圧値で動作を
保証する第1のライブラリと、未登録論理ブロックの動
作電源電圧値よりも小さい第2の電源電圧値で動作を保
証する第2のライブラリとを準備するライブラリ準備工
程と、第1のライブラリを用いて未登録論理ブロックの
第1の遅延時間を求めると共に、第2のライブラリを用
いて未登録論理ブロックの第2の遅延時間を求め、第1
の遅延時間及び第2の遅延時間から未登録論理ブロック
の動作電源電圧における遅延時間を算出する遅延時間算
出工程を備えている。
A delay time calculating method according to the present invention achieves the first object, and comprises a plurality of logic blocks in a timing design of a semiconductor integrated circuit constituted by a plurality of logic blocks including functional blocks or logic cells. Assuming a delay time calculation method that calculates the delay time for each
When using an unregistered logical block whose operating power supply voltage value that guarantees the operation of the logical block is not registered in the library among the plurality of logical blocks, the first power supply that is larger than the operating power supply voltage value of the unregistered logical block A library preparing step of preparing a first library that guarantees operation with a voltage value and a second library that guarantees operation with a second power supply voltage value smaller than the operation power supply voltage value of the unregistered logic block; The first library is used to determine a first delay time of an unregistered logical block, and the second library is used to determine a second delay time of an unregistered logical block.
A delay time calculating step of calculating a delay time at an operating power supply voltage of an unregistered logic block from the delay time and the second delay time.

【0052】本発明の遅延時間算出方法によると、未登
録論理ブロックの動作電源電圧値よりも大きい第1の電
源電圧値で動作を保証する第1のライブラリを用いて未
登録論理ブロックの第1の遅延時間を求めると共に、未
登録論理ブロックの動作電源電圧値よりも小さい第2の
ライブラリを用いて未登録論理ブロックの第2の遅延時
間を求め、第1の遅延時間及び第2の遅延時間から未登
録論理ブロックの動作電源電圧における遅延時間を算出
するため、論理ブロックの動作を保証する動作電源電圧
値と対応するライブラリが存在しない場合であっても、
所望の動作電源電圧における論理ブロックの遅延時間を
求めることができる。
According to the delay time calculating method of the present invention, the first library of the unregistered logic block is used by using the first library which guarantees the operation at the first power supply voltage value larger than the operation power supply voltage value of the unregistered logic block. And the second delay time of the unregistered logic block is obtained by using a second library smaller than the operating power supply voltage value of the unregistered logic block, and the first delay time and the second delay time are obtained. In order to calculate the delay time in the operation power supply voltage of the unregistered logic block from, even if there is no library corresponding to the operation power supply voltage value that guarantees the operation of the logic block,
The delay time of the logic block at a desired operating power supply voltage can be obtained.

【0053】[0053]

【発明の実施の形態】(第1の実施形態)本発明の第1
の実施形態について図面を参照しながら説明する。
(First Embodiment) A first embodiment of the present invention.
An embodiment will be described with reference to the drawings.

【0054】図1〜図3は本発明の第1の実施形態に係
る論理ブロック配置方法の説明図であって、図1は概略
フロー図を表わし、図2はスタンダードセル方式のセル
配置領域及び等価回路を表わし、図3はセル配置領域の
電源電圧マップを表わしている。ここでは、論理ブロッ
クとしてスタンダードセルを扱う。
1 to 3 are explanatory diagrams of a logic block arrangement method according to the first embodiment of the present invention. FIG. 1 shows a schematic flow chart, and FIG. 2 shows a cell arrangement area and a standard cell arrangement area. FIG. 3 shows an equivalent circuit, and FIG. 3 shows a power supply voltage map of a cell arrangement region. Here, standard cells are treated as logical blocks.

【0055】図1に示すように、セル配置工程は概略配
置1と詳細配置ST07との2段構成を採る。セル配置
の全体の戦略は、最小カット法と数理計画技法とを組み
合わせたPROUD法、RITUAL法、又はGord
ian法と同様であり、図12に示したような階層的処
理を行なう。
As shown in FIG. 1, the cell arranging process employs a two-stage configuration of a general arrangement 1 and a detailed arrangement ST07. The overall strategy for cell placement is a PROUD, RITUAL, or Gord method that combines a minimal cut method and a mathematical programming technique.
This is the same as the ian method, and performs hierarchical processing as shown in FIG.

【0056】まず、電源電圧マップ作成工程ST01に
おいて、セル配置領域における電源配線の配線位置又は
構造に起因する動作電源電圧の分布を表わす電源電圧マ
ップを作成する。従って、電源電圧分布には配線位置ご
との電圧降下量が反映されることになる。具体的に、図
2及び図3に基づいて半導体LSIと該LSIのセル配
置領域の電源電圧マップの作成方法を説明する。図2
(a)において、11はLSI上のセル配置領域を複数
に分割してなるブロックのうちの1つとする。ブロック
11には、4つのセル列12が設けられ、各セル列12
の長手方向の一方の側部に電源線13が配置され、他方
の側部にグランド線14が配置されている。このような
配線を持つブロック11を、XPOWER法等と同様
に、抵抗及び電流源回路網として表わすと、図2(b)
に示す等価回路が得られる。図2(b)に示すように、
各セル列12の各セルはグランド線に流れる電流源とな
り、該回路網を解いて各ノードNij(但し、1≦i,j
≦5の整数とする。)の電圧値を求めることにより、電
源電圧降下を評価できる。
First, in a power supply voltage map preparing step ST01, a power supply voltage map representing a distribution of operating power supply voltages due to a wiring position or a structure of a power supply wiring in a cell arrangement region is prepared. Therefore, the power supply voltage distribution reflects the amount of voltage drop for each wiring position. Specifically, a method of creating a power supply voltage map of a semiconductor LSI and a cell arrangement region of the LSI will be described with reference to FIGS. FIG.
In (a), reference numeral 11 denotes one of blocks obtained by dividing a cell arrangement region on an LSI into a plurality. The block 11 is provided with four cell columns 12 and each cell column 12
The power supply line 13 is disposed on one side in the longitudinal direction of the device, and the ground line 14 is disposed on the other side. When the block 11 having such wiring is represented as a resistor and a current source network in the same manner as in the XPOWER method or the like, FIG.
Is obtained. As shown in FIG.
Each cell of each cell column 12 becomes a current source flowing through the ground line, and is solved by the circuit network to each node N ij (1 ≦ i, j
It is an integer of ≦ 5. The power supply voltage drop can be evaluated by obtaining the voltage value of ()).

【0057】図3(a)に示すブロック11に対して各
セル列12をそれぞれ4つのスロット15に分割し、各
スロット15内にはそれぞれ一律の電圧値が印加される
と仮定する。図3(b)に示す16は電源電圧マップを
表わしており、図3(a)に示す丸印のスロット15は
図3(b)に示すスロットS11と対応する。スロットS
11における電圧値V11はv(S11)として表わす。
It is assumed that each cell row 12 is divided into four slots 15 for the block 11 shown in FIG. 3A, and that a uniform voltage value is applied to each of the slots 15. 16 shown in FIG. 3 (b) represents the power supply voltage map, slots 15 of a circle shown in FIG. 3 (a) corresponds to the slot S 11 shown in FIG. 3 (b). Slot S
The voltage value V 11 at 11 expressed as v (S 11).

【0058】なお、本実施形態においては、スロット1
5はブロック11を16分割(セル列12を4分割)し
て求めたが、これに限らず、隣り合うノードNijの電圧
値の差が所定値以内に収まる場合に、これらのノードN
ijを一の領域と定義する方法もある。
In this embodiment, the slot 1
5 is obtained by dividing the block 11 into 16 parts (the cell row 12 is divided into four parts). However, the present invention is not limited to this. When the difference between the voltage values of the adjacent nodes N ij falls within a predetermined value, these nodes N
There is also a method of defining ij as one region.

【0059】次に、図1に示す初期配置決定工程ST0
2において、概略配置1のための複数のセル(セル群)
の初期配置を行なう。セル群の配置位置は適当で良く、
一般的には、パッドセルをチップの周縁部に、それ以外
のセルをチップの中心部にそれぞれ配置する。
Next, an initial arrangement determination step ST0 shown in FIG.
2, a plurality of cells (cell group) for the schematic arrangement 1
Is performed. The arrangement position of the cell group may be appropriate,
Generally, the pad cells are arranged at the periphery of the chip, and the other cells are arranged at the center of the chip.

【0060】ここで、電源電圧マップ作成工程ST01
と初期配置決定工程ST02との順序を互いに入れ替え
てもよい。従って、最初に電源電圧マップ作成工程ST
01を行なう場合には、仮にランダム配置を行なうか、
適当な電流源を設定することにより電源電圧マップを作
成する。一方、最初に初期配置決定工程ST02を行な
う場合には、初期セル配置の情報に基づいて電源電圧マ
ップを作成すればよい。
Here, a power supply voltage map creation step ST01
And the order of the initial arrangement determination step ST02 may be interchanged. Therefore, first, the power supply voltage map creation step ST
When performing 01, random arrangement is performed, or
A power supply voltage map is created by setting an appropriate current source. On the other hand, when the initial placement determination step ST02 is performed first, a power supply voltage map may be created based on the information on the initial cell placement.

【0061】次に、動作タイミング改善工程ST03に
おいて、電源電圧降下を考慮した各セルの動作タイミン
グの改善を行なう。具体的には、図3(b)に示す電源
電圧マップ16を用いて、現状のセルの配置位置に対し
て遅延計算を行なって各セルの遅延時間を算出する。こ
のとき、配線は行なわれていないため配線経路は存在し
ないが、これを星型ネットモデル(star-connected net
model)を用いて配線を仮定し、算出した遅延時間に基づ
いてタイミングドリブン配置法を用いて各セルの配置を
改善する。
Next, in the operation timing improvement step ST03, the operation timing of each cell is improved in consideration of the power supply voltage drop. Specifically, a delay calculation is performed on the current cell arrangement position using the power supply voltage map 16 shown in FIG. 3B to calculate the delay time of each cell. At this time, since no wiring has been performed, there is no wiring route, but this is defined as a star-connected net model (star-connected net
model), and the placement of each cell is improved by using a timing-driven placement method based on the calculated delay time.

【0062】次に、消費電力低減工程ST04におい
て、電源電圧降下を考慮した消費電力値を求めることに
より、低消費電力化を図る。具体的には、セルごとに求
めた消費電力値を消費電力値が大きい順に並べ、該消費
電力値とそれぞれ対応する論理ブロックを選択し、消費
電力値が大きい順で且つタイミング違反が生じない範囲
で選択された論理ブロックを、セルライブラリに登録さ
れているうちの、該選択された論理ブロックよりも駆動
能力が小さいセル又は機能ブロックとタイミング違反が
生じない範囲で交換する。これにより、PNO法による
低消費電力化手法と同等の低消費電力化の効果を期待で
きる。
Next, in the power consumption reduction step ST04, the power consumption is determined by taking the power supply voltage drop into consideration, thereby reducing the power consumption. Specifically, the power consumption values obtained for each cell are arranged in descending order of the power consumption value, and the logic blocks corresponding to the power consumption values are selected. The selected logic block is replaced with a cell or a functional block having a smaller driving capability than the selected logic block, which is registered in the cell library, within a range where no timing violation occurs. Thus, an effect of reducing power consumption equivalent to the method of reducing power consumption by the PNO method can be expected.

【0063】また、動作タイミング改善工程ST03の
終了時点又は消費電力低減工程ST04の終了時点で電
源電圧マップを更新してもよい。それは、動作タイミン
グ改善工程ST03又は消費電力低減工程ST04を経
ることによって、電源電圧の状態が異なってしまう可能
性があるからである。
The power supply voltage map may be updated at the end of the operation timing improvement step ST03 or at the end of the power consumption reduction step ST04. This is because there is a possibility that the state of the power supply voltage will be different through the operation timing improvement step ST03 or the power consumption reduction step ST04.

【0064】次に、動作タイミング改善工程ST03と
消費電力低減工程ST04を1つの階層で行なった後、
分割判定工程ST05において、複数のセル(セル群)
を分割するか否かを判定する。所定の分割回数に達しな
い場合は、次の分割ブロック群生成工程としてのセル群
分割工程ST06において、図12に示した方法を用い
て、複数のセルを有する複数のブロック群に分割する。
一方、所定の分割回数に達した場合は、詳細配置工程S
T07に進む。なお、分割回数は、例えば、1つの分割
領域(スロット)にセルが数個以内となるまで繰り返し
てもよい。
Next, after performing the operation timing improvement step ST03 and the power consumption reduction step ST04 in one layer,
In the division determination step ST05, a plurality of cells (cell groups)
It is determined whether or not to divide. If the predetermined number of divisions is not reached, in a cell group division step ST06 as a next division block group generation step, division into a plurality of block groups having a plurality of cells is performed using the method shown in FIG.
On the other hand, if the predetermined number of divisions is reached,
Proceed to T07. Note that the number of divisions may be repeated, for example, until the number of cells in one divided area (slot) is within several.

【0065】次に、セル群分割工程ST06を終えた
後、分割された領域ごとに動作タイミング改善工程ST
03から再度処理を繰り返す。
Next, after completing the cell group dividing step ST06, the operation timing improving step ST is performed for each divided area.
The process is repeated again from 03.

【0066】分割判定工程ST05において分割が終了
と判定されたときには、詳細配置工程ST07におい
て、セル同士の重なりがなく、且つ、動作タイミングを
満足するように各セルを配置する。配置方法は適当で良
く、例えば、Pcube法を用いてもよい。
When it is determined in the division determination step ST05 that the division has been completed, in the detailed arrangement step ST07, the cells are arranged so that the cells do not overlap and the operation timing is satisfied. The arrangement method may be appropriate, and for example, the Pcube method may be used.

【0067】以上説明したように、本実施形態による
と、あらかじめ、配置領域を電源配線の位置に依存する
電圧分布を表わす電源電圧マップ16を作成する。これ
により、スロットごとに配線抵抗による電源電圧降下現
象が詳細に反映されると共に、タイミングドリブンで且
つ低消費電力化が可能なセル配置方法を実現できる。こ
れにより、タイミングドリブンによるセル配置におい
て、実際のLSIチップに、より近い条件でセル配置を
行なえるため、その結果、タイミングエラーを防止でき
る。このため、設計の手戻りをなくすことができ、工数
を削減できる。
As described above, according to the present embodiment, the power supply voltage map 16 representing the voltage distribution depending on the position of the power supply wiring in the placement area is created in advance. As a result, it is possible to realize a cell arrangement method that reflects the power supply voltage drop phenomenon due to the wiring resistance for each slot in detail, and is timing-driven and capable of reducing power consumption. Thus, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual LSI chip, and as a result, a timing error can be prevented. For this reason, rework of the design can be eliminated, and man-hours can be reduced.

【0068】(第2の実施形態)以下、本発明の第2の
実施形態について図面を参照しながら説明する。
(Second Embodiment) Hereinafter, a second embodiment of the present invention will be described with reference to the drawings.

【0069】図4〜図6は本発明の第2の実施形態に係
る論理ブロック配置方法の説明図であって、図4は概略
フロー図を表わし、図5は仮想配線モデルを表わし、図
6はスラックを説明するための論理ブロックの接続構成
(=スラックグラフ)を表わしている。図4は第1の実
施形態に係る論理ブロック配置方法の動作タイミング改
善工程ST03の具体例でもある。
FIGS. 4 to 6 are explanatory diagrams of a logic block arranging method according to the second embodiment of the present invention. FIG. 4 shows a schematic flowchart, FIG. 5 shows a virtual wiring model, and FIG. Represents a logical block connection configuration (= slack graph) for explaining slack. FIG. 4 is also a specific example of the operation timing improvement step ST03 of the logic block arrangement method according to the first embodiment.

【0070】まず、図4に示すように、遅延計算工程S
T31において、例えば、セル配置領域を複数のスロッ
トに分割し、スロットごとの電源電圧マップを用いて各
セルの遅延時間を算出する。但し、各セルの配置位置は
現階層を用い、第1階層であるなら初期配置を用いるこ
ととなる。また、現段階ではセル同士は配線されておら
ず、配線経路が存在しないため、星型ネットモデルを用
いてセル同士の配線を仮定する。
First, as shown in FIG.
At T31, for example, the cell arrangement area is divided into a plurality of slots, and the delay time of each cell is calculated using the power supply voltage map for each slot. However, the arrangement position of each cell uses the current hierarchy, and if it is the first hierarchy, the initial arrangement is used. Further, at this stage, the cells are not wired, and there is no wiring path. Therefore, the wiring of the cells is assumed using a star net model.

【0071】図5(a)は星型ネットモデルを表わして
いる。図5(a)に示すように、セル21〜24が配置
されているとする。また、出力側セル21のピンp1o
入力側セル22のピンp2i、入力側セル23のピンp3i
及び入力側セル24のピンp4iがネット25に属してい
る。このように、星型ネットモデルは、ネット25に属
するピンの重心位置25aが該ネット25の分岐点であ
ると仮定するRC(抵抗・容量)ネットワークモデルで
あり、図5(b)に図5(a)の星型ネットモデルと対
応するRCネットワークモデルを示す。
FIG. 5A shows a star net model. Assume that cells 21 to 24 are arranged as shown in FIG. Also, the pin p 1o of the output side cell 21,
The pin p 2i of the input side cell 22 and the pin p 3i of the input side cell 23
The pin p 4i of the input cell 24 belongs to the net 25. As described above, the star-shaped net model is an RC (resistance / capacity) network model that assumes that the center of gravity 25a of a pin belonging to the net 25 is a branch point of the net 25, and FIG. An RC network model corresponding to the star net model of FIG.

【0072】図5(b)において、重心25bからピン
n(但し、nは1〜4の整数とする。)までの距離をX
方向にLxnとし、Y方向にLynとすると、抵抗Rn 及び
容量Cn は以下に示す式(4)及び式(5)と表わすこ
とができる。なお、n=1がセル21に、n=2がセル
22に、n=3がセル23に、n=4がセル24にそれ
ぞれ対応する。
In FIG. 5B, the distance from the center of gravity 25b to the pin n (where n is an integer of 1 to 4) is X.
Assuming L xn in the direction and L yn in the Y direction, the resistance R n and the capacitance C n can be expressed by the following equations (4) and (5). Note that n = 1 corresponds to the cell 21, n = 2 corresponds to the cell 22, n = 3 corresponds to the cell 23, and n = 4 corresponds to the cell 24.

【0073】 Rn = rx ×Lxn+ry ×Lyn …(4) Cn = cx ×Lxn+cy ×Lyn …(5) ここで、rx、 ry はX方向とY方向とにおける配線の
シート抵抗を表わし、cx 、cy はX方向とY方向とに
おける単位面積当たりの配線容量を表わし、ピンp1o
出力抵抗をRs 、ピンp2i、p3i、p4iの入力ピン容量
をそれぞれC2i、C3i、C4iとすると、図5(b)のよ
うなRCネットワークが構成される。また、遅延計算の
計算方法は適当で良く、例えば、Elmore法を用い
てもよい(「ジャーナル オブ アプライド フィジック
ス、1948、ページ55−63(Journal of Applied
Physics, 1984, pp.55-63」)。
[0073] R n = r x × L xn + r y × L yn ... (4) C n = c x × L xn + c y × L yn ... (5) where, r x, r y are X and Y represents the sheet resistance of the wiring in the direction, c x, c y represents the wiring capacitance per unit area in the X and Y directions, the output resistance of the pin p 1o R s, pin p 2i, p 3i, p Assuming that the input pin capacitances of 4i are C 2i , C 3i and C 4i , respectively, an RC network as shown in FIG. 5B is formed. Further, the calculation method of the delay calculation may be appropriate, and for example, the Elmore method may be used (“Journal of Applied Physics, 1948, pp. 55-63 (Journal of Applied
Physics, 1984, pp.55-63 ").

【0074】次に、図4に示すセル仮配置工程ST32
において、タイミングドリブン配置法を用いてセルを仮
配置する。すなわち、数理計画法、及びGordian
法と同一の擬似2次計画法を用いる。また、タイミング
ドリブン戦略のためにスラックの概念を導入する。
Next, a temporary cell placement step ST32 shown in FIG.
, Cells are provisionally arranged using a timing-driven arrangement method. That is, mathematical programming and Gordian
The same pseudo quadratic programming as the method is used. We also introduce the concept of slack for timing driven strategies.

【0075】以下、図6(a)に基づいてスラックの基
本的な概念を説明する。図6(a)は論理ブロックとし
ての論理モジュールMn (但し、nはn=1〜9とす
る。)を含む回路の一部を示すスラックグラフである。
図6(a)において、31が論理モジュールMn を表わ
し、無向枝は論理モジュールMn 同士の接続関係を表わ
し、論理モジュールMn に記されている整数dn はモジ
ュール内遅延値を表わし、無向枝上に記されているwn
は配線遅延値を表わしている。
Hereinafter, the basic concept of slack will be described with reference to FIG. FIG. 6A is a slack graph showing a part of a circuit including a logic module M n (where n = 1 to 9) as a logic block.
In FIG. 6 (a), 31 represents a logical module M n, undirected represents a connection relation between the logic modules M n, an integer d n which is written in the logic module M n represents a module delay value , W n written on undirected branches
Represents a wiring delay value.

【0076】信号の伝達はプライマリインプットPim
らプライマリアウトプットPom(但し、m=1,2,3
とする。)に流れるとし、ここでの、パス制約遅延値は
25とする。
The signal is transmitted from the primary input P im to the primary output P om (where m = 1, 2, 3
And ), And the path constraint delay value here is 25.

【0077】次に、本回路における実際のパス遅延(累
積遅延)値を求める。パス遅延値の求め方は、任意の頂
点に到達するまでの遅延時間のうちの最長遅延時間を求
める。例えば、モジュールM1 までのパス遅延値は、プ
ライマリインプットPi1から該モジュールM1 までに要
する時間が0であるため、モジュール内遅延値3との和
を取って、モジュールM1 までの遅延値がパス遅延値
(a1 )=0+3=3となる。
Next, an actual path delay (cumulative delay) value in this circuit is obtained. The path delay value is determined by determining the longest delay time among the delay times required to reach an arbitrary vertex. For example, the path delay value to the module M 1, since the time required from the primary input P i1 to the module M 1 is zero, taking the sum of the module delay value 3, the delay value until the module M 1 Is the path delay value (a 1 ) = 0 + 3 = 3.

【0078】同様にして、プライマリインプットPi2
らモジュールM2 までの遅延値が、パス遅延値(a2
=0+2=2となり、プライマリインプットPi3からモ
ジュールM3 までのパス遅延値(a3 )=0+4=4と
なる。
Similarly, the delay value from the primary input P i2 to the module M 2 is the path delay value (a 2 )
= 0 + 2 = 2, and the path delay value (a 3 ) from the primary input Pi 3 to the module M 3 = 0 + 4 = 4.

【0079】次に、プライマリインプットPi1又はプラ
イマリインプットPi2からモジュールM4 までのパス遅
延値を求める。モジュールM1 〜モジュールM4 までと
モジュールM2 〜モジュールM4 までとの2経路が存在
するため、モジュールM1 〜モジュールM4 までのパス
遅延値は、配線遅延値が5でモジュールM4 の遅延値が
2であることから、モジュールM1 〜モジュールM4
でのパス遅延値は3+5+2=10となる。
Next, a path delay value from the primary input P i1 or the primary input P i2 to the module M 4 is obtained. Since 2 paths of up module M 1 ~ module M 4 to the modules M 2 ~ module M 4 are present, the path delay value to the module M 1 ~ module M 4, the wiring delay value of 5 in the module M 4 since the delay value is 2, the path delay value to the module M 1 ~ module M 4 is 3 + 5 + 2 = 10.

【0080】一方、モジュールM2 〜モジュールM4
でのパス遅延値は、配線遅延値が9でモジュールM4
遅延値が2であることから、モジュールM2 〜モジュー
ルM4 までのパス遅延値は2+9+2=13となる。従
って、モジュールM4 までのパス遅延値は該モジュール
4 まで到達する遅延値のうちの最大とするため、 モジュールM4 までのパス遅延値(a4 ) =max(モジュールM1 〜モジュールM4 までのパス遅延値, モジュールM2 〜モジュールM4 までのパス遅延値) =max(3+5+2, 2+9+2) =13 となる。
On the other hand, since the path delay values of the modules M 2 to M 4 are 9 and the delay value of the module M 4 is 2, the path delay values of the modules M 2 to M 4 are obtained. Is 2 + 9 + 2 = 13. Accordingly, since the path delay value to the module M 4 is that the maximum of the delay value that reaches the module M 4, path delay value to the module M 4 (a 4) = max ( module M 1 ~ module M 4 , The path delay value from module M 2 to module M 4 ) = max (3 + 5 + 2, 2 + 9 + 2) = 13.

【0081】同様にして、 モジュールM5 までのパス遅延値(a5 ) =max(モジュールM1 〜モジュールM5 までのパス遅延値, モジュールM2 〜モジュールM5 までのパス遅延値, モジュールM3 〜モジュールM5 までのパス遅延値) =max(3+7+3, 2+5+3, 4+10+3) =17 となり、 モジュールM6 までのパス遅延値(a6 ) =max(モジュールM2 〜モジュールM6 までのパス遅延値, モジュールM3 〜モジュールM6 までのパス遅延値) =max(2+9+1, 4+5+1) =12 となる。[0081] Similarly, the path delay value to the module M 5 (a 5) = max ( path delay value to the module M 1 ~ module M 5, module M 2 ~ module path delay value to the M 5, module M 3 path delay value of up to ~ module M 5) = max (3 + 7 + 3, 2 + 5 + 3, 4 + 10 + 3) = 17 , and the path delay value to the module M 6 (a 6) = max ( path delay from module M 2-module M 6 value, the module M 3 ~ path delay value to the module M 6) = max (2 + 9 + 1, 4 + 5 + 1) = 12.

【0082】さらに、 モジュールM7 までのパス遅延値(a7 ) =max(モジュールM1 〜モジュールM7 までのパス遅延値, モジュールM4 〜モジュールM7 までのパス遅延値, モジュールM5 〜モジュールM7 までのパス遅延値) =max(3+7+1, 13+11+1, 17+6+1) =25 となり、 モジュールM8 までのパス遅延値(a8 ) =max(モジュールM3 〜モジュールM8 までのパス遅延値, モジュールM5 〜モジュールM8 までのパス遅延値, モジュールM4 〜モジュールM8 までのパス遅延値) =max(4+10+1, 13+11+1, 17+5+1) =25 となり、 モジュールM9 までのパス遅延値(a9 ) =max(モジュールM4 〜モジュールM9 までのパス遅延値, モジュールM5 〜モジュールM9 までのパス遅延値, モジュールM6 〜モジュールM9 までのパス遅延値) =max(13+11+2, 17+8+2, 12+5+2) =27 となる。[0082] In addition, the path delay value to the module M 7 (a 7) = max ( path delay value to the module M 1 ~ module M 7, a path delay value to the modules M 4 ~ module M 7, the module M 5 ~ module path delay value to the M 7) = max (3 + 7 + 1, 13 + 11 + 1, 17 + 6 + 1) = 25 , and the path delay value to the module M 8 (a 8) = max ( path delay value to the modules M 3 ~ module M 8, path delay value to the modules M 5 ~ module M 8, the path delay value to the modules M 4 ~ module M 8) = max (4 + 10 + 1, 13 + 11 + 1, 17 + 5 + 1) = 25 , and the path delay value to the module M 9 (a 9 ) = Max (path delay value from module M 4 to module M 9 , from module M 5 to module M 9) , The path delay value from module M 6 to module M 9 ) = max (13 + 11 + 2, 17 + 8 + 2, 12 + 5 + 2) = 27.

【0083】以上のことから、パス制約遅延値が25で
あるので、プライマリアウトプットPomにおけるsla
ck(Pom)は、それぞれ、 slack(Po1)=25−25=0 slack(Po2)=25−25=0 slack(Po3)=25−27=−2 となる。
[0083] From the foregoing, since the path constraints delay value is 25, sla in the primary output P om
ck ( Pom ) becomes slack ( Po1 ) = 25-25 = 0 slack ( Po2 ) = 25-25 = 0 slack ( Po3 ) = 25-27 = -2, respectively.

【0084】このように、slack(Po3)が最小値
を取るため、クリティカルパスがモジュールM3 →M5
→M9 となる。その上、スラック値は負値を取るため、
タイミング違反が生じていることになる。このタイミン
グ違反を解消するためには、モジュールM3 〜モジュー
ルM5 までの配線、又はモジュールM5 〜モジュールM
9 までの配線のいずれかを短くしなければならないこと
が分かる。
As described above, since the slack (P o3 ) takes the minimum value, the critical path is changed to the module M 3 → M 5
→ the M 9. In addition, the slack value is negative,
This means that a timing violation has occurred. To eliminate this timing violation modules M 3 ~ module M to 5 wiring, or modules M 5 ~ module M
It can be seen that any of the wires up to 9 must be shortened.

【0085】図4のフローの説明に戻る。Returning to the description of the flow of FIG.

【0086】次に、図4に示すタイミング違反ネット抽
出工程ST33において、セル仮配置工程ST32の仮
配置結果に対してタイミング違反が生じているネットを
抽出する。抽出方法は前述した通りであり、図6に示し
たようなスラックグラフを用いることにより、容易に且
つ確実に求めることができる。
Next, in a timing violation net extraction step ST33 shown in FIG. 4, a net in which a timing violation has occurred with respect to the temporary placement result in the cell temporary placement step ST32 is extracted. The extraction method is as described above, and can be easily and reliably obtained by using a slack graph as shown in FIG.

【0087】次に、仮配置改善工程ST34において、
タイミング違反のセルを移動させてネットの配線長の短
縮を行なうことにより、タイミング違反が生じているネ
ット数を低減する。例えば、図6(b)に示すクリティ
カルパスである、モジュールM3 →モジュールM5 →モ
ジュールM9 においてタイミングを改善するためには、
モジュールM3 →モジュールM5 を含むネットと、モジ
ュールM5 →モジュールM9 を含むネットとの少なくと
も一方の配線長を短縮すれば良い。例えば、モジュール
3 及びモジュールM5 のうち、出力駆動能力が小さい
方のモジュールを短縮した方が効率的である。それは、
より高駆動能力のモジュールであるなら、配線長を短縮
しなくても、すなわち、配線長が長いままであっても遅
延時間の増大に結びつかないからである。
Next, in the provisional arrangement improvement step ST34,
The number of nets having a timing violation is reduced by moving the cell having the timing violation to shorten the wiring length of the net. For example, in order to improve timing in the module M 3 → module M 5 → module M 9 which is a critical path shown in FIG.
It is sufficient to reduce the wiring length of at least one of the net including the module M 3 → the module M 5 and the net including the module M 5 → the module M 9 . For example, among the modules M 3 and the module M 5, better to reduce the module towards the output drive capability is small is efficient. that is,
This is because if the module has a higher driving capability, the wiring length is not reduced, that is, even if the wiring length is long, the delay time is not increased.

【0088】ところで、式(1)に示した、モジュール
(セル)の接続関係等に重みを持たせたラプラス行列B
を適当に変更することによってもモジュールの動作タイ
ミングを改善できる。
By the way, the Laplace matrix B given by weighting the connection relations of modules (cells) shown in equation (1)
The operation timing of the module can also be improved by appropriately changing.

【0089】さらに、図7(a)に示すように、複数の
セルが同一線上に仮配置されているとする。複数のセル
のうち、セル41、セル42及びセル43が、例えば、
スロットを生成するためのカットライン44をまたぐよ
うにネット45に属しているとする。この場合には、図
7(b)に示すように、ネット45がカットライン44
をまたがなくなるように、セル43と、カットライン4
4に対してセル42側に位置するセル46とを交換す
る。
Further, it is assumed that a plurality of cells are provisionally arranged on the same line as shown in FIG. Among the plurality of cells, the cell 41, the cell 42, and the cell 43 are, for example,
It is assumed that it belongs to the net 45 so as to straddle the cut line 44 for generating a slot. In this case, as shown in FIG.
Cell 43 and cut line 4
4 is replaced with a cell 46 located on the cell 42 side.

【0090】このように、仮配置改善工程ST34は、
タイミング違反のネットを複数のスロット等の分割領域
にまたがって処理されないようにすることも考慮してい
る。一般には、擬似2次計画法は配線長の短縮化を促す
ため、最小カットも考慮されているが、厳密にはまだ不
十分である。
As described above, the provisional arrangement improvement step ST34 is
Consideration is also given to preventing a timing violation net from being processed across divided regions such as a plurality of slots. Generally, the pseudo quadratic programming method considers the minimum cut in order to promote the reduction of the wiring length, but it is still strictly insufficient.

【0091】さらに、タイミング違反ネット抽出工程S
T33及び仮配置改善工程ST34を、所定回数又はさ
らなる改善が望めなくなるまで繰り返した後、処理を終
了する。
Further, timing violation net extraction step S
After repeating the T33 and the provisional placement improvement step ST34 a predetermined number of times or until further improvement is not expected, the process ends.

【0092】以上説明したように、本実施形態による
と、スロットごとの電源電圧マップに基づいてセル又は
ネットの遅延時間を算出するため、スロットごとに電源
配線抵抗による電源電圧降下現象を反映させたタイミン
グドリブン配置法を実現できる。これにより、タイミン
グドリブンによるセル配置において、実際のLSIチッ
プに、より近い条件でセル配置を行なえるため、その結
果、タイミングエラーを防止できる。
As described above, according to the present embodiment, since the delay time of a cell or a net is calculated based on the power supply voltage map for each slot, the power supply voltage drop phenomenon due to the power supply wiring resistance is reflected for each slot. A timing driven placement method can be realized. Thus, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual LSI chip, and as a result, a timing error can be prevented.

【0093】(第3の実施形態)以下、本発明の第3の
実施形態について図面を参照しながら説明する。
(Third Embodiment) Hereinafter, a third embodiment of the present invention will be described with reference to the drawings.

【0094】図8は本発明の第3の実施形態に係る論理
ブロック配置方法の概略フローを表わしている。図8は
第1の実施形態に係る論理ブロック配置方法の消費電力
低減工程ST04の具体例でもある。
FIG. 8 shows a schematic flow of a logical block arranging method according to the third embodiment of the present invention. FIG. 8 is also a specific example of the power consumption reduction step ST04 of the logic block arrangement method according to the first embodiment.

【0095】まず、図8に示すように、遷移確率算出工
程ST41において、セルのネットごとにネットの信号
電位がハイからロー又はローからハイに遷移する信号の
遷移確率を算出する。これは、式(3)におけるスイッ
チング(遷移)確率Kp を求めることに他ならない。遷
移確率Kp の求め方は適当で良く、例えば、「アイトリ
プルイー トランザクションズ オン コンピュータ エ
イディッド デザイン、1990、ページ439−45
0(Proc. IEEE Transactions on computer Aided Desi
gn, 1990, pp.439-450)」に開示されている手法を用い
れば良い。
First, as shown in FIG. 8, in a transition probability calculating step ST41, a transition probability of a signal in which a signal potential of a net transitions from high to low or from low to high is calculated for each net of a cell. This is nothing but to seek switching (transition) probability K p in equation (3). The transition probability K p may be determined in a suitable manner, for example, “I Triple E Transactions on Computer Aid Design, 1990, pp. 439-45.
0 (Proc. IEEE Transactions on computer Aided Desi
gn, 1990, pp. 439-450) ”.

【0096】図9は図6に示す論路回路に含まれるネッ
トの遷移確率グラフであって、遷移確率算出工程ST4
1における計算結果を示している。図9において、図6
(a)に示す構成要素と同一の構成要素には同一の符号
を付すことにより説明を省略する。また、図9における
遷移確率sj はモジュールMn の出力ピンpj (但し、
jは正の整数とする。)の遷移確率である。ここでは、
プライマリインプットPimの遷移確率をすべて0.5と
している。
FIG. 9 is a graph showing the transition probability of a net included in the logic circuit shown in FIG.
1 shows the calculation results. In FIG. 9, FIG.
The description of the same components as those shown in FIG. The transition probability s j in FIG. 9 is the output pin p j of the module M n (where,
j is a positive integer. ) Is the transition probability. here,
The transition probabilities of the primary inputs P im are all set to 0.5.

【0097】次に、図8に示す消費電力算出工程ST4
2において、電源電圧マップ16を用いて電源電圧降下
が反映した各モジュール(セル)ごとの消費電力を算出
する。算出式は式(3)を用い、配線ネットモデルは、
図5に示した星型ネットモデルを用いる。これにより、
一層正確な消費電力の算出が可能となる。
Next, a power consumption calculating step ST4 shown in FIG.
In step 2, the power consumption of each module (cell) reflected by the power supply voltage drop is calculated using the power supply voltage map 16. The calculation formula uses equation (3), and the wiring net model is
The star net model shown in FIG. 5 is used. This allows
More accurate calculation of power consumption becomes possible.

【0098】次に、低駆動能力セル交換工程ST43に
おいて、余分な消費電力を低減するため、高消費電力セ
ルと低駆動能力セルとを交換する。これは、式(3)に
おける第2項を低減する効果を有している。具体的に
は、消費電力算出工程ST42において求めた各セルの
うち、消費電力値が大きい順にソートしておき、タイミ
ング違反が生じていないパスで且つ消費電力が大きいセ
ルから所定の割合分のセルを選択し、選択されたセル
を、セルライブラリに登録されているうち、選択された
セルよりも駆動能力が小さく且つタイミング違反が生じ
ない範囲で低駆動能力セルと置き換える。このように、
高消費電力セルを、低駆動能力セル、すなわち低消費電
力セルと交換するため、回路の消費電力を低減できる。
Next, in a low drive capacity cell replacement step ST43, a high power consumption cell and a low drive capacity cell are replaced in order to reduce excess power consumption. This has the effect of reducing the second term in equation (3). Specifically, among the cells obtained in the power consumption calculation step ST42, the cells are sorted in descending order of the power consumption value, and cells having a predetermined ratio from cells having a large power consumption and having no timing violation have been generated. And replaces the selected cell with a low-driving-capacity cell in the range registered in the cell library that has a lower driving capability than the selected cell and does not cause a timing violation. in this way,
Since the high power consumption cell is replaced with a low driving capacity cell, that is, a low power consumption cell, the power consumption of the circuit can be reduced.

【0099】ここで、高消費電力セルを選択する割合
は、第1の実施形態に示したような一連の処理を階層的
に行なう場合に、階層分割の進み具合につれて低減する
ようにする。これは、低駆動能力セルに過度に交換して
しまうと、動作タイミングが遅くなるため、タイミング
違反を起こすネットが増加することを防ぐためである。
Here, when a series of processes as shown in the first embodiment are performed hierarchically, the ratio of selecting the high power consumption cells is reduced as the hierarchical division progresses. This is to prevent an increase in the number of nets that cause a timing violation because the operation timing is delayed if the cells are excessively replaced with cells with low driving capability.

【0100】以上説明したように、本実施形態による
と、スロットごとの電源電圧マップに基づいて各セルの
消費電力を算出するため、スロットごとに電源配線抵抗
による電源電圧降下現象を反映させた上で低消費電力化
を図ったモジュール(セル)配置方法を実現できる。こ
れにより、タイミングドリブンによるセル配置におい
て、実際のLSIチップに、より近い条件でセル配置を
行なえるため、その結果、タイミングエラーを防止でき
る。
As described above, according to this embodiment, since the power consumption of each cell is calculated based on the power supply voltage map for each slot, the power supply voltage drop phenomenon due to the power supply wiring resistance is reflected for each slot. Thus, a module (cell) arranging method with low power consumption can be realized. Thus, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual LSI chip, and as a result, a timing error can be prevented.

【0101】(第4の実施形態)以下、本発明の第4の
実施形態について図面を参照しながら説明する。
(Fourth Embodiment) Hereinafter, a fourth embodiment of the present invention will be described with reference to the drawings.

【0102】図10(a)は本発明の第4の実施形態に
係る遅延ライブラリの作成方法を説明するための論理ブ
ロックを示している。図10(b)はライブラリ記述言
語であるALF(Advanced Library Format)を用いて記
述された遅延ライブラリの遅延計算関数であって、図1
0(a)に示す入力ピンin及び出力ピンoutを持つ
バッファ51の遅延時間を求める関数プログラムを示し
ている。
FIG. 10A shows a logical block for explaining a method of creating a delay library according to the fourth embodiment of the present invention. FIG. 10B shows a delay calculation function of a delay library described using ALF (Advanced Library Format) which is a library description language.
4 shows a function program for calculating the delay time of the buffer 51 having the input pin in and the output pin out shown in FIG.

【0103】図10(b)に示すように、本遅延計算関
数プログラムは、前半に記述されたテンプレート(TE
MPLATE)のstd_delay_3Dセクション
において、遅延値テーブルとして、”slewrate
(入力信号波形の傾き値)”、”capacitanc
e(出力負荷容量値)”及び”voltage(電源電
圧値)”がそれぞれ定義されている。
As shown in FIG. 10B, the present delay calculation function program uses the template (TE
In the std_delay_3D section of “MPLATE),“ slewrate ”is used as a delay value table.
(Slope value of input signal waveform) "," capacitanc
e (output load capacitance value) "and" voltage (power supply voltage value) "are defined respectively.

【0104】プログラムの後半のvectorセクショ
ンにおいては、遅延値そのものを遅延テーブル53に定
義している。
In the vector section in the latter half of the program, the delay value itself is defined in the delay table 53.

【0105】本実施形態の特徴は、電圧定義文52を記
述したことにあり、入力信号波形の傾き値、出力負荷容
量値及び電源電圧値の3つのパラメータを用いてバッフ
ァ51の遅延時間を表現しているため、vectorセ
クションの”table”を3次元テーブルとして表現
している。
The feature of this embodiment is that the voltage definition statement 52 is described, and the delay time of the buffer 51 is expressed by using three parameters of the slope value of the input signal waveform, the output load capacitance value, and the power supply voltage value. Therefore, "table" of the vector section is expressed as a three-dimensional table.

【0106】例えば、”slewrate tabl
e”が1を、”capacitance table”
が2を、”voltage table”が3をそれぞ
れ選択されたとすると、インデックス#3が参照されて
遅延値の0.2が出力される。
For example, "slewrate tabl"
e ”is 1 and“ capacitance table ”
Is 2 and the "voltage table" is 3, the index # 3 is referenced and a delay value of 0.2 is output.

【0107】以上説明したように、本実施形態による
と、所望の電源電圧変動を含めた遅延ライブラリを作成
できるため、電源電圧降下現象が考慮された論理ブロッ
クの遅延時間を算出できる。
As described above, according to the present embodiment, a delay library including a desired power supply voltage fluctuation can be created, so that a delay time of a logic block in which a power supply voltage drop phenomenon is considered can be calculated.

【0108】(第5の実施形態)以下、本発明の第5の
実施形態について図面を参照しながら説明する。
(Fifth Embodiment) Hereinafter, a fifth embodiment of the present invention will be described with reference to the drawings.

【0109】図11は本発明の第5の実施形態に係る遅
延時間算出方法の基本概念を表わしている。
FIG. 11 shows the basic concept of the delay time calculating method according to the fifth embodiment of the present invention.

【0110】本実施形態においては、論理ブロックの遅
延時間を算出するための遅延計算関数が、パラメータ
(=入力信号)波形の傾き値Ts と負荷容量CLとで表
わされる関数とする。
[0110] In this embodiment, the delay calculation function for calculating a delay time of the logic blocks, a function represented by parameters and gradient values T s of the (input signal) waveform and the load capacitance CL.

【0111】まず、図11に示すように、所定の電源電
圧値が互いに異なるn(但し、nは正の整数である。)
個の遅延ライブラリLIB1 〜LIBn が用意されてい
るとする。ここに、求めたい遅延値が電源電圧値vi
(但し、iはi=1,2,…,n−1)であって、該電
源電圧値vi が、v2 <vi <v3 の関係にあるとす
る。
First, as shown in FIG. 11, predetermined power supply voltage values are different from each other (where n is a positive integer).
It is assumed that a number of delay libraries LIB 1 to LIB n are prepared. Here, the power supply is a delay value to be determined voltage value v i
(Where, i is i = 1,2, ..., n- 1) a, the power supply voltage v i is, v 2 <and the relation of v i <v 3.

【0112】従って、ここでは、第1の電源電圧値v2
と対応する遅延ライブラリLIB2と第2の電源電圧値
3 と対応する遅延ライブラリLIB3 とを選択するこ
ととなる。
Therefore, here, the first power supply voltage value v 2
It will select the corresponding delay library LIB 2 and the delay library LIB 3 corresponding to the second power supply voltage value v 3 and.

【0113】次に、第1の3次元座標61に示すよう
に、遅延ライブラリLIB2 を用いてパラメータ波形の
傾き値Ts1と負荷容量CL1 とから決定される第1の遅
延時間T1 を求める。
Next, as shown by the first three-dimensional coordinates 61, the first delay time T 1 determined from the slope value T s1 of the parameter waveform and the load capacitance CL 1 using the delay library LIB 2 is calculated. Ask.

【0114】同様に、第2の3次元座標62に示すよう
に、遅延ライブラリLIB3 を用いてパラメータ波形の
傾き値Ts1と負荷容量CL1 とから決定される第2の遅
延時間T2 を求める。所望の電源電圧値vi における遅
延時間Ti は以下の式(6)のように表わされる。
Similarly, as shown in the second three-dimensional coordinates 62, the second delay time T 2 determined from the slope value T s1 of the parameter waveform and the load capacitance CL 1 using the delay library LIB 3 is calculated. Ask. The delay time T i at a desired power supply voltage value v i is expressed by the following equation (6).

【0115】 Ti =(T1 −T2 )×(vi −v2 )/(v3 −v2 )+T2 …(6) これは、第1の電源電圧値v2 及び第2の電源電圧値v
3 に対する線形補間を意味する。線形補間が可能な理由
は、低電圧化しなければ、遅延時間がほぼ電源電圧に比
例するからである。
[0115] T i = (T 1 -T 2 ) × (v i -v 2) / (v 3 -v 2) + T 2 ... (6) which is a first power supply voltage v 2 and the second Power supply voltage value v
Means linear interpolation for 3 . The reason why linear interpolation is possible is that the delay time is almost proportional to the power supply voltage unless the voltage is reduced.

【0116】以上説明したように、本実施形態による
と、所望の電源電圧変動を表現した遅延ライブラリが用
意できなくても、少なくとも、所望の電源電圧値よりも
小さい電源電圧値で論理ブロックの動作が保証される第
1の遅延ライブラリと、所望の電源電圧値よりも大きい
電源電圧値で論理ブロックの動作が保証される第2の遅
延ライブラリとを用意すれば、式(6)により容易に且
つ確実に所望の電源電圧動作における遅延時間を算出で
きる。
As described above, according to the present embodiment, even if a delay library expressing a desired power supply voltage fluctuation cannot be prepared, at least the operation of the logic block is performed with a power supply voltage value smaller than the desired power supply voltage value. Is provided, and a second delay library that guarantees the operation of the logic block with a power supply voltage value larger than a desired power supply voltage value is easily and easily obtained by the equation (6). The delay time in the desired power supply voltage operation can be reliably calculated.

【0117】[0117]

【発明の効果】本発明の第1の論理ブロック配置方法に
よると、論理ブロックを配置するよりも前に、論理ブロ
ックごとの動作電源電圧の分布を表わす電源電圧マップ
を作成するため、電源電圧マップに表われた電圧値に基
づいて論理ブロックごとに算出される遅延時間には電圧
降下が反映される。また、電源電圧マップに表われた電
圧値に基づいて論理ブロックごとに消費電力を算出する
ため、算出された消費電力にも、配置領域における電源
配線の配線位置に起因する電圧降下が反映される。これ
により、タイミングドリブンによるセル配置において、
実際の半導体LSIチップに、より近い条件でセル配置
を行なえるため、その結果、タイミングエラーを防止で
きる。このため、設計の手戻りをなくすことができ、工
数を削減できる。
According to the first logic block arranging method of the present invention, the power supply voltage map representing the distribution of the operating power supply voltage for each logic block is prepared before the logic block is arranged. The delay time calculated for each logic block based on the voltage value shown in (1) reflects the voltage drop. In addition, since the power consumption is calculated for each logical block based on the voltage value represented in the power supply voltage map, the calculated power consumption also reflects the voltage drop caused by the wiring position of the power supply wiring in the placement area. . Thereby, in the cell arrangement by timing driven,
Since the cells can be arranged under conditions closer to the actual semiconductor LSI chip, timing errors can be prevented as a result. For this reason, rework of the design can be eliminated, and man-hours can be reduced.

【0118】本発明の第2の論理ブロック配置方法によ
ると、論理ブロックごとに配置された位置に依存する電
源電圧値に基づいて遅延時間を算出するため、論理ブロ
ックごとに算出される遅延時間には電圧降下が反映され
る。また、遅延制約値を超えるネットを有する論理ブロ
ックに対して、該論理ブロックを遅延制約値に収まるよ
うに再配置するため、動作タイミング違反を解消でき
る。これにより、タイミングドリブンによるセル配置に
おいて、実際の半導体LSIチップに、より近い条件で
セル配置を行なえるため、その結果、タイミングエラー
を防止できる。
According to the second logic block arranging method of the present invention, the delay time is calculated based on the power supply voltage value depending on the position of each logic block. Indicates the voltage drop. Further, for a logical block having a net exceeding the delay constraint value, the logical block is rearranged so as to be within the delay constraint value, so that an operation timing violation can be eliminated. As a result, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual semiconductor LSI chip. As a result, timing errors can be prevented.

【0119】本発明の第3の論理ブロック配置方法によ
ると、論理ブロックごとにそれぞれが配置された位置に
依存する電源電圧値に基づいて消費電力を算出するた
め、算出された消費電力にも、配置領域における電源配
線の配線位置に起因する電圧降下が反映される。さら
に、遅延制約値を超えないネットを抽出した後、算出し
た消費電力値を大きい順に並べ、抽出されたネットの消
費電力値が大きい側から該消費電力値とそれぞれ対応す
る論理ブロックを選択し、選択された論理ブロックを該
論理ブロックよりも駆動能力が小さい論理ブロックと遅
延制約値を超えない範囲で交換するため、動作タイミン
グ違反を起こすことなく消費電力を低減できる。その
上、タイミングドリブンによるセル配置において、実際
の半導体LSIチップに、より近い条件でセル配置を行
なえる。
According to the third logic block arranging method of the present invention, the power consumption is calculated based on the power supply voltage value depending on the position where each logic block is arranged. The voltage drop caused by the wiring position of the power supply wiring in the arrangement area is reflected. Furthermore, after extracting the nets that do not exceed the delay constraint value, the calculated power consumption values are arranged in descending order, and the logical blocks corresponding to the power consumption values are selected from the side with the higher power consumption value of the extracted nets, Since the selected logic block is replaced with a logic block having a lower driving capability than the logic block within a range not exceeding the delay constraint value, power consumption can be reduced without causing an operation timing violation. In addition, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual semiconductor LSI chip.

【0120】本発明の遅延ライブラリの作成方法による
と、入力信号波形の傾き値、出力負荷容量値及び電源電
圧値を含む遅延計算関数を生成するため、該遅延計算関
数を用いると、電源電圧値に電源電圧降下量を含めてお
けば、該遅延計算関数から出力される遅延時間に電源電
圧降下が反映されて出力される。これにより、本遅延ラ
イブラリを用いると、タイミングドリブンによるセル配
置において、実際の半導体LSIチップに、より近い条
件でセル配置を行なえる。
According to the method for creating a delay library of the present invention, a delay calculation function including a slope value of an input signal waveform, an output load capacitance value, and a power supply voltage value is generated. If the power supply voltage drop amount is included in the delay calculation function, the delay time output from the delay calculation function reflects the power supply voltage drop and is output. Thus, when the present delay library is used, in the cell arrangement based on timing, the cell arrangement can be performed under conditions closer to the actual semiconductor LSI chip.

【0121】本発明の遅延時間算出方法によると、論理
ブロックの動作を保証する動作電源電圧値と対応するラ
イブラリが存在しない場合であっても、所望の電源電圧
値よりも小さい電源電圧値を持つ第1のライブラリと、
所望の電源電圧値よりも大きい電源電圧値を持つ第2の
ライブラリとを用意すれば、所望の動作電源電圧におけ
る論理ブロックの遅延時間を求めることができる。
According to the delay time calculating method of the present invention, even when the library corresponding to the operation power supply voltage value for guaranteeing the operation of the logic block does not exist, the power supply voltage value is smaller than the desired power supply voltage value. A first library;
If a second library having a power supply voltage value larger than the desired power supply voltage value is prepared, the delay time of the logic block at the desired operation power supply voltage can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態に係る論理ブロック配
置方法のフローチャート図である。
FIG. 1 is a flowchart of a logical block arrangement method according to a first embodiment of the present invention.

【図2】(a)は本発明の第1の実施形態に係る論理ブ
ロック配置方法を説明するためのスタンダードセルの配
置領域を示す平面図である。(b)は(a)の等価回路
図である。
FIG. 2A is a plan view showing a standard cell arrangement area for explaining a logic block arrangement method according to the first embodiment of the present invention. (B) is an equivalent circuit diagram of (a).

【図3】(a)は本発明の第1の実施形態に係る論理ブ
ロック配置方法を説明するためのスタンダードセルの配
置領域を示す平面図である。(b)は本発明の第1の実
施形態に係る論理ブロック配置方法における電源電圧マ
ップ図である。
FIG. 3A is a plan view showing a standard cell arrangement area for describing a logic block arrangement method according to the first embodiment of the present invention. (B) is a power supply voltage map diagram in the logic block arrangement method according to the first embodiment of the present invention.

【図4】本発明の第2の実施形態に係る論理ブロック配
置方法のフローチャート図である。
FIG. 4 is a flowchart of a logical block arrangement method according to a second embodiment of the present invention.

【図5】(a)は本発明の第2の実施形態に係る論理ブ
ロック配置方法を説明するための星型ネットモデルを示
す回路図である。(b)は(a)のRCネットワークモ
デル図である。
FIG. 5A is a circuit diagram showing a star net model for explaining a logical block arrangement method according to a second embodiment of the present invention. (B) is an RC network model diagram of (a).

【図6】(a)及び(b)は本発明の第2の実施形態に
係る論理ブロック配置方法におけるスラックを説明する
ための回路図である。
FIGS. 6A and 6B are circuit diagrams for explaining slack in the logical block arrangement method according to the second embodiment of the present invention.

【図7】(a)及び(b)は本発明の第2の実施形態に
係る論理ブロック配置方法を示すセルの配置図である。
FIGS. 7A and 7B are cell layout diagrams showing a logical block layout method according to a second embodiment of the present invention.

【図8】本発明の第3の実施形態に係る論理ブロック配
置方法のフローチャート図である。
FIG. 8 is a flowchart of a logical block arrangement method according to a third embodiment of the present invention.

【図9】本発明の第2の実施形態に係る論理ブロック配
置方法におけるネットの遷移確率を説明するための回路
図である。
FIG. 9 is a circuit diagram for explaining a transition probability of a net in a logical block arrangement method according to a second embodiment of the present invention.

【図10】(a)は本発明の第4の実施形態に係る遅延
ライブラリの作成方法を説明するためのバッファを示す
回路図である。(b)は(a)に示すバッファ用の遅延
ライブラリに用いる遅延計算関数を表わすプログラム図
である。
FIG. 10A is a circuit diagram illustrating a buffer for explaining a method of creating a delay library according to a fourth embodiment of the present invention. (B) is a program diagram showing a delay calculation function used for the buffer delay library shown in (a).

【図11】本発明の第5の実施形態に係る遅延時間算出
方法を示す概念図である。
FIG. 11 is a conceptual diagram illustrating a delay time calculation method according to a fifth embodiment of the present invention.

【図12】複数のセルが配置領域に配置される様子を表
わす平面図である。
FIG. 12 is a plan view showing how a plurality of cells are arranged in an arrangement area.

【符号の説明】[Explanation of symbols]

1 概略配置 ST01 電源電圧マップ作成工程 ST02 初期配置決定工程 ST03 動作タイミング改善工程 ST04 消費電力低減工程 ST05 分割判定工程 ST06 セル群分割工程(分割ブロック群生成工程) ST07 詳細配置工程 ST31 遅延計算工程 ST32 セル仮配置工程 ST33 タイミング違反ネット抽出工程 ST34 仮配置改善工程 ST41 遷移確率算出工程 ST42 消費電力算出工程 ST43 低駆動能力セル交換工程 11 ブロック 12 セル列 13 電源線 14 グランド線 15 スロット 16 電源電圧マップ 21 セル 22 セル 23 セル 24 セル 25 ネット 25a 重心位置 25b 重心位置 31 論路モジュール 41 セル 42 セル 43 セル 44 カットライン 45 ネット 46 セル 51 バッファ 52 電圧定義文 53 遅延テーブル 61 第1の3次元座標 62 第2の3次元座標 1 Schematic arrangement ST01 Power supply voltage map creation step ST02 Initial arrangement determination step ST03 Operation timing improvement step ST04 Power consumption reduction step ST05 Division determination step ST06 Cell group division step (divided block group generation step) ST07 Detailed arrangement step ST31 Delay calculation step ST32 cell Temporary Placement Step ST33 Timing Violation Net Extraction Step ST34 Temporary Placement Improvement Step ST41 Transition Probability Calculation Step ST42 Power Consumption Calculation Step ST43 Low Drivability Cell Exchange Step 11 Block 12 Cell Row 13 Power Line 14 Ground Line 15 Slot 16 Power Supply Voltage Map 21 Cell 22 cell 23 cell 24 cell 25 net 25a center of gravity position 25b center of gravity position 31 logic module 41 cell 42 cell 43 cell 44 cut line 45 net 46 cell 51 buffer 2 Voltage definition statement 53 delay table 61 first three-dimensional coordinates 62 second three-dimensional coordinate

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G06F 15/60 658A 668K 668Q H01L 21/82 C (72)発明者 岩西 信房 大阪府門真市大字門真1006番地 松下電器 産業株式会社内 (72)発明者 平田 正明 大阪府門真市大字門真1006番地 松下電器 産業株式会社内──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) G06F 15/60 658A 668K 668Q H01L 21/82 C 1006 Matsushita Electric Industrial Co., Ltd. (72) Inventor Masaaki Hirata 1006 Oji Kadoma, Kadoma City, Osaka Prefecture Matsushita Electric Industrial Co., Ltd.

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 動作周波数を制約条件とするタイミング
ドリブン配置法を用いて、半導体集積回路を構成する機
能ブロック又は論理セルからなる複数の論理ブロックを
配置領域に配置する論理ブロック配置方法であって、 前記配置領域における、電源配線の配線位置に起因する
前記論理ブロックごとの動作電源電圧の分布を表わす電
源電圧マップを作成する電源電圧マップ作成工程と、 前記複数の論理ブロックの初期配置を決定する初期配置
決定工程と、 前記電源電圧マップの電圧値に基づいて前記複数の論理
ブロックごとに遅延時間を算出し、算出した遅延時間が
短縮されるように前記複数の論理ブロックを再配置する
ことにより、前記複数の論理ブロックの各動作タイミン
グを改善する動作タイミング改善工程と、 前記電源電圧マップの電圧値に基づいて前記複数の論理
ブロックごとに消費電力を算出し、算出した消費電力値
が小さくなるように前記論理ブロックの出力側の駆動能
力を下げることにより、前記複数の論理ブロックの各消
費電力を低減する消費電力低減工程と、 再配置された前記複数の論理ブロックを、それぞれが複
数の論理ブロックを含むように分割して複数の分割ブロ
ック群を生成する分割ブロック群生成工程と、 前記複数の分割ブロック群ごとに、前記動作タイミング
改善工程、消費電力低減工程及び分割ブロック群生成工
程を順次繰り返す繰り返し工程と、 再配置された複数の論理ブロックを、互いに重ならない
ように且つ動作タイミングを満たすように再配置する詳
細配置工程とを備えていることを特徴とする論部ブロッ
ク配置方法。
1. A logic block arranging method for arranging a plurality of logic blocks including functional blocks or logic cells constituting a semiconductor integrated circuit in an arranging area by using a timing-driven arranging method with an operating frequency as a constraint. A power supply voltage map creating step of creating a power supply voltage map representing a distribution of operation power supply voltages for each of the logic blocks in the placement area due to a wiring position of a power supply wiring; and determining an initial placement of the plurality of logic blocks. An initial arrangement determination step, calculating a delay time for each of the plurality of logic blocks based on the voltage value of the power supply voltage map, and rearranging the plurality of logic blocks so that the calculated delay time is reduced. An operation timing improvement step of improving each operation timing of the plurality of logic blocks; and the power supply voltage map. The power consumption is calculated for each of the plurality of logic blocks based on the voltage value, and the driving capability on the output side of the logic block is reduced so that the calculated power consumption value is reduced, so that each power consumption of the plurality of logic blocks is reduced. A power consumption reduction step of reducing power; a divided block group generation step of dividing the rearranged plurality of logical blocks so as to include a plurality of logical blocks to generate a plurality of divided block groups; For each of the plurality of divided block groups, a repetition step of sequentially repeating the operation timing improvement step, the power consumption reduction step and the divided block group generation step, and the operation timing of the rearranged plurality of logical blocks so that they do not overlap each other. And a detailed arranging step of rearranging the logical block so as to satisfy the condition.
【請求項2】 前記動作タイミング改善工程は、 前記複数の論理ブロックごとに、それぞれが配置された
位置に依存する電源電圧値に基づいて遅延時間を算出す
る遅延時間算出工程と、 算出した遅延時間に基づいて、前記複数の論理ブロック
の仮配置を行なう仮配置工程と、 算出した遅延時間に基づいて、前記複数の論理ブロック
における等電位に接続される入出力端子の集合からなる
複数のネットのうち、前記制約条件に規制された遅延制
約値を超えるネットを抽出する遅延値超過ネット抽出工
程と、 前記複数の論理ブロックのうち、前記遅延制約値を超え
るネットを有する論理ブロックに対して、該論理ブロッ
クを前記遅延制約値に収まるように再配置する再配置工
程とを含むことを特徴とする請求項1に記載の論理ブロ
ック配置方法。
2. The operation timing improvement step includes: for each of the plurality of logic blocks, a delay time calculation step of calculating a delay time based on a power supply voltage value depending on a position where each of the plurality of logic blocks is arranged; A tentative placement step of tentatively arranging the plurality of logic blocks based on the calculated delay time, and a plurality of nets comprising a set of input / output terminals connected to the same potential in the plurality of logic blocks based on the calculated delay time. A delay value excess net extracting step of extracting a net exceeding a delay constraint value regulated by the constraint condition, and a logic block having a net exceeding the delay constraint value among the plurality of logic blocks. 2. The method according to claim 1, further comprising the step of rearranging a logical block so as to be within the delay constraint value.
【請求項3】 前記消費電力低減工程は、 前記複数の論理ブロックごとに、各論理ブロックが有す
る出力ピンの電位がハイからロー又はローからハイに遷
移する遷移確率を算出する遷移確率算出工程と、 前記複数の論理ブロックごとに、それぞれが配置された
位置に依存する電源電圧値に基づいて消費電力を算出す
る消費電力算出工程と、 前記複数の論理ブロックにおける等電位に接続される入
出力端子の集合からなる複数のネットのうち、前記制約
条件に規制された遅延制約値を超えないネットを抽出し
た後、算出した消費電力値を大きい順に並べ、並べられ
た消費電力値が大きい順に該消費電力値とそれぞれ対応
する論理ブロックを選択し、選択された論理ブロックを
該論理ブロックよりも駆動能力が小さい論理ブロックと
前記遅延制約値を超えないように交換する論理ブロック
交換工程とを含むことを特徴とする請求項1に記載の論
理ブロック配置方法。
3. A transition probability calculation step of calculating, for each of the plurality of logic blocks, a transition probability of a potential of an output pin of each logic block transitioning from high to low or from low to high. A power consumption calculation step of calculating power consumption based on a power supply voltage value depending on a position where each of the plurality of logic blocks is arranged; and an input / output terminal connected to an equipotential in the plurality of logic blocks. After extracting a net that does not exceed the delay constraint value regulated by the constraint condition from among a plurality of nets consisting of a set of power consumption values, the calculated power consumption values are arranged in descending order, and the power consumption values are arranged in descending order of the arranged power consumption values. Selecting a logic block corresponding to each power value, and selecting the selected logic block with a logic block having a lower driving capability than the logic block and the delay constraint. 2. A logical block arranging method according to claim 1, further comprising a logical block exchanging step of exchanging the logical block so as not to exceed the value.
【請求項4】 動作周波数を制約条件とするタイミング
ドリブン配置法を用いて、半導体集積回路を構成する機
能ブロック又は論理セルからなる複数の論理ブロックを
配置する論理ブロック配置方法であって、 前記複数の論理ブロックの初期配置を決定する初期配置
決定工程と、 前記複数の論理ブロックごとに、それぞれが配置された
位置に依存する電源電圧値に基づいて遅延時間を算出す
る遅延時間算出工程と、 算出した遅延時間に基づいて、前記複数の論理ブロック
の仮配置を行なう仮配置工程と、 算出した遅延時間に基づいて、前記複数の論理ブロック
における等電位に接続される入出力端子の集合からなる
複数のネットのうち、前記制約条件に規制された遅延制
約値を超えるネットを抽出する遅延値超過ネット抽出工
程と、 前記複数の論理ブロックのうち、前記遅延制約値を超え
るネットを有する論理ブロックに対して、該論理ブロッ
クを前記遅延制約値に収まるように再配置する再配置工
程とを備えていることを特徴とする論理ブロック配置方
法。
4. A logic block arranging method for arranging a plurality of function blocks or a plurality of logic blocks composed of logic cells constituting a semiconductor integrated circuit by using a timing-driven arranging method with an operating frequency as a constraint. An initial arrangement determining step of determining an initial arrangement of the logical blocks, and a delay time calculating step of calculating a delay time based on a power supply voltage value depending on a position where each of the plurality of logical blocks is arranged. A tentative placement step of tentatively arranging the plurality of logic blocks based on the calculated delay time; and a plurality of sets of input / output terminals connected to equipotentials in the plurality of logic blocks based on the calculated delay time. A delay value excess net extraction step of extracting a net exceeding a delay constraint value regulated by the constraint condition out of the nets; Out of the logical blocks having a net exceeding the delay constraint value, the logic block further comprises a rearrangement step of rearranging the logical block so as to be within the delay constraint value. Block placement method.
【請求項5】 動作周波数を制約条件とするタイミング
ドリブン配置法を用いて、半導体集積回路を構成する機
能ブロック又は論理セルからなる複数の論理ブロックを
配置する論理ブロック配置方法であって、 前記複数の論理ブロックの初期配置を決定する初期配置
決定工程と、 前記複数の論理ブロックごとに、各論理ブロックが有す
る出力ピンの電位がハイからロー又はローからハイに遷
移する遷移確率を算出する遷移確率算出工程と、 前記複数の論理ブロックごとに、それぞれが配置された
位置に依存する電源電圧値に基づいて消費電力を算出す
る消費電力算出工程と、 前記複数の論理ブロックにおける等電位に接続される入
出力端子の集合からなる複数のネットのうち、前記制約
条件に規制された遅延制約値を超えないネットを抽出し
た後、算出した消費電力値を大きい順に並べ、並べられ
た消費電力値が大きい順に該消費電力値とそれぞれ対応
する論理ブロックを選択し、選択された論理ブロックを
該論理ブロックよりも駆動能力が小さい論理ブロックと
前記遅延制約値を超えないように交換する論理ブロック
交換工程とを備えていることを特徴とする論理ブロック
配置方法。
5. A logic block arranging method for arranging a plurality of functional blocks or a plurality of logic blocks including logic cells constituting a semiconductor integrated circuit by using a timing-driven arranging method using an operating frequency as a constraint. An initial arrangement determining step of determining an initial arrangement of the logical blocks, and a transition probability of calculating, for each of the plurality of logical blocks, a transition probability that a potential of an output pin of each logical block transitions from high to low or from low to high. A calculating step; a power consumption calculating step of calculating, for each of the plurality of logic blocks, a power consumption based on a power supply voltage value dependent on a position where each of the plurality of logic blocks is arranged; From a plurality of nets consisting of a set of input / output terminals, extract nets that do not exceed the delay constraint value regulated by the constraint conditions After that, the calculated power consumption values are arranged in descending order, and the logical blocks corresponding to the power consumption values are selected in descending order of the arranged power consumption values, and the selected logical block has a higher driving capability than the logical block. A method of arranging logical blocks, comprising: a logical block exchanging step of exchanging a small logical block so as not to exceed the delay constraint value.
【請求項6】 機能ブロック又は論理セルからなる複数
の論理ブロックにより構成される半導体集積回路のタイ
ミング設計における前記複数の論理ブロックごとの遅延
時間を算出するための遅延ライブラリであって、 入力信号波形の傾き値、出力負荷容量値及び電源電圧値
を入力値に含む遅延計算関数を備えていることを特徴と
する遅延ライブラリ。
6. A delay library for calculating a delay time for each of a plurality of logic blocks in a timing design of a semiconductor integrated circuit constituted by a plurality of logic blocks including function blocks or logic cells, comprising: A delay calculation function comprising a delay calculation function that includes a slope value, an output load capacitance value, and a power supply voltage value as input values.
【請求項7】 機能ブロック又は論理セルからなる複数
の論理ブロックにより構成される半導体集積回路のタイ
ミング設計における前記複数の論理ブロックごとの遅延
時間を算出する遅延時間算出方法であって、 前記複数の論理ブロックのうち、論理ブロックの動作を
保証する動作電源電圧値がライブラリに登録されていな
い未登録論理ブロックを用いる際に、前記未登録論理ブ
ロックの動作電源電圧値よりも大きい第1の電源電圧値
で動作を保証する第1のライブラリと、前記未登録論理
ブロックの動作電源電圧値よりも小さい第2の電源電圧
値で動作を保証する第2のライブラリとを準備するライ
ブラリ準備工程と、 前記第1のライブラリを用いて前記未登録論理ブロック
の第1の遅延時間を求めると共に、前記第2のライブラ
リを用いて前記未登録論理ブロックの第2の遅延時間を
求め、前記第1の遅延時間及び第2の遅延時間から前記
未登録論理ブロックの動作電源電圧における遅延時間を
算出する遅延時間算出工程を備えていることを特徴とす
る遅延時間算出方法。
7. A delay time calculation method for calculating a delay time for each of a plurality of logic blocks in a timing design of a semiconductor integrated circuit constituted by a plurality of logic blocks including functional blocks or logic cells, wherein When using an unregistered logical block whose operating power supply voltage value that guarantees the operation of the logical block is not registered in the library, a first power supply voltage larger than the operating power supply voltage value of the unregistered logical block A library preparing step of preparing a first library that guarantees operation with a value and a second library that guarantees operation with a second power supply voltage value smaller than the operation power supply voltage value of the unregistered logical block; Using a first library to determine a first delay time of the unregistered logical block, and using the second library A delay time calculating step of calculating a second delay time of the unregistered logic block and calculating a delay time at an operating power supply voltage of the unregistered logic block from the first delay time and the second delay time. A delay time calculation method, characterized in that:
JP10266720A 1998-09-21 1998-09-21 Logic block arranging method, delay library and delay time calculating method Pending JP2000099554A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10266720A JP2000099554A (en) 1998-09-21 1998-09-21 Logic block arranging method, delay library and delay time calculating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10266720A JP2000099554A (en) 1998-09-21 1998-09-21 Logic block arranging method, delay library and delay time calculating method

Publications (1)

Publication Number Publication Date
JP2000099554A true JP2000099554A (en) 2000-04-07

Family

ID=17434756

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10266720A Pending JP2000099554A (en) 1998-09-21 1998-09-21 Logic block arranging method, delay library and delay time calculating method

Country Status (1)

Country Link
JP (1) JP2000099554A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6799310B2 (en) 2002-03-13 2004-09-28 Fujitsu Limited Integrated circuit layout method and program for mitigating effect due to voltage drop of power supply wiring
US6971074B2 (en) 2002-10-15 2005-11-29 Renesas Technology Corp. Layout device
US7171641B2 (en) 2002-03-19 2007-01-30 Fujitsu Limited Voltage change reflecting delay calculation method, and voltage change reflecting delay calculation system
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
JP2007140708A (en) * 2005-11-15 2007-06-07 Ricoh Co Ltd Design method and manufacturing method for semiconductor integrated circuit
US7340699B2 (en) 2004-01-07 2008-03-04 Kabushiki Kaisha Toshiba Analysis apparatus for semiconductor LSI circuit electrostatic discharge by calculating inter-pad voltage between pads
JP2008084966A (en) * 2006-09-26 2008-04-10 Fujitsu Ltd Method and system for designing circuit
JP2008112268A (en) * 2006-10-30 2008-05-15 Fujitsu Ltd Timing verification method, timing verification apparatus and timing verification program
US8056033B2 (en) 2007-06-21 2011-11-08 Renesas Electronics Corporation Apparatus and method for integrated circuit design with improved delay variation calculation based on power supply variations
CN112883998A (en) * 2021-01-12 2021-06-01 珠海中慧微电子有限公司 Power distribution area household transformation relation identification method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6799310B2 (en) 2002-03-13 2004-09-28 Fujitsu Limited Integrated circuit layout method and program for mitigating effect due to voltage drop of power supply wiring
US7171641B2 (en) 2002-03-19 2007-01-30 Fujitsu Limited Voltage change reflecting delay calculation method, and voltage change reflecting delay calculation system
US6971074B2 (en) 2002-10-15 2005-11-29 Renesas Technology Corp. Layout device
US7340699B2 (en) 2004-01-07 2008-03-04 Kabushiki Kaisha Toshiba Analysis apparatus for semiconductor LSI circuit electrostatic discharge by calculating inter-pad voltage between pads
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
JP4558612B2 (en) * 2005-09-02 2010-10-06 富士通セミコンダクター株式会社 Layout design method for semiconductor integrated circuit
JP2007140708A (en) * 2005-11-15 2007-06-07 Ricoh Co Ltd Design method and manufacturing method for semiconductor integrated circuit
JP2008084966A (en) * 2006-09-26 2008-04-10 Fujitsu Ltd Method and system for designing circuit
JP2008112268A (en) * 2006-10-30 2008-05-15 Fujitsu Ltd Timing verification method, timing verification apparatus and timing verification program
US8056033B2 (en) 2007-06-21 2011-11-08 Renesas Electronics Corporation Apparatus and method for integrated circuit design with improved delay variation calculation based on power supply variations
CN112883998A (en) * 2021-01-12 2021-06-01 珠海中慧微电子有限公司 Power distribution area household transformation relation identification method
CN112883998B (en) * 2021-01-12 2022-03-22 珠海中慧微电子有限公司 Power distribution area household transformation relation identification method

Similar Documents

Publication Publication Date Title
CN108830008B (en) Test method and test system for full model of standard cell library
TWI479349B (en) Method of simulating integrated circuit and computer-readable medium
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
US7676780B2 (en) Techniques for super fast buffer insertion
JP2995963B2 (en) Hierarchical circuit database optimization apparatus and hierarchical circuit database optimization method
Allan et al. A yield improvement technique for IC layout using local design rules
WO2006062303A1 (en) Method for designing block placement and power distribution of semiconductor integrated circuit
US10831973B2 (en) Semiconductor process modeling to enable skip via in place and route flow
TWI789911B (en) System, method and storage medium for capacitance extraction
JP2000099554A (en) Logic block arranging method, delay library and delay time calculating method
US20210390241A1 (en) Fast topology bus router for interconnect planning
Ran et al. Via-configurable routing architectures and fast design mappability estimation for regular fabrics
US20220391566A1 (en) Machine learning models for predicting detailed routing topology and track usage for accurate resistance and capacitance estimation for electronic circuit designs
US20220171912A1 (en) Poly-bit cells
CN113627120B (en) Superconducting integrated circuit layout optimization method and device, storage medium and terminal
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
US10216887B1 (en) Methods, systems, and computer program products for implementing an electronic design with time varying resistors in power gating analysis
CN113536726A (en) Vector generation for maximum instantaneous peak power
US6530063B1 (en) Method and apparatus for detecting equivalent and anti-equivalent pins
Sterpone et al. CNN-Oriented Placement Algorithm for High-Performance Accelerators on Rad-Hard FPGAs
Lienig et al. Methodologies for Physical Design: Models, Styles, Tasks, and Flows
Dong et al. Agile Full-Chip Sign-Off in the Post-Moore Era
Shilpa et al. Architectural Level Crosstalk minimization: A Tool
Chou et al. Performance-driven placement of multi-million-gate circuits
Melikyan Design of Digital Integrated Circuits by Improving the Characteristics of Digital Cells

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080318

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080715