JP4558612B2 - Layout design method for semiconductor integrated circuit - Google Patents

Layout design method for semiconductor integrated circuit Download PDF

Info

Publication number
JP4558612B2
JP4558612B2 JP2005254730A JP2005254730A JP4558612B2 JP 4558612 B2 JP4558612 B2 JP 4558612B2 JP 2005254730 A JP2005254730 A JP 2005254730A JP 2005254730 A JP2005254730 A JP 2005254730A JP 4558612 B2 JP4558612 B2 JP 4558612B2
Authority
JP
Japan
Prior art keywords
library
cell
supply voltage
power supply
voltage drop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005254730A
Other languages
Japanese (ja)
Other versions
JP2007066239A (en
Inventor
浩二 水谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2005254730A priority Critical patent/JP4558612B2/en
Publication of JP2007066239A publication Critical patent/JP2007066239A/en
Application granted granted Critical
Publication of JP4558612B2 publication Critical patent/JP4558612B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、セル配置及びセル間配線を行う半導体集積回路のレイアウト設計方法に関する。   The present invention relates to a layout design method for a semiconductor integrated circuit that performs cell placement and inter-cell wiring.

現在、チップ(LSI、Large Scale Integration)の開発シーケンスでは、例えば、市場調査から始まり、この市場調査から導かれる市場要求に基づいて仕様設計をしている。この仕様設計から、チップ全体を1つのシステムと考えてこのチップの動作及びハードウェア構成を設計するシステム設計をしている。設計されたシステムを実現するRTL(レジスタトランスファーレベル)記述から、詳細なゲートレベルの回路を設計する機能設計及び論理設計(回路設計)をし、回路設計に基づいたレイアウト設計をしている。その後、マスクを製造し、このマスクを使用してチップを製造している。   Currently, a chip (LSI, large scale integration) development sequence starts with, for example, market research, and designs specifications based on market requirements derived from this market research. From this specification design, the entire chip is considered as one system, and the system design is designed to design the operation and hardware configuration of this chip. From the RTL (register transfer level) description for realizing the designed system, functional design and logic design (circuit design) for designing a detailed gate level circuit are performed, and layout design based on the circuit design is performed. Thereafter, a mask is manufactured, and a chip is manufactured using the mask.

回路設計後にセル配置及びセル間配線を行うレイアウト設計では、NAND及びOR等の基本論理セル、及び、これらの基本論理セルを組み合わせた複合セルをアレイ状にレイアウト領域に配置し、回路設計による所定の回路接続に従って各セルの端子間を配線している。このレイアウト設計において、セル配置及びセル間配線がされていないレイアウト設計前では電源電圧降下分布の情報はないので、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定し、この仮定の最大の電源電圧降下量に基づいてレイアウト設計をしている。電源電圧降下分布の情報は、セル配置及びセル間配線後に、実際のセル配置及びセル間配線に基づいて算出されている。   In layout design in which cell placement and inter-cell wiring are performed after circuit design, basic logic cells such as NAND and OR, and composite cells combining these basic logic cells are arranged in an array in a layout area, and predetermined by circuit design. The terminals of each cell are wired according to the circuit connection. In this layout design, since there is no information on the power supply voltage drop distribution before the layout design in which the cell arrangement and the wiring between cells are not performed, it is assumed that the maximum power supply voltage drop amount is uniformly distributed over the entire layout region. The layout is designed based on the assumed maximum power supply voltage drop. Information on the power supply voltage drop distribution is calculated based on the actual cell arrangement and inter-cell wiring after cell arrangement and inter-cell wiring.

なお、スタンダードセル等の論理ブロックを配置する前に電源電圧降下分布であるマップを作成し、そのマップに基づいて論理ブロックを配置する技術が提案されている(例えば、特許文献1参照)。この提案では、セル配置及びセル間配線前で、正確な電源電圧降下分布の情報は存在していない。
特開2000−99554号公報
A technique has been proposed in which a map that is a power supply voltage drop distribution is created before arranging logic blocks such as standard cells, and logic blocks are arranged based on the map (see, for example, Patent Document 1). In this proposal, there is no accurate power supply voltage drop distribution information before cell placement and inter-cell wiring.
JP 2000-99554 A

しかし、実際のセル配置及びセル間配線に基づいて算出された電源電圧降下分布では、電源を供給する電源I/O(Input/Output)セルの近くに配置されたセルの電源電圧降下量は小さく、遠くに配置されたセルの電源電圧降下量は大きくなっている。また、密集して配置されないセルの電源電圧降下量は小さく、密集して配置されるセルの電源電圧降下量は大きくなっている。これらに対し、レイアウト設計前では、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定しているので、以下に示す問題が起こっている。   However, in the power supply voltage drop distribution calculated based on the actual cell arrangement and inter-cell wiring, the power supply voltage drop amount of the cell placed near the power I / O (Input / Output) cell that supplies power is small. The power supply voltage drop amount of the cells arranged far away is large. Further, the power supply voltage drop amount of cells not densely arranged is small, and the power supply voltage drop amount of cells densely arranged is large. On the other hand, since it is assumed that the maximum power supply voltage drop amount is uniformly distributed over the entire layout area before the layout design, the following problems occur.

例えば、電源I/Oセルが近いチップの縁部では、電源電圧降下量は小さいにも拘わらず、実際の電源電圧降下分布でなくて仮定の最大の電源電圧降下量に基づき、過剰に電源電圧降下するとしてセルの遅延量を必要以上に遅く見積もってセル配置及びセル間配線がされている。よって、チップの縁部では、タイミング制約を満足させるために過剰に高速なセルが使用され、この高速なセルは消費電力が大きいので、チップの消費電力が必要以上に大きくなっている。   For example, at the edge of the chip where the power I / O cell is close, although the power supply voltage drop amount is small, the power supply voltage is excessively increased based on the assumed maximum power supply voltage drop amount instead of the actual power supply voltage drop distribution. Cell placement and inter-cell wiring are performed by estimating the delay amount of the cell more than necessary as it descends. Therefore, at the edge of the chip, an excessively high speed cell is used to satisfy the timing constraint. Since the high speed cell consumes a large amount of power, the power consumption of the chip is larger than necessary.

本発明は、このような点に鑑みてなされたものであり、チップの消費電力が小さい半導体集積回路のレイアウト設計方法を提供することを目的とする。   SUMMARY An advantage of some aspects of the invention is that it provides a layout design method for a semiconductor integrated circuit in which power consumption of a chip is small.

本発明では、上記課題を解決するために、図1に例示するように、セル配置及びセル間配線を行う半導体集積回路のレイアウト設計方法において、コンピュータに、レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する電源電圧降下量仮定ステップS1と、名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有するライブラリを複数備え複数のライブラリのライブラリ間において名称が同一のセルは、遅延量及び消費電力量がそれぞれ異なり、かつ、端子形状、サイズおよび論理が同一であるライブラリ群を記憶装置に記憶する記憶ステップと、ライブラリ群における最大の電源電圧降下量に対応した性能のライブラリに従い、レイアウト領域に対してセル配置及びセル間配線を行う配置配線ステップS2と、セル配置及びセル間配線後に、セル配置及びセル間配線に基づいてレイアウト領域の電源電圧降下分布を算出する電源電圧降下分布算出ステップS3と、電源電圧降下分布に従い、レイアウト領域を電源電圧降下量毎に分割するレイアウト領域分割ステップS4と、レイアウト領域分割ステップS4によって分割された各領域に配置されたセルを、それぞれライブラリ群10における各領域の電源電圧降下量に対応した性能のライブラリのセルに置換するライブラリ置換ステップS5と、の処理を実行させることを特徴とする半導体集積回路のレイアウト設計方法が提供される。 In the present invention, in order to solve the above problem, as illustrated in FIG. 1, in a layout design method for a semiconductor integrated circuit in which cell placement and inter-cell wiring are performed, the entire layout area is subjected to a computer before layout design. largest assuming the power supply voltage drop amount assuming step S1 and the power supply voltage drop amount is uniformly distributed, name, terminal shape, size, logical, library plural chromatic cell data composed of delay and power consumption Te a plurality of, that is the same cell name among the plurality of library library depends delay and power consumption, respectively, and a storage for storing terminal shape, the size and logic libraries are identical to the memory device Follow the steps and the library with the performance corresponding to the maximum power supply voltage drop in the library group. Placement and routing step S2 for performing cell placement and inter-cell wiring; and power supply voltage drop distribution calculating step S3 for calculating a power supply voltage drop distribution in the layout region based on cell placement and inter-cell wiring after cell placement and inter-cell wiring; In accordance with the power supply voltage drop distribution, the layout area dividing step S4 for dividing the layout area for each power supply voltage drop amount, and the cells arranged in each area divided by the layout area dividing step S4, There is provided a layout design method for a semiconductor integrated circuit, characterized in that a library replacement step S5 for performing replacement with a library cell having a performance corresponding to a power supply voltage drop amount is executed .

このような半導体集積回路のレイアウト設計方法によると、電源電圧降下量仮定ステップS1により、レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定される。記憶ステップにより、名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有するライブラリを複数備え複数のライブラリのライブラリ間において名称が同一のセルは、遅延量及び消費電力量がそれぞれ異なり、かつ、端子形状、サイズおよび論理が同一であるライブラリ群10が記憶装置に記憶される。配置配線ステップS2により、ライブラリ群10における最大の電源電圧降下量に対応した性能のライブラリに従い、レイアウト領域に対してセル配置及びセル間配線が行われる。電源電圧降下分布算出ステップS3により、セル配置及びセル間配線後に、セル配置及びセル間配線に基づいてレイアウト領域の電源電圧降下分布が算出される。レイアウト領域分割ステップS4により、電源電圧降下分布に従い、レイアウト領域が電源電圧降下量毎に分割される。ライブラリ置換ステップS5により、レイアウト領域分割ステップS4によって分割された各領域に配置されたセルが、それぞれライブラリ群10における各領域の電源電圧降下量に対応した性能のライブラリのセルに置換される。
According to such a layout design method for a semiconductor integrated circuit, the power supply voltage drop amount assumption step S1 assumes that the maximum power supply voltage drop amount is uniformly distributed over the entire layout region before the layout design. The storage step, name, terminal shape, size, logical, a plurality of libraries of more chromatic cell data composed of delay and power consumption, the name the same cell between libraries of the plurality of libraries, the delay amount and varies the power consumption respectively, and terminal shape, libraries 10 size and logic are the same are stored in the storage device. In the placement and routing step S2, cell placement and inter-cell routing are performed on the layout region in accordance with a library with performance corresponding to the maximum power supply voltage drop in the library group 10. In the power supply voltage drop distribution calculation step S3, the power supply voltage drop distribution in the layout area is calculated based on the cell arrangement and the inter-cell wiring after the cell arrangement and the inter-cell wiring. In the layout area dividing step S4, the layout area is divided for each power supply voltage drop amount according to the power supply voltage drop distribution. In the library replacement step S5, the cells arranged in the respective regions divided in the layout region dividing step S4 are replaced with the library cells having the performance corresponding to the power supply voltage drop amount of each region in the library group 10, respectively.

本発明では、最大の電源電圧降下量に対応した性能の、各領域で使用されたライブラリを、それぞれライブラリ群における各領域の電源電圧降下量に対応した性能のライブラリに置換するので、レイアウト領域全体で、最大の電源電圧降下量に対応した性能のライブラリが使用されず、所定の各領域で、最大の電源電圧降下量よりも小さい電源電圧降下量に対応した性能の、各領域に最適なライブラリが使用される。よって、小さな電源電圧降下量に対応した性能のライブラリのセルほど、セルの消費電力が小さいので、チップの消費電力が小さくなる。   In the present invention, the library used in each area having the performance corresponding to the maximum power supply voltage drop amount is replaced with the library having the performance corresponding to the power supply voltage drop amount in each area in the library group. The library with the performance corresponding to the maximum power supply voltage drop amount is not used, and the library with the performance corresponding to the power supply voltage drop amount smaller than the maximum power supply voltage drop amount in each predetermined region is optimal. Is used. Therefore, since the power consumption of the library is smaller as the library cell has a performance corresponding to a small amount of power supply voltage drop, the power consumption of the chip is smaller.

以下、本発明の実施の形態を、一般的なチップのレイアウト設計をする場合を例に、図面を参照して詳細に説明する。
まず、レイアウト設計のフローチャートについて説明する。図1は、レイアウト設計のフローチャートである。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings, taking as an example a general chip layout design.
First, a layout design flowchart will be described. FIG. 1 is a flowchart of layout design.

レイアウト設計で、ライブラリ群10のライブラリが使用される。このライブラリは、名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有している。このセルデータは、ゲートアレイセルまたはスタンダードセルのデータである。また、ライブラリは、レイアウト領域における所定の電源電圧降下量に対応した性能を有している。ライブラリ群10は、このようなライブラリを複数備えている。例えば、電源電圧降下量を10段階で評価する場合、ライブラリ群10はライブラリを10個備えている。また、ライブラリ群10では、ライブラリ間で名称が同一のセルは、端子形状、サイズ及び論理も同一となっている。また、ライブラリ群10では、大きな電源電圧降下量に対応した性能のライブラリのセルほど、セルの遅延量が遅く見積もられた上でタイミング制約を満足させる必要があるので、セルの動作は大電流を流すことによって高速になっている。つまり、電源電圧降下量とセルの遅延量とは相関関係を有している。また、このような高速なセルほど、消費電力が大きくなっている。   The library of the library group 10 is used in the layout design. This library has a plurality of cell data composed of names, terminal shapes, sizes, logics, delay amounts, and power consumption amounts. This cell data is data of a gate array cell or a standard cell. The library has a performance corresponding to a predetermined power supply voltage drop amount in the layout area. The library group 10 includes a plurality of such libraries. For example, when the power supply voltage drop amount is evaluated in 10 stages, the library group 10 includes 10 libraries. In the library group 10, cells having the same name between libraries have the same terminal shape, size, and logic. In the library group 10, the library cell having the performance corresponding to the large power supply voltage drop amount needs to satisfy the timing constraint after the delay amount of the cell is estimated later, so that the operation of the cell is a large current. It has become faster by flowing. That is, the power supply voltage drop amount and the cell delay amount have a correlation. Also, the higher the cell speed, the greater the power consumption.

レイアウト設計において、電源電圧降下量仮定ステップS1で、レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する。この仮定の最大の電源電圧降下量に対応した性能のライブラリに従い、配置配線ステップS2で、レイアウト領域に対し、所定のタイミング制約を満足させるようにセル配置及びセル間配線を行う。このライブラリは、ライブラリ群10に用意されている。セル配置及びセル間配線後に、電源電圧降下分布算出ステップS3で、実際のセル配置及びセル間配線に基づいてレイアウト領域の電源電圧降下分布を算出する。この電源電圧降下分布に従い、レイアウト領域分割ステップS4で、レイアウト領域を電源電圧降下量毎に分割する。これらの分割された各領域で使用されたライブラリを、ライブラリ置換ステップS5で、それぞれ各領域の電源電圧降下量に対応した性能のライブラリに、所定のタイミング制約を満足させたまま置換する。ここで、これらの分割された各領域で使用されたライブラリ、つまり、置換前のライブラリは、全て仮定の最大の電源電圧降下量に対応した性能のライブラリであり、各領域に最適なライブラリに置換される。これらの各領域に最適なライブラリは、ライブラリ群10に所定数用意されている。   In the layout design, it is assumed that the maximum power supply voltage drop amount is uniformly distributed over the entire layout area before the layout design in the power supply voltage drop amount assumption step S1. In accordance with the library of performance corresponding to the assumed maximum power supply voltage drop, cell placement and inter-cell wiring are performed in the layout and wiring step S2 so as to satisfy predetermined timing constraints in the layout region. This library is prepared in the library group 10. After the cell arrangement and the inter-cell wiring, in a power supply voltage drop distribution calculating step S3, the power supply voltage drop distribution in the layout region is calculated based on the actual cell arrangement and the inter-cell wiring. According to the power supply voltage drop distribution, the layout area is divided for each power supply voltage drop amount in the layout area dividing step S4. In the library replacement step S5, the library used in each divided area is replaced with a library having a performance corresponding to the power supply voltage drop amount in each area while satisfying predetermined timing constraints. Here, the library used in each of these divided areas, that is, the library before replacement, is a library with performance corresponding to the assumed maximum power supply voltage drop amount, and is replaced with the optimal library for each area. Is done. A predetermined number of libraries suitable for each of these areas are prepared in the library group 10.

次に、ライブラリ群10のライブラリについて詳細に説明する。図2は、ライブラリ群を示す図である。図3は、各ライブラリの電源電圧降下量に対するセルの遅延量を示す図である。   Next, the library of the library group 10 will be described in detail. FIG. 2 is a diagram showing a library group. FIG. 3 is a diagram showing the delay amount of the cell with respect to the power supply voltage drop amount of each library.

ライブラリは、名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有している。例えば、図2に例示するように、各ライブラリは、INVD1、INVD2、NANDD1、NANDD2、ORD1及びORD2のセルデータを有している。このセルデータはゲートアレイセルまたはスタンダードセルのデータである。各セルは、ライブラリに1つだけ存在し、1つのライブラリに存在するセルは、ライブラリ群10の他の全てのライブラリにも存在している。また、ライブラリは、レイアウト領域における所定の電源電圧降下量に対応した性能を有している。例えば、Aライブラリ11は電源電圧降下量2%に対応した性能、Bライブラリ12は電源電圧降下量4%に対応した性能、Cライブラリ13は電源電圧降下量6%に対応した性能、及び、Dライブラリ14は電源電圧降下量8%に対応した性能を有している。つまり、Aライブラリ11のセルの動作は低速、Bライブラリ12のセルの動作は中速、Cライブラリ13のセルの動作は高速、Dライブラリ14のセルの動作は超高速となる。   The library has a plurality of cell data including names, terminal shapes, sizes, logics, delay amounts, and power consumption amounts. For example, as illustrated in FIG. 2, each library has cell data of INVD1, INVD2, NANDD1, NANDD2, ORD1, and ORD2. This cell data is data of a gate array cell or a standard cell. There is only one cell in the library, and cells in one library are also present in all other libraries in the library group 10. The library has a performance corresponding to a predetermined power supply voltage drop amount in the layout area. For example, A library 11 has a performance corresponding to a power supply voltage drop 2%, B library 12 has a performance corresponding to a power supply voltage drop 4%, C library 13 has a performance corresponding to a power supply voltage drop 6%, and D The library 14 has a performance corresponding to the power supply voltage drop 8%. That is, the operation of the cell of the A library 11 is low speed, the operation of the cell of the B library 12 is medium speed, the operation of the cell of the C library 13 is high speed, and the operation of the cell of the D library 14 is ultra high speed.

ライブラリ群10は、このようなライブラリを複数備えている。例えば、電源電圧降下量を4段階で評価する場合、ライブラリ群10は、Aライブラリ11、Bライブラリ12、Cライブラリ13及びDライブラリ14の4個を備えている。また、ライブラリ群10では、ライブラリ間で名称が同一のセルは、端子形状、サイズ及び論理も同一となっている。また、ライブラリ群10では、大きな電源電圧降下量に対応した性能のライブラリのセルほど、セルの遅延量が遅く見積もられた上でタイミング制約を満足させる必要があるので、セルの動作は大電流を流すことによって高速になっている。つまり、電源電圧降下量とセルの遅延量とは相関関係を有している。また、このような高速なセルほど、消費電力が大きくなっている。   The library group 10 includes a plurality of such libraries. For example, when the power supply voltage drop amount is evaluated in four stages, the library group 10 includes the A library 11, the B library 12, the C library 13, and the D library 14. In the library group 10, cells having the same name between libraries have the same terminal shape, size, and logic. In the library group 10, the library cell having the performance corresponding to the large power supply voltage drop amount needs to satisfy the timing constraint after the delay amount of the cell is estimated later, so that the operation of the cell is a large current. It has become faster by flowing. That is, the power supply voltage drop amount and the cell delay amount have a correlation. Also, the higher the cell speed, the greater the power consumption.

Aライブラリ11、Bライブラリ12、Cライブラリ13及びDライブラリ14の電源電圧降下量に対するセルの遅延量は、図3に例示するように、高速なセルを有するライブラリほど、電源電圧降下量が大きくなっても、大電流を流すことによって遅延量が大きくなりにくい。ここで、2%の電源電圧降下量のときのAライブラリ11のセルの遅延量をd{A(2%)}、4%の電源電圧降下量のときのBライブラリ12のセルの遅延量をd{B(4%)}、6%の電源電圧降下量のときのCライブラリ13のセルの遅延量をd{C(6%)}、8%の電源電圧降下量のときのDライブラリ14のセルの遅延量をd{D(8%)}として式で表すと、
d{A(2%)}≒d{B(4%)}≒d{C(6%)}≒d{D(8%)}
となる。なお、セットアップタイム及びホールドタイム等のタイミング制約を満足させる範囲で、完全に一致しなくてもよい。また、2%の電源電圧降下量のときのAライブラリ11のセルの消費電力をP{A(2%)}、2%の電源電圧降下量のときのBライブラリ12のセルの消費電力をP{B(2%)}、2%の電源電圧降下量のときのCライブラリ13のセルの消費電力をP{C(2%)}、2%の電源電圧降下量のときのDライブラリ14のセルの消費電力をP{D(2%)}として式で表すと、
P{A(2%)}<P{B(2%)}<P{C(2%)}<P{D(2%)}
となる。なお、他の電源電圧降下量のときも同様である。
As illustrated in FIG. 3, the delay amount of the cell with respect to the power supply voltage drop amount of the A library 11, the B library 12, the C library 13, and the D library 14 increases as the library having a high-speed cell increases. However, the amount of delay is unlikely to increase by passing a large current. Here, the delay amount of the cells of the A library 11 when the power supply voltage drop amount is 2% is d {A (2%)}, and the delay amount of the cells of the B library 12 when the power supply voltage drop amount is 4%. d {B (4%)}, the delay amount of the cell of the C library 13 when the power supply voltage drop amount is 6%, and the D library 14 when the power supply voltage drop amount is d {C (6%)} and 8%. If the delay amount of the cell is expressed as d {D (8%)},
d {A (2%)} ≈d {B (4%)} ≈d {C (6%)} ≈d {D (8%)}
It becomes. Note that it is not necessary to completely match the timing constraints such as the setup time and the hold time. Further, the power consumption of the cells of the A library 11 when the power supply voltage drop amount is 2% is P {A (2%)}. The power consumption of the cells of the B library 12 when the power supply voltage drop amount is 2% is P. {B (2%)} The power consumption of the cell of the C library 13 when the power supply voltage drop amount is 2% is P {C (2%)}. The power consumption of the D library 14 when the power supply voltage drop amount is 2% Expressing the power consumption of the cell as P {D (2%)},
P {A (2%)} <P {B (2%)} <P {C (2%)} <P {D (2%)}
It becomes. The same applies to other power supply voltage drop amounts.

次に、レイアウト領域について説明する。図4は、配置配線前のレイアウト領域を示す図である。
セル配置及びセル間配線前のレイアウト領域に、あらかじめマクロブロックとしてメモリ31、32が配置されている。また、レイアウト領域におけるセル配置可能な領域に、あらかじめ電源配線33、34及びグランド配線35、36が配線されている。なお、レイアウト領域に、あらかじめ電源I/Oセル、グランドI/Oセル及び信号用I/Oセルを配置してもよい。また、マクロブロックとしてアナログ回路ブロック等を配置してもよい。
Next, the layout area will be described. FIG. 4 is a diagram showing a layout area before placement and routing.
In the layout area before cell arrangement and inter-cell wiring, memories 31 and 32 are arranged in advance as macroblocks. In addition, power supply wirings 33 and 34 and ground wirings 35 and 36 are wired in advance in a region where cells can be arranged in the layout region. A power I / O cell, a ground I / O cell, and a signal I / O cell may be arranged in advance in the layout area. Further, an analog circuit block or the like may be arranged as a macro block.

次に、電源電圧降下量の仮定について説明する。
電源電圧降下量仮定ステップS1で、レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する。例えば、レイアウト領域全体に対して8%の電源電圧降下量が一様に分布すると仮定する。この仮定から、セルの遅延量が最も遅く見積もられた上でタイミング制約を満足させる必要があるので、レイアウト領域全体において、高速なセルが使用されやすい。
Next, the assumption of the power supply voltage drop will be described.
In the power supply voltage drop amount assumption step S1, it is assumed that the maximum power supply voltage drop amount is uniformly distributed over the entire layout region before layout design. For example, it is assumed that a power supply voltage drop amount of 8% is uniformly distributed over the entire layout area. From this assumption, it is necessary to satisfy the timing constraint after the delay amount of the cell is estimated to be the latest, so that high-speed cells are likely to be used in the entire layout area.

次に、セル配置及びセル間配線について説明する。図5は、配置配線後のレイアウト領域を示す図である。なお、この図5ではセル間配線を表示していない。
配置配線ステップS2で、仮定の最大の電源電圧降下量に対応した性能のライブラリに従い、レイアウト領域に対し、所定のタイミング制約を満足させるようにセル配置及びセル間配線を行う。ここで選択されるライブラリは、最終的にタイミング制約を満足できるライブラリである。例えば、超高速のDライブラリ14が選択される。
Next, cell arrangement and inter-cell wiring will be described. FIG. 5 is a diagram showing a layout area after placement and routing. In FIG. 5, inter-cell wiring is not shown.
In the placement and routing step S2, cell placement and inter-cell routing are performed on the layout area so as to satisfy predetermined timing constraints in accordance with a library of performance corresponding to the assumed maximum power supply voltage drop. The library selected here is a library that can finally satisfy the timing constraint. For example, the ultra-high speed D library 14 is selected.

次に、電源電圧降下分布の算出、及び、電源電圧降下量毎のレイアウト領域の分割について説明する。図6は、電源電圧降下分布算出後のレイアウト領域を示す図である。なお、この図6ではセル配置及びセル間配線を表示していない。   Next, calculation of the power supply voltage drop distribution and division of the layout area for each power supply voltage drop amount will be described. FIG. 6 is a diagram showing a layout area after calculation of the power supply voltage drop distribution. In FIG. 6, cell arrangement and inter-cell wiring are not shown.

電源電圧降下分布算出ステップS3で、セル配置及びセル間配線に基づいてレイアウト領域の電源電圧降下分布を算出する。この電源電圧降下分布に従い、レイアウト領域分割ステップS4で、レイアウト領域を電源電圧降下量毎に分割する。電源電圧降下量仮定ステップS1により、A領域21、B領域22、C領域23及びD領域24に対して8%の電源電圧降下量が一様に分布すると仮定されたが、図6に例示するように、A領域21に対して2%、B領域22に対して4%、C領域23に対して6%及びD領域24に対して8%の電源電圧降下量が算出される。つまり、D領域24でずれは発生しないが、A領域21で6%、B領域22で4%、及び、C領域23で2%差分のずれが発生している。   In the power supply voltage drop distribution calculating step S3, the power supply voltage drop distribution in the layout region is calculated based on the cell arrangement and the inter-cell wiring. According to the power supply voltage drop distribution, the layout area is divided for each power supply voltage drop amount in the layout area dividing step S4. In the power supply voltage drop assumption step S1, it is assumed that the power supply voltage drop of 8% is uniformly distributed with respect to the A region 21, the B region 22, the C region 23, and the D region 24. Thus, the power supply voltage drop amount is calculated to be 2% for the A region 21, 4% for the B region 22, 6% for the C region 23, and 8% for the D region 24. That is, no deviation occurs in the D region 24, but a deviation of 6% occurs in the A region 21, 4% in the B region 22, and 2% difference in the C region 23.

これらは、A領域21、B領域22及びC領域23において、実際の電源電圧降下量は仮定された電源電圧降下量よりも小さく、実際のセルの遅延量は仮定された遅延量よりも少ないことを表している。つまり、A領域21、B領域22及びC領域23において、必要以上に厳しいタイミング制約を満足させるために過剰に高速なセルを使用したことになる。この高速なセルほど消費電力が大きいので、チップの消費電力が必要以上に大きいことになる。これらのずれはタイミング制約の過剰マージンとなる。   In the A region 21, the B region 22, and the C region 23, the actual power supply voltage drop amount is smaller than the assumed power supply voltage drop amount, and the actual cell delay amount is smaller than the assumed delay amount. Represents. That is, in the A region 21, the B region 22, and the C region 23, an excessively high-speed cell is used in order to satisfy a timing constraint that is more severe than necessary. The higher the power consumption, the higher the power consumption of the chip. These deviations become an excess margin of timing constraints.

次に、ライブラリの置換について説明する。図7は、ライブラリ置換後のレイアウト領域を示す図である。図8は、ライブラリ置換前のA領域の一部を示す図である。図9は、ライブラリ置換後のA領域の一部を示す図である。なお、この図7ではセル配置及びセル間配線を表示していない。   Next, library replacement will be described. FIG. 7 is a diagram showing a layout area after library replacement. FIG. 8 is a diagram showing a part of the A area before library replacement. FIG. 9 is a diagram showing a part of the A area after library replacement. In FIG. 7, cell arrangement and inter-cell wiring are not shown.

ライブラリ置換ステップS5で、これらの分割された各領域で使用されたライブラリを、それぞれ各領域の電源電圧降下量に対応した性能のライブラリに、所定のタイミング制約を満足させたまま置換する。ここで、A領域21で使用されたDライブラリ14は、A領域21に最適なAライブラリ11に置換される。B領域22で使用されたDライブラリ14は、B領域22に最適なBライブラリ12に置換される。C領域23で使用されたDライブラリ14は、C領域23に最適なCライブラリ13に置換される。つまり、A領域21、B領域22及びC領域23において、実際の電源電圧降下量に応じて過剰に高速なセルが低速なセルに置換され、タイミング制約の過剰マージンが削除される。置換しても、各セルの端子形状及びサイズは同一なので、セル配置及びセル間配線は変化しない。   In the library replacement step S5, the library used in each of the divided areas is replaced with a library having a performance corresponding to the amount of power supply voltage drop in each area while satisfying a predetermined timing constraint. Here, the D library 14 used in the A area 21 is replaced with the A library 11 optimal for the A area 21. The D library 14 used in the B area 22 is replaced with the B library 12 optimum for the B area 22. The D library 14 used in the C region 23 is replaced with the C library 13 that is optimal for the C region 23. That is, in the A region 21, the B region 22, and the C region 23, an excessively fast cell is replaced with a slow cell in accordance with the actual power supply voltage drop amount, and an excessive margin of timing constraints is deleted. Even if replacement is performed, since the terminal shape and size of each cell are the same, the cell arrangement and inter-cell wiring do not change.

このライブラリ置換ステップS5でライブラリの置換前では、例えば、2%の電源電圧降下量のA領域21において、図8に例示するように、8%の電源電圧降下量に対応した性能のDライブラリ14のセル14aが、タイミング制約を満足して配置されている。2%の電源電圧降下量のA領域21に対し、8%の電源電圧降下量に対応した性能のDライブラリ14を使用するので、タイミング制約は過剰マージンを有して満足されている。ライブラリの置換後では、図9に例示するように、2%の電源電圧降下量に対応した性能のAライブラリ11のセル11aが配置されている。2%の電源電圧降下量のA領域21に対し、2%の電源電圧降下量に対応した性能のAライブラリ11を使用するので、タイミング制約は過剰マージンが削除されて満足されている。   Before the library replacement in this library replacement step S5, for example, in the A region 21 with a power supply voltage drop of 2%, the D library 14 having a performance corresponding to the power supply voltage drop of 8% as illustrated in FIG. The cells 14a are arranged satisfying the timing constraint. Since the D library 14 having the performance corresponding to the power supply voltage drop amount of 8% is used for the A region 21 having the power supply voltage drop amount of 2%, the timing constraint is satisfied with an excess margin. After the replacement of the library, as illustrated in FIG. 9, the cell 11a of the A library 11 having the performance corresponding to the power supply voltage drop amount of 2% is arranged. Since the A library 11 having the performance corresponding to the power supply voltage drop amount of 2% is used for the A region 21 having the power supply voltage drop amount of 2%, the timing constraint is satisfied with the excess margin removed.

このようにすると、最大の電源電圧降下量に対応した性能の、各領域で使用されたライブラリを、それぞれライブラリ群における各領域の電源電圧降下量に対応した性能のライブラリに置換するので、レイアウト領域全体で、最大の電源電圧降下量に対応した性能のライブラリが使用されず、所定の各領域で、最大の電源電圧降下量よりも小さい電源電圧降下量に対応した性能の、各領域に最適なライブラリが使用される。よって、小さな電源電圧降下量に対応した性能のライブラリのセルほど、セルの消費電力が小さいので、チップの消費電力が小さくなる。例えば、A領域21に1〜L番目までのセルが存在し、B領域22に1〜M番目までのセルが存在し、C領域23に1〜N番目までのセルが存在するとし、Aライブラリ11の所定のセルの消費電力をP(Ai)、Bライブラリ12の所定のセルの消費電力をP(Bi)、Cライブラリ13の所定のセルの消費電力をP(Ci)、Dライブラリ14の所定のセルの消費電力をP(Di)とし、削減された消費電力をPdとすると近似的に、   In this way, the library used in each area with the performance corresponding to the maximum power supply voltage drop is replaced with the library with the performance corresponding to the power supply voltage drop in each area in the library group. Overall, the performance library corresponding to the maximum power supply voltage drop amount is not used, and the performance corresponding to the power supply voltage drop amount smaller than the maximum power supply voltage drop amount in each predetermined region is optimal for each region. A library is used. Therefore, since the power consumption of the library is smaller as the library cell has a performance corresponding to a small amount of power supply voltage drop, the power consumption of the chip is smaller. For example, the 1st to Lth cells exist in the A area 21, the 1st to Mth cells exist in the B area 22, and the 1st to Nth cells exist in the C area 23. 11 P (Ai), the power consumption of a predetermined cell of the B library 12 is P (Bi), the power consumption of a predetermined cell of the C library 13 is P (Ci), Suppose that the power consumption of a given cell is P (Di) and the reduced power consumption is Pd.

Figure 0004558612
Figure 0004558612

と表現できる。
また、タイミング制約を満足させたレイアウト領域において、タイミング制約の過剰マージンを削除するだけなので、タイミング制約を満足させたままライブラリを置換できる。よって、ライブラリの置換後に、タイミング制約を満足させるために再びセル配置及びセル間配線を行う必要はないので、レイアウト設計期間を短縮できる。
Can be expressed as
Further, since the excess margin of the timing constraint is simply deleted in the layout area that satisfies the timing constraint, the library can be replaced while the timing constraint is satisfied. Therefore, after the replacement of the library, it is not necessary to perform cell placement and inter-cell wiring again in order to satisfy the timing constraint, so that the layout design period can be shortened.

また、実際のセル配置及びセル間配線に基づいて算出されたレイアウト領域の電源電圧降下分布に基づき、チップの消費電力を小さくできるので、セル配置及びセル間配線前の電源電圧降下分布の不正確な見積もりは存在しなくなる。   In addition, since the power consumption of the chip can be reduced based on the power supply voltage drop distribution in the layout area calculated based on the actual cell placement and inter-cell wiring, the power supply voltage drop distribution before cell placement and inter-cell wiring is inaccurate. No longer exists.

なお、階層レイアウト設計の場合、下位階層のブロックのレイアウト設計を行い、上位階層のブロックのレイアウト設計を行うことで、チップ全体のセル配置及びセル間配線を行い、チップ全体の電源電圧降下分布を算出し、その電源電圧降下分布に従ってライブラリの置換を行う。   In the case of the hierarchical layout design, the layout design of the lower layer block is performed, the layout design of the upper layer block is performed, the cell arrangement and inter-cell wiring of the entire chip are performed, and the power supply voltage drop distribution of the entire chip is calculated. Calculate and replace the library according to the power supply voltage drop distribution.

また、ライブラリ群10のライブラリの個数は自由に設定でき、ライブラリの個数が多いほどより正確にチップの消費電力を小さくできる。
また、ライブラリのセルの個数は自由に設定でき、セルの個数が多いほどより自由にレイアウト設計をできる。
The number of libraries in the library group 10 can be freely set, and the power consumption of the chip can be reduced more accurately as the number of libraries increases.
The number of cells in the library can be freely set, and the layout design can be more freely performed as the number of cells increases.

(付記1) セル配置及びセル間配線を行う半導体集積回路のレイアウト設計方法において、
レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する電源電圧降下量仮定ステップと、
名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有し、前記遅延量及び前記消費電力量のみがそれぞれ異なるライブラリ群を記憶装置に記憶する記憶ステップと、
前記ライブラリ群における前記最大の電源電圧降下量に対応した性能のライブラリに従い、前記レイアウト領域に対してセル配置及びセル間配線を行う配置配線ステップと、
前記セル配置及び前記セル間配線後に、前記セル配置及び前記セル間配線に基づいて前記レイアウト領域の電源電圧降下分布を算出する電源電圧降下分布算出ステップと、
前記電源電圧降下分布に従い、前記レイアウト領域を電源電圧降下量毎に分割するレイアウト領域分割ステップと、
前記レイアウト領域分割ステップによって分割された各領域で使用されたライブラリを、それぞれ前記ライブラリ群における前記各領域の電源電圧降下量に対応した性能のライブラリに置換するライブラリ置換ステップと、
を有することを特徴とする半導体集積回路のレイアウト設計方法。
(Supplementary Note 1) In a layout design method of a semiconductor integrated circuit that performs cell placement and inter-cell wiring,
A power supply voltage drop amount assumption step that assumes that the maximum power supply voltage drop amount is uniformly distributed over the entire layout area before layout design;
A storage step of storing a plurality of cell data composed of a name, a terminal shape, a size, a logic, a delay amount, and a power consumption amount, and storing a library group in which only the delay amount and the power consumption amount are different from each other in a storage device;
A placement and routing step for performing cell placement and inter-cell routing for the layout region according to a library of performance corresponding to the maximum power supply voltage drop in the library group;
A power supply voltage drop distribution calculating step for calculating a power supply voltage drop distribution in the layout region based on the cell placement and the inter-cell wiring after the cell placement and the inter-cell wiring;
A layout area dividing step for dividing the layout area for each power supply voltage drop amount according to the power supply voltage drop distribution;
A library replacement step of replacing the library used in each region divided by the layout region dividing step with a library having a performance corresponding to the amount of power supply voltage drop in each region in the library group;
A layout design method for a semiconductor integrated circuit, comprising:

(付記2) 前記配置配線ステップは、所定のタイミング制約を満足させるように前記セル配置及び前記セル間配線をし、前記ライブラリ置換ステップは、前記所定のタイミング制約を満足させたまま置換することを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。   (Supplementary Note 2) The placement and routing step performs the cell placement and the inter-cell routing so as to satisfy a predetermined timing constraint, and the library replacement step performs replacement while satisfying the predetermined timing constraint. 2. A layout design method for a semiconductor integrated circuit according to appendix 1, which is characterized by the following.

(付記3) 前記セルはゲートアレイセルであることを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。
(付記4) 前記セルはスタンダードセルであることを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。
(Supplementary note 3) The layout design method for a semiconductor integrated circuit according to supplementary note 1, wherein the cell is a gate array cell.
(Supplementary note 4) The layout design method for a semiconductor integrated circuit according to supplementary note 1, wherein the cell is a standard cell.

(付記5) 前記ライブラリ群では、大きな電源電圧降下量に対応した性能のライブラリのセルほど、前記セルの動作は高速であって消費電力が大きいことを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。   (Additional remark 5) In the library group, the cell of the library having the performance corresponding to a large power supply voltage drop amount has a higher operation speed and higher power consumption. Layout design method.

(付記6) 前記レイアウト領域は、一度にレイアウト設計がされることを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。
(付記7) 前記レイアウト領域は、下位階層のブロックのレイアウト設計をし、上位階層のブロックのレイアウト設計をすることで、レイアウト設計がされることを特徴とする付記1記載の半導体集積回路のレイアウト設計方法。
(Supplementary note 6) The layout design method for a semiconductor integrated circuit according to supplementary note 1, wherein the layout region is designed at a time.
(Supplementary note 7) The layout of the semiconductor integrated circuit according to supplementary note 1, wherein the layout area is designed by designing a layout of a lower-level block and designing a layout of a higher-level block. Design method.

(付記8) セル配置及びセル間配線を行う半導体集積回路のレイアウト設計プログラムにおいて、
コンピュータに、
レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する電源電圧降下量仮定ステップと、
名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有し、前記遅延量及び前記消費電力量のみがそれぞれ異なるライブラリ群を記憶装置に記憶する記憶ステップと、
前記ライブラリ群における前記最大の電源電圧降下量に対応した性能のライブラリに従い、前記レイアウト領域に対してセル配置及びセル間配線を行う配置配線ステップと、
前記セル配置及び前記セル間配線後に、前記セル配置及び前記セル間配線に基づいて前記レイアウト領域の電源電圧降下分布を算出する電源電圧降下分布算出ステップと、
前記電源電圧降下分布に従い、前記レイアウト領域を電源電圧降下量毎に分割するレイアウト領域分割ステップと、
前記レイアウト領域分割ステップによって分割された各領域で使用されたライブラリを、それぞれ前記ライブラリ群における前記各領域の電源電圧降下量に対応した性能のライブラリに置換するライブラリ置換ステップと、
の処理を実行させることを特徴とする半導体集積回路のレイアウト設計プログラム。
(Supplementary Note 8) In a semiconductor integrated circuit layout design program for performing cell placement and inter-cell wiring,
On the computer,
A power supply voltage drop amount assumption step that assumes that the maximum power supply voltage drop amount is uniformly distributed over the entire layout area before layout design;
A storage step of storing a plurality of cell data composed of a name, a terminal shape, a size, a logic, a delay amount, and a power consumption amount, and storing a library group in which only the delay amount and the power consumption amount are different from each other in a storage device;
A placement and routing step for performing cell placement and inter-cell routing for the layout region according to a library of performance corresponding to the maximum power supply voltage drop in the library group;
A power supply voltage drop distribution calculating step for calculating a power supply voltage drop distribution in the layout region based on the cell placement and the inter-cell wiring after the cell placement and the inter-cell wiring;
A layout area dividing step for dividing the layout area for each power supply voltage drop amount according to the power supply voltage drop distribution;
A library replacement step of replacing the library used in each region divided by the layout region dividing step with a library having a performance corresponding to the amount of power supply voltage drop in each region in the library group;
A layout design program for a semiconductor integrated circuit, characterized in that the process is executed.

(付記9) セルデータを複数有するライブラリを複数備えたライブラリ群において、
名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有する、所定の電源電圧降下量に対応した性能のライブラリを複数備え、前記ライブラリ間で名称が同一のセルは端子形状、サイズ及び論理も同一であり、大きな電源電圧降下量に対応した性能のライブラリのセルほど、前記セルの動作は高速であって消費電力が大きいことを特徴とするライブラリ群。
(Supplementary Note 9) In a library group including a plurality of libraries having a plurality of cell data,
A plurality of cell data having a plurality of cell data composed of name, terminal shape, size, logic, delay amount, and power consumption, and having a performance corresponding to a predetermined power supply voltage drop amount, and cells having the same name among the libraries The library group is characterized in that the terminal shape, the size, and the logic are the same, and the operation of the cell is faster and the power consumption is larger as the library cell has a performance corresponding to a large power supply voltage drop.

レイアウト設計のフローチャートである。It is a flowchart of a layout design. ライブラリ群を示す図である。It is a figure which shows a library group. 各ライブラリの電源電圧降下量に対するセルの遅延量を示す図である。It is a figure which shows the delay amount of the cell with respect to the power supply voltage drop amount of each library. 配置配線前のレイアウト領域を示す図である。It is a figure which shows the layout area | region before arrangement | positioning wiring. 配置配線後のレイアウト領域を示す図である。It is a figure which shows the layout area | region after arrangement | positioning wiring. 電源電圧降下分布算出後のレイアウト領域を示す図である。It is a figure which shows the layout area | region after power supply voltage drop distribution calculation. ライブラリ置換後のレイアウト領域を示す図である。It is a figure which shows the layout area | region after library replacement. ライブラリ置換前のA領域の一部を示す図である。It is a figure which shows a part of A area | region before library replacement. ライブラリ置換後のA領域の一部を示す図である。It is a figure which shows a part of A area | region after library replacement.

符号の説明Explanation of symbols

10 ライブラリ群
S1 電源電圧降下量仮定ステップ
S2 配置配線ステップ
S3 電源電圧降下分布算出ステップ
S4 レイアウト領域分割ステップ
S5 ライブラリ置換ステップ
10 library group S1 power supply voltage drop amount assumption step S2 placement and routing step S3 power supply voltage drop distribution calculation step S4 layout area division step S5 library replacement step

Claims (5)

セル配置及びセル間配線を行う半導体集積回路のレイアウト設計方法において、
コンピュータに、
レイアウト設計前に、レイアウト領域全体に対して最大の電源電圧降下量が一様に分布すると仮定する電源電圧降下量仮定ステップと、
名称、端子形状、サイズ、論理、遅延量及び消費電力量から構成されるセルデータを複数有するライブラリを複数備え前記複数のライブラリのライブラリ間において前記名称が同一のセルは、前記遅延量及び前記消費電力量がそれぞれ異なり、かつ、前記端子形状、前記サイズおよび前記論理が同一であるライブラリ群を記憶装置に記憶する記憶ステップと、
前記ライブラリ群における前記最大の電源電圧降下量に対応した性能のライブラリに従い、前記レイアウト領域に対してセル配置及びセル間配線を行う配置配線ステップと、
前記セル配置及び前記セル間配線後に、前記セル配置及び前記セル間配線に基づいて前記レイアウト領域の電源電圧降下分布を算出する電源電圧降下分布算出ステップと、
前記電源電圧降下分布に従い、前記レイアウト領域を電源電圧降下量毎に分割するレイアウト領域分割ステップと、
前記レイアウト領域分割ステップによって分割された各領域に配置されたセルを、それぞれ前記ライブラリ群における前記各領域の電源電圧降下量に対応した性能のライブラリのセルに置換するライブラリ置換ステップと、
の処理を実行させることを特徴とする半導体集積回路のレイアウト設計方法。
In a method of designing a layout of a semiconductor integrated circuit that performs cell placement and inter-cell wiring,
On the computer,
A power supply voltage drop amount assumption step that assumes that the maximum power supply voltage drop amount is uniformly distributed over the entire layout area before layout design;
Name, terminal shape, size, logical, a plurality of libraries of more chromatic cell data composed of delay and power consumption, the name the same cell between libraries of the plurality of libraries, the delay and Unlike the power consumption, respectively, and a storage step of storing the terminal shape, the size and libraries the logic is the same in the storage device,
A placement and routing step for performing cell placement and inter-cell routing for the layout region according to a library of performance corresponding to the maximum power supply voltage drop in the library group;
A power supply voltage drop distribution calculating step for calculating a power supply voltage drop distribution in the layout region based on the cell placement and the inter-cell wiring after the cell placement and the inter-cell wiring;
A layout area dividing step for dividing the layout area for each power supply voltage drop amount according to the power supply voltage drop distribution;
A library replacement step of replacing the cells arranged in each region divided by the layout region dividing step with cells of a library having a performance corresponding to a power supply voltage drop amount of each region in the library group;
A layout design method for a semiconductor integrated circuit, comprising:
前記配置配線ステップは、所定のタイミング制約を満足させるように前記セル配置及び前記セル間配線をし、前記ライブラリ置換ステップは、前記所定のタイミング制約を満足させたまま置換することを特徴とする請求項1記載の半導体集積回路のレイアウト設計方法。   The placement and routing step performs the cell placement and inter-cell routing so as to satisfy a predetermined timing constraint, and the library replacement step performs replacement while satisfying the predetermined timing constraint. A layout design method for a semiconductor integrated circuit according to Item 1. 前記ライブラリ群の各ライブラリが有するセルはゲートアレイセルであることを特徴とする請求項1記載の半導体集積回路のレイアウト設計方法。 2. The layout design method for a semiconductor integrated circuit according to claim 1, wherein the cells of each library in the library group are gate array cells. 前記ライブラリ群の各ライブラリが有するセルはスタンダードセルであることを特徴とする請求項1記載の半導体集積回路のレイアウト設計方法。 2. The layout design method for a semiconductor integrated circuit according to claim 1, wherein the cells of each library in the library group are standard cells. 前記ライブラリ群では、大きな電源電圧降下量に対応した性能のライブラリのセルほど、動作は高速であって消費電力が大きいことを特徴とする請求項1記載の半導体集積回路のレイアウト設計方法。 In the above libraries, the more cells in the library of performance ready for a large power supply voltage drop amount, operating the layout design method of a semiconductor integrated circuit according to claim 1, wherein the power consumption a high-speed large.
JP2005254730A 2005-09-02 2005-09-02 Layout design method for semiconductor integrated circuit Expired - Fee Related JP4558612B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005254730A JP4558612B2 (en) 2005-09-02 2005-09-02 Layout design method for semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005254730A JP4558612B2 (en) 2005-09-02 2005-09-02 Layout design method for semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JP2007066239A JP2007066239A (en) 2007-03-15
JP4558612B2 true JP4558612B2 (en) 2010-10-06

Family

ID=37928307

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005254730A Expired - Fee Related JP4558612B2 (en) 2005-09-02 2005-09-02 Layout design method for semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP4558612B2 (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08139589A (en) * 1994-11-15 1996-05-31 Toshiba Corp C-mos logic circuit and designing method therefor
JPH10321725A (en) * 1997-05-14 1998-12-04 Toshiba Corp Method and apparatus for designing semiconductor integrated circuit
JP2000099554A (en) * 1998-09-21 2000-04-07 Matsushita Electric Ind Co Ltd Logic block arranging method, delay library and delay time calculating method
JP2003273219A (en) * 2002-03-13 2003-09-26 Fujitsu Ltd Layout method of integrated circuit with relaxed influence due to voltage drop of power source wiring and its program
JP2004096103A (en) * 2002-08-09 2004-03-25 Matsushita Electric Ind Co Ltd The design method and design equipment of semiconductor integrated circuit device
JP2004102725A (en) * 2002-09-10 2004-04-02 Matsushita Electric Ind Co Ltd Method for designing semiconductor integrated circuit
JP2004193260A (en) * 2002-12-10 2004-07-08 Sony Corp Method and arrangement for circuit design, element, manufacturing apparatus, and program

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08139589A (en) * 1994-11-15 1996-05-31 Toshiba Corp C-mos logic circuit and designing method therefor
JPH10321725A (en) * 1997-05-14 1998-12-04 Toshiba Corp Method and apparatus for designing semiconductor integrated circuit
JP2000099554A (en) * 1998-09-21 2000-04-07 Matsushita Electric Ind Co Ltd Logic block arranging method, delay library and delay time calculating method
JP2003273219A (en) * 2002-03-13 2003-09-26 Fujitsu Ltd Layout method of integrated circuit with relaxed influence due to voltage drop of power source wiring and its program
JP2004096103A (en) * 2002-08-09 2004-03-25 Matsushita Electric Ind Co Ltd The design method and design equipment of semiconductor integrated circuit device
JP2004102725A (en) * 2002-09-10 2004-04-02 Matsushita Electric Ind Co Ltd Method for designing semiconductor integrated circuit
JP2004193260A (en) * 2002-12-10 2004-07-08 Sony Corp Method and arrangement for circuit design, element, manufacturing apparatus, and program

Also Published As

Publication number Publication date
JP2007066239A (en) 2007-03-15

Similar Documents

Publication Publication Date Title
US9910950B2 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8645893B1 (en) Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
US8742464B2 (en) Power routing in standard cells
US7600208B1 (en) Automatic placement of decoupling capacitors
US7231374B1 (en) Scheme for evaluating costs and/or benefits of manufacturing technologies
US6993738B2 (en) Method for allocating spare cells in auto-place-route blocks
KR20170047998A (en) Engineering change order (eco) cell, layout thereof and integrated circuit including eco cell
US11853678B2 (en) Block level design method for heterogeneous PG-structure cells
US9904752B2 (en) Methods for distributing power in layout of IC
US8187924B2 (en) Method, design program and design support device for semiconductor integrated circuit, and semiconductor integrated circuit
US20090079488A1 (en) Semiconductor device
Baskaya et al. Placement for large-scale floating-gate field-programable analog arrays
JP4558612B2 (en) Layout design method for semiconductor integrated circuit
US6938232B2 (en) Floorplanning apparatus deciding floor plan using logic seeds associated with hierarchical blocks
US20220171912A1 (en) Poly-bit cells
US6512708B1 (en) Placement and routing for wafer scale memory
US20210264081A1 (en) Methods of designing semiconductor devices, design systems performing the same and methods of manufacturing semiconductor devices using the same
US7844935B2 (en) Wiring design system of semiconductor integrated circuit, semiconductor integrated circuit, and wiring design program
JP2010073728A (en) Method and device for designing semiconductor integrated circuit layout
EP3327594A1 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
Groeneveld Physical design challenges for billion transistor chips
JP6946969B2 (en) Semiconductor integrated circuit, semiconductor integrated circuit manufacturing method and semiconductor design support device
이동윤 Power Optimization Techniques Applicable in Pre/Post Placement Stages for Modern System-on-Chips
Taghavi et al. Parameterized free space redistribution for engineering change in placement of integrated circuits
JP2003330990A (en) Logic net list supporting multi power-supply, delay information extracting method and logic timing verification method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080605

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100309

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100720

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100721

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130730

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees