JP2004096103A - The design method and design equipment of semiconductor integrated circuit device - Google Patents

The design method and design equipment of semiconductor integrated circuit device Download PDF

Info

Publication number
JP2004096103A
JP2004096103A JP2003281186A JP2003281186A JP2004096103A JP 2004096103 A JP2004096103 A JP 2004096103A JP 2003281186 A JP2003281186 A JP 2003281186A JP 2003281186 A JP2003281186 A JP 2003281186A JP 2004096103 A JP2004096103 A JP 2004096103A
Authority
JP
Japan
Prior art keywords
voltage drop
wiring
integrated circuit
semiconductor integrated
drop value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2003281186A
Other languages
Japanese (ja)
Inventor
Kazuhiro Sato
佐藤 和弘
Noriko Ishibashi
石橋 典子
Nobufusa Iwanishi
岩西 信房
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003281186A priority Critical patent/JP2004096103A/en
Publication of JP2004096103A publication Critical patent/JP2004096103A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of designing an integrated circuit device capable of considering the effect when the voltage drop changes and capable of performing a proper design even though a deviation occurs. <P>SOLUTION: In the method of designing the integrated circuit device where inter-terminal of transistors constituted on the silicon wafer are connected with metal wiring, information on the transistors are inputted, and the method has a first process where a schematic layout is prepared so as to minimize the wiring distances and wiring capacitance of the inter-transistor connections, a second process where an information on the voltage drop value is prepared based on the schematic layout of the transistors, and a third process where the transistors are arranged based on the information on the voltage drop value. <P>COPYRIGHT: (C)2004,JPO

Description

 本発明は、半導体集積回路装置の設計方法および設計装置に関する。特に、トランジスタ又は論理的機能を有するトランジスタ集合体であるセルを、電圧降下値を考慮して配置する半導体集積回路装置の設計方法および設計装置に関する。 The present invention relates to a method and an apparatus for designing a semiconductor integrated circuit device. In particular, the present invention relates to a method and an apparatus for designing a semiconductor integrated circuit device in which a transistor or a cell that is a transistor aggregate having a logical function is arranged in consideration of a voltage drop value.

 近年、半導体の製造プロセスの微細化が急速に進展しており、同一チップサイズに含まれるトランジスタの規模も飛躍的に上昇している。それに伴って半導体チップ単位の消費電力も急激に増加していることから、供給電圧(動作電圧)を下げる等の対処を行うことによって、消費電力の増加を少しでも抑制しようとしているのが現状である。 In recent years, the miniaturization of semiconductor manufacturing processes has been rapidly progressing, and the scale of transistors included in the same chip size has also increased dramatically. At the same time, the power consumption of each semiconductor chip has been rapidly increasing, and the current situation is to reduce the increase in power consumption by taking measures such as lowering the supply voltage (operating voltage). is there.

 このように消費電力の増加を抑制するために供給電圧を下げることにより、電流値は増加することになる。そして、かかる電流値の増加によって、回路動作に悪影響を与えるおそれも生じている。このような半導体チップ内の電圧降下に伴う問題点に対処するために、例えば特開平11−45979号公報においては、かかる電圧降下を低減するための方法が開示されており、特開2000−194732号公報においては、かかる電圧降下値を正確に解析するための方法が開示されている。一方、特開2000−163460号公報においては、電圧降下値を正確に解析するとともに、電圧降下自体を低減するための方法が開示されている。 (4) By reducing the supply voltage in order to suppress the increase in power consumption, the current value increases. The increase in the current value may adversely affect the circuit operation. In order to deal with such a problem caused by the voltage drop in the semiconductor chip, for example, Japanese Patent Application Laid-Open No. H11-44979 discloses a method for reducing the voltage drop. In Japanese Patent Laid-Open Publication No. H11-264, a method for accurately analyzing such a voltage drop value is disclosed. On the other hand, Japanese Patent Application Laid-Open No. 2000-163460 discloses a method for accurately analyzing a voltage drop value and reducing the voltage drop itself.

 上述した電圧降下解析方法及び低減方法においては、ほぼ又は完全に配置の完了したトランジスタ又はセルについて、これらの消費電力及び電圧降下値の解析を行うことになる。そして、電圧降下値に応じたトランジスタ遅延を用いてタイミングの検証を行うことによって、製造後における動作不良の発生を抑制したり、電圧降下率を削減するために適切な電源配線の補強を行うことになる。
特開平11−45979号公報 特開2000−194732号公報 特開2000−163460号公報
In the voltage drop analysis method and the reduction method described above, the power consumption and the voltage drop value of the transistors or cells that have been almost or completely arranged are analyzed. Then, by verifying the timing using the transistor delay according to the voltage drop value, it is necessary to suppress the occurrence of operation failure after manufacturing and to appropriately reinforce the power supply wiring to reduce the voltage drop rate. become.
Japanese Patent Application Laid-Open No. H11-45977 JP 2000-194732 A JP 2000-163460 A

 しかし、ある程度の電圧降下が発生することを回避することはできず、発生する一定の電圧降下分に関しては、通常は回路全体の設計マージンとして設計されている。すなわち、10%の電圧降下が発生すると見積もった場合には、10%分だけ通常の仕様よりも高速な回路設計を行っておき、電圧降下が発生した場合であっても仕様通りの動作速度を保証できるようにしている。 However, generation of a certain voltage drop cannot be avoided, and the generated voltage drop is usually designed as a design margin of the entire circuit. That is, when it is estimated that a voltage drop of 10% occurs, a circuit design faster than the normal specification is performed by 10%, and even when a voltage drop occurs, the operation speed according to the specification is reduced. I can guarantee it.

 このような設計方法を採用しているため、回路上のタイミング検証に基づいて比較的タイミングに余裕のあるパスに属するセルに対しても、クリティカルパスに属するセルに対するのと同様の電源補強が行われ、無用なチップ面積の増大を招いているという問題点があった。 Since such a design method is employed, power supply reinforcement similar to cells belonging to a critical path is performed for cells belonging to a path having relatively large timing based on timing verification on a circuit. Thus, there is a problem that an unnecessary chip area is increased.

 また、論理合成を行う際には、通常理想クロックを前提として論理合成等の処理を行うため、電圧降下の影響によって、クロックソースから各フリップフロップまでの経路が等長等容量配線であった場合であっても遅延ばらつきが発生し、合成時に含ませるクロック遅延ばらつき(スキュー)マージンは、配置配線ツールの機能的な配線長、配線容量制御ばらつきに加え、電圧降下ばらつきを最大限に考慮した大幅なマージン設計を行う必要がある。 Also, when performing logic synthesis, processing such as logic synthesis is usually performed on the premise of an ideal clock. Therefore, due to the effect of voltage drop, the path from the clock source to each flip-flop is an equal-length equal-capacity wiring. However, the delay variation occurs, and the clock delay variation (skew) margin included in the synthesis is largely determined by considering the voltage drop variation in addition to the functional wiring length and wiring capacitance control variation of the placement and routing tool. It is necessary to design a good margin.

 そして、かかるタイミングマージンを少なくし、配線完了後に実クロック遅延情報によるタイミング最適化を実行した場合であっても、回路構成が変更されることにより電圧降下値がタイミング最適化を実行する前と相違することから、タイミング最適化処理が収束しないおそれがあるという問題点もあった。 Even when the timing margin is reduced and the timing optimization based on the actual clock delay information is performed after the wiring is completed, the voltage drop value is different from that before the timing optimization is performed due to a change in the circuit configuration. Therefore, there is a problem that the timing optimization process may not converge.

 さらに、回路中における故障箇所を検出するためのテスト回路(スキャン回路)においては、通常、理想クロックを想定したスキャンチェーンの生成が行われていることから、スキャン動作時に電圧降下が最大になる可能性が高く、当該電圧降下の影響によるクロックスキューが原因で故障検出テストを行うことができないという問題点もあった。 Further, in a test circuit (scan circuit) for detecting a failure portion in a circuit, a scan chain is normally generated on the assumption of an ideal clock, so that a voltage drop can be maximized during a scan operation. There is also a problem that a failure detection test cannot be performed due to clock skew due to the influence of the voltage drop.

 本発明は、電圧降下値が異なった場合の影響も考慮でき、ばらつきが生じている場合であっても適切な設計を行うことができる半導体集積回路装置の設計方法および設計装置を提供することを目的とする。 An object of the present invention is to provide a method and an apparatus for designing a semiconductor integrated circuit device, which can take into account the effects of different voltage drop values and can perform an appropriate design even when variations occur. Aim.

 本発明に係る半導体集積回路装置の設計方法は、シリコンウエハ上に構成されたトランジスタの端子間をメタル配線で接続する半導体集積回路装置の設計方法であって、前記トランジスタに関する情報を入力とし、前記トランジスタ間接続の配線距離や配線容量を最小とするように概略配置を行う第一の工程と、前記トランジスタの概略配置に基づいて電圧降下値に関する情報を作成する第二の工程と、前記電圧降下値に関する情報に基づいて前記トランジスタの配置を行う第三の工程とを有することを特徴とする。 A method for designing a semiconductor integrated circuit device according to the present invention is a method for designing a semiconductor integrated circuit device in which terminals of transistors formed on a silicon wafer are connected by metal wiring, wherein information on the transistors is input, and A first step of performing a general arrangement so as to minimize a wiring distance and a wiring capacitance of a connection between transistors; a second step of creating information on a voltage drop value based on the general arrangement of the transistors; and And a third step of arranging the transistors based on the information on the values.

 本発明に係る半導体集積回路装置の設計装置は、シリコンウエハ上に構成されたトランジスタの端子間をメタル配線で接続する半導体集積回路装置の設計装置であって、前記トランジスタに関する情報を入力とし、前記トランジスタ間接続の配線距離や配線容量を最小とするように概略配置を行う概略配置手段と、前記トランジスタの概略配置に基づいて電圧降下値に関する情報を作成する電圧降下値情報作成手段と、前記電圧降下値に関する情報に基づいて前記トランジスタの配置を行う再配置手段とを具備することを特徴とする。 A device for designing a semiconductor integrated circuit device according to the present invention is a device for designing a semiconductor integrated circuit device in which terminals of transistors formed on a silicon wafer are connected by metal wiring. A schematic arrangement means for performing an approximate arrangement so as to minimize a wiring distance and a wiring capacitance of a connection between transistors; a voltage drop value information creating means for creating information on a voltage drop value based on the schematic arrangement of the transistors; And a rearrangement means for arranging the transistors based on the information on the drop value.

 本発明によれば、電圧降下値が異なった場合の影響も考慮でき、ばらつきが生じている場合であっても適切な設計を行うことができる半導体集積回路装置の設計方法および設計装置を提供することができる。 According to the present invention, it is possible to provide a design method and a design apparatus for a semiconductor integrated circuit device, which can take into account the effects of different voltage drop values and can perform appropriate design even when there is variation. be able to.

 本実施の形態に係る半導体集積回路装置の設計方法においては、電圧降下値に関する情報に基づいてトランジスタの配置を行う第三の工程を有している。かかる構成により、電圧降下によるセルの遅延増加を考慮したセル配置を行うことができ、従来のようにセル配置後に電圧降下値を考慮した遅延計算を行い、タイミング検証を行う方法と比べて、配置処理段階でタイミング修正のための回路最適化処理を行うことができ、設計期間の短縮を図ることが可能となる。 The method of designing a semiconductor integrated circuit device according to the present embodiment includes a third step of arranging transistors based on information on a voltage drop value. With such a configuration, it is possible to perform cell placement in consideration of an increase in cell delay due to a voltage drop, and to perform a delay calculation in consideration of a voltage drop value after a cell placement as in the related art and perform a timing verification. Circuit optimization processing for timing correction can be performed at the processing stage, and the design period can be shortened.

 この実施の形態では、前記第二の工程が、概略配置された前記トランジスタ間を接続する概略配線を行う工程と、前記概略配線に基づいて配線容量を見積り、前記各トランジスタの負荷容量を算出することにより消費電力の計算を行う工程と、配線された電源/グラウンド配線の抵抗値を定める工程と、算出された前記消費電力に基づいて、配線された電源/グラウンド配線の前記抵抗値に電流源が接続された場合における電源供給源からの電圧降下値を計算する工程と、計算された前記電圧降下値に基づいて、前記半導体集積回路装置における電圧降下分布を求める工程とを含むことが好ましい。半導体集積回路装置における電圧降下分布が求まることで、より正確に適切なトランジスタ配置を行うことができるからである。 In this embodiment, the second step is a step of performing a rough wiring for connecting the generally arranged transistors, and a wiring capacity is estimated based on the rough wiring, and a load capacity of each of the transistors is calculated. Calculating the power consumption, thereby determining the resistance value of the wired power / ground wiring, and, based on the calculated power consumption, applying a current source to the resistance value of the wired power / ground wiring. It is preferable to include a step of calculating a voltage drop value from a power supply source when the power supply is connected, and a step of obtaining a voltage drop distribution in the semiconductor integrated circuit device based on the calculated voltage drop value. This is because, by obtaining the voltage drop distribution in the semiconductor integrated circuit device, it is possible to more accurately and appropriately arrange the transistors.

 前記第三の工程が、前記電圧降下分布に基づいて、任意の電圧降下値が想定される場所に適切な前記トランジスタを配置する工程を含むことが好ましい。 {Preferably, the third step includes a step of arranging an appropriate transistor at a place where an arbitrary voltage drop value is assumed based on the voltage drop distribution.

 前記第三の工程が、前記半導体集積回路装置におけるフリップフロップ間のパス全体もしくはソース側とシンク側のフリップフロップ対を、前記電圧降下値に関する情報に基づいて電圧降下値差の小さい領域内に配置する工程を含むことが好ましい。各パスを一定の電圧降下値にすることによりパスの遅延計算を一定の電圧降下値で計算することができ、静的タイミング解析を行う場合においても、高速に電圧降下値を考慮した遅延計算ができるからである。 In the third step, the entire path between flip-flops in the semiconductor integrated circuit device or the pair of flip-flops on the source side and the sink side is arranged in an area having a small voltage drop value difference based on the information on the voltage drop value. It is preferable to include a step of performing By setting each path to a constant voltage drop value, the delay calculation of the path can be calculated with a constant voltage drop value, and even when performing static timing analysis, the delay calculation considering the voltage drop value at high speed can be performed. Because you can.

 概略配置された前記トランジスタ間を接続する概略配線を行う工程と、前記トランジスタの概略配置及び概略配線に基づいてフリップフロップ間のパス遅延を算出する工程と、算出された前記パス遅延と制約遅延時間を比較し、各フリップフロップ間のパスにおけるパス遅延の余裕を求める工程とをさらに含み、前記第三の工程が、前記パス遅延の余裕が大きい前記パスに含まれる前記トランジスタを、電圧降下値の大きい領域に優先的に配置する工程を含むことが好ましい。電圧降下によるセルの遅延増加をパスタイミングの余裕部分で吸収することができ、クリティカルパスの遅延増加を補うための電源補強やタイミングマージンの割合を削減することが可能となり、チップ面積の削減及び回路の仕様動作速度を満たすためのタイミング最適化処理の繰り返し回数削減による設計期間の短縮を図ることができるからである。 Performing a general wiring for connecting the generally arranged transistors, calculating a path delay between flip-flops based on the general layout and the general wiring of the transistors, and calculating the calculated path delay and constraint delay time And determining a margin of a path delay in a path between the flip-flops, wherein the third step includes setting the transistor included in the path having a large path delay margin to a voltage drop value. It is preferable to include a step of preferentially arranging in a large area. Increase in cell delay due to voltage drop can be absorbed in the margin of path timing, which makes it possible to reinforce the power supply to compensate for the increase in critical path delay and reduce the ratio of timing margin, thereby reducing chip area and circuit. This is because the design period can be shortened by reducing the number of repetitions of the timing optimization process to satisfy the specification operation speed.

 前記トランジスタの故障箇所を検出するためのテスト回路を組み込む工程をさらに含み、前記第三の工程が、前記電圧降下値に関する情報に基づいて前記テスト回路の接続順の変更をする工程を含むことが好ましい。電圧降下を考慮した各フリップフロップのクロックスキューとデータ到着時間を算出することができることから、スキャンチェーン接続後において電圧降下の影響によりホールドタイムの制約を満たさなくなることを未然に回避できるからである。したがって、スキャン用フリップフロップへのクロックツリー経路が異なる場合であっても、電圧降下によるクロックスキューが大きくなってホールドタイムエラーを起こし故障検出ができないという問題を未然に防止することができ、故障検証処理のみで問題となる正常動作可能なLSIを良品として出荷できるため歩留りの向上が可能となる。 The method may further include a step of incorporating a test circuit for detecting a failure location of the transistor, and the third step may include a step of changing a connection order of the test circuit based on information on the voltage drop value. preferable. This is because the clock skew and the data arrival time of each flip-flop in consideration of the voltage drop can be calculated, so that the restriction on the hold time due to the influence of the voltage drop after the scan chain connection can be avoided beforehand. Therefore, even when the clock tree path to the scan flip-flop is different, it is possible to prevent the problem that the clock skew due to the voltage drop becomes large and the hold time error occurs, and the failure cannot be detected. Since a normally operable LSI which becomes a problem only by processing can be shipped as a non-defective product, the yield can be improved.

 前記第二の工程において、フリップフロップ回路に対する供給信号のうち、多ファンアウトの信号をツリー上に分割する工程をさらに含み、前記第三の工程において、前記電圧降下値に関する情報に基づいて、前記ツリーに属する前記トランジスタの駆動能力を遅延時間として算出する工程と、信号源から信号受信端までの遅延時間が、前記ツリーに属する前記トランジスタの駆動能力として算出された前記遅延時間と一致するように抵抗値及び容量値を算出する工程と、算出された前記抵抗値及び前記容量値となるように、前記信号源と前記ツリーに属する前記トランジスタとの間、及び前記信号源と前記信号受信端との間を配線する工程を含むことが好ましい。 In the second step, among the supply signals to the flip-flop circuit, further includes a step of dividing a signal of multiple fan-out on a tree, in the third step, based on the information about the voltage drop value, Calculating the driving capability of the transistor belonging to the tree as a delay time, and causing the delay time from the signal source to the signal receiving end to match the delay time calculated as the driving capability of the transistor belonging to the tree. Calculating a resistance value and a capacitance value, and between the signal source and the transistor belonging to the tree, and the signal source and the signal receiving end so that the calculated resistance value and the calculated capacitance value are obtained. It is preferable to include a step of wiring between them.

 電圧降下を考慮した信号遅延時間差を算出し、当該遅延時間差が発生しないよう配線処理を行うことによって、LSI使用時に発生する遅延時間差をゼロにすることができ、予想外の動作異常が生じることを未然に回避することができるからである。 By calculating a signal delay time difference in consideration of a voltage drop and performing wiring processing so that the delay time difference does not occur, the delay time difference generated when using the LSI can be reduced to zero, and unexpected operation abnormality may occur. This is because it can be avoided beforehand.

 本実施の形態に係る半導体集積回路装置の設計装置においては、電圧降下値に関する情報に基づいてトランジスタの配置を行う再配置手段が設けられている。かかる構成により、電圧降下によるセルの遅延増加を考慮したセル配置を行うことができ、従来のようにセル配置後に電圧降下値を考慮した遅延計算を行い、タイミング検証を行う方法と比べて、配置処理段階でタイミング修正のための回路最適化処理を行うことができ、設計期間の短縮を図ることが可能となる。 In the semiconductor integrated circuit device designing apparatus according to the present embodiment, there is provided a rearrangement means for arranging transistors based on information on a voltage drop value. With such a configuration, it is possible to perform cell placement in consideration of an increase in cell delay due to a voltage drop, and to perform a delay calculation in consideration of a voltage drop value after a cell placement as in the related art and perform a timing verification. Circuit optimization processing for timing correction can be performed at the processing stage, and the design period can be shortened.

 この実施の形態では、前記電圧降下値情報作成手段が、概略配置された前記トランジスタ間を接続する概略配線を行う概略配線手段と、前記概略配線に基づいて配線容量を見積り、前記各トランジスタの負荷容量を算出することにより消費電力の計算を行う消費電力計算手段と、配線された電源/グラウンド配線の抵抗値を定める抵抗値抽出手段と、算出された前記消費電力に基づいて、配線された電源/グラウンド配線の前記抵抗値に電流源が接続された場合における電源供給源からの電圧降下値を計算する電圧降下値計算手段と、計算された前記電圧降下値に基づいて、前記半導体集積回路装置における電圧降下分布を求める電圧降下分布作成手段とを含むことが好ましい。 In this embodiment, the voltage drop value information creating means estimates a wiring capacity based on the schematic wiring, and a general wiring means for performing general wiring for connecting the generally arranged transistors, and a load on each of the transistors. Power consumption calculation means for calculating power consumption by calculating a capacity; resistance value extraction means for determining a resistance value of a wired power supply / ground wiring; and a wired power supply based on the calculated power consumption. Voltage drop value calculation means for calculating a voltage drop value from a power supply source when a current source is connected to the resistance value of the ground wiring, and the semiconductor integrated circuit device based on the calculated voltage drop value And a voltage drop distribution generating means for obtaining a voltage drop distribution in the above.

 前記再配置手段が、前記電圧降下分布に基づいて、任意の電圧降下値が想定される場所に適切な前記トランジスタを配置することが好ましい。 It is preferable that the rearrangement unit arranges the appropriate transistor at a place where an arbitrary voltage drop value is assumed based on the voltage drop distribution.

 前記再配置手段が、前記半導体集積回路装置におけるフリップフロップ間のパス全体もしくはソース側とシンク側のフリップフロップ対を、前記電圧降下値に関する情報に基づいて電圧降下値差の小さい領域内に配置することが好ましい。 The rearrangement means arranges an entire path between flip-flops or a pair of source-side and sink-side flip-flops in the semiconductor integrated circuit device in a region having a small voltage drop value difference based on information on the voltage drop value. Is preferred.

 前記電圧降下値情報作成手段が、前記トランジスタの概略配置及び概略配線に基づいてフリップフロップ間のパス遅延を算出するパス遅延算出手段と、算出された前記パス遅延と制約遅延時間を比較し、各フリップフロップ間のパスにおけるパス遅延の余裕を求めるパス遅延余裕算出手段とをさらに含み、前記再配置手段が、前記パス遅延の余裕が大きい前記パスに含まれる前記トランジスタを、電圧降下値の大きい領域に優先的に配置することが好ましい。 The voltage drop value information creating unit compares a path delay between flip-flops based on a schematic arrangement and a schematic wiring of the transistor with a path delay calculating unit, and compares the calculated path delay with a constraint delay time. Path delay margin calculating means for determining a path delay margin in a path between flip-flops, wherein the rearrangement means converts the transistors included in the path having a large path delay margin into a region having a large voltage drop value. It is preferable to arrange them preferentially.

 前記電圧降下値情報作成手段が、前記トランジスタの故障箇所を検出するためのテスト回路を組み込むテスト回路組込手段をさらに含み、前記再配置手段が、前記電圧降下値に関する情報に基づいて前記テスト回路の接続順の変更をすることが好ましい。 The voltage drop value information creating means further includes a test circuit incorporation means for incorporating a test circuit for detecting a failure location of the transistor, and the rearrangement means includes a test circuit based on the information on the voltage drop value. It is preferable to change the connection order.

 前記電圧降下値情報作成手段は、フリップフロップ回路に対する供給信号のうち、多ファンアウトの信号をツリー上に分割し、前記再配置手段は、前記電圧降下値に関する情報に基づいて、前記ツリーに属する前記トランジスタの駆動能力を遅延時間として算出する手段と、信号源から信号受信端までの遅延時間が、前記ツリーに属する前記トランジスタの駆動能力として算出された前記遅延時間と一致するように抵抗値及び容量値を算出する手段と、算出された前記抵抗値及び前記容量値となるように、前記信号源と前記ツリーに属する前記トランジスタとの間、及び前記信号源と前記信号受信端との間を配線する手段を含むことが好ましい。 The voltage drop value information creating unit divides a multi-fanout signal among the supply signals to the flip-flop circuit into a tree, and the rearrangement unit belongs to the tree based on the information on the voltage drop value. Means for calculating the driving capability of the transistor as a delay time, and a resistance value and a delay value so that the delay time from the signal source to the signal receiving end matches the delay time calculated as the driving capability of the transistor belonging to the tree. Means for calculating a capacitance value, and between the signal source and the transistor belonging to the tree, and between the signal source and the signal receiving end so that the calculated resistance value and the calculated capacitance value are obtained. It is preferable to include means for wiring.

 以下、図面を参照して本発明の実施の形態を説明する。 Hereinafter, embodiments of the present invention will be described with reference to the drawings.

 (実施の形態1)
 図1は、実施の形態1に係る半導体集積回路設計装置100の構成を示すブロック図である。半導体集積回路設計装置100は、シリコンウエハ上に構成されたトランジスタの端子間をメタル配線で接続する。半導体集積回路設計装置100には、概略配置部2が設けられている。概略配置部2は、トランジスタに関する情報を入力とし、トランジスタ間接続の配線距離や配線容量を最小とするように概略配置を行う。半導体集積回路設計装置100は、電圧降下値情報生成部1を備えている。電圧降下値情報生成部1は、概略配置部2によるトランジスタの概略配置に基づいて電圧降下値に関する情報を作成する。
(Embodiment 1)
FIG. 1 is a block diagram showing a configuration of a semiconductor integrated circuit design device 100 according to the first embodiment. The semiconductor integrated circuit designing apparatus 100 connects terminals of transistors formed on a silicon wafer with metal wiring. In the semiconductor integrated circuit design device 100, a schematic arrangement unit 2 is provided. The schematic arrangement unit 2 receives information on transistors as input and performs general arrangement so as to minimize the wiring distance and wiring capacitance of the connection between transistors. The semiconductor integrated circuit design device 100 includes a voltage drop value information generation unit 1. The voltage drop value information generation unit 1 creates information on a voltage drop value based on the schematic arrangement of the transistors by the schematic arrangement unit 2.

 電圧降下値情報生成部1は、概略配線部4を有している。概略配線部4は、概略配置部2によって概略配置されたトランジスタ間を接続する概略配線を行う。電圧降下値情報生成部1には、消費電力計算部5が設けられている。消費電力計算部5は、概略配線部4による概略配線に基づいて配線容量を見積り、各トランジスタの負荷容量を算出することにより消費電力の計算を行う。電圧降下値情報生成部1は、抵抗値抽出部6を有している。抵抗値抽出部6は、配線された電源/グラウンド配線の抵抗値を定める。電圧降下値情報生成部1には、電圧降下値計算部7が設けられている。電圧降下値計算部7は、算出された消費電力に基づいて、配線された電源/グラウンド配線の抵抗値に電流源が接続された場合における電源供給源からの電圧降下値を計算する。電圧降下値情報生成部1は、電圧降下分布作成部8を有している。電圧降下分布作成部8は、計算された電圧降下値に基づいて、半導体集積回路装置における電圧降下分布を求める。 The voltage drop value information generation unit 1 has a schematic wiring unit 4. The general wiring unit 4 performs general wiring for connecting the transistors roughly arranged by the general arrangement unit 2. The voltage drop value information generator 1 includes a power consumption calculator 5. The power consumption calculation unit 5 estimates the wiring capacity based on the schematic wiring by the schematic wiring unit 4 and calculates the power consumption by calculating the load capacitance of each transistor. The voltage drop value information generator 1 has a resistance value extractor 6. The resistance value extraction unit 6 determines the resistance value of the wired power / ground wiring. The voltage drop value information generator 1 includes a voltage drop value calculator 7. The voltage drop value calculator 7 calculates a voltage drop value from the power supply source when the current source is connected to the resistance value of the wired power / ground wiring based on the calculated power consumption. The voltage drop value information generator 1 has a voltage drop distribution generator 8. The voltage drop distribution creating unit 8 obtains a voltage drop distribution in the semiconductor integrated circuit device based on the calculated voltage drop value.

 半導体集積回路設計装置100は、再配置部3を備えている。再配置部3は、電圧降下値情報生成部1によって作成された電圧降下値に関する情報に基づいてトランジスタの配置を行う。 The semiconductor integrated circuit design device 100 includes the rearrangement unit 3. The rearrangement section 3 arranges the transistors based on the information on the voltage drop value created by the voltage drop value information generation section 1.

 このように構成された半導体集積回路設計装置100の動作を説明する。図2は本発明の実施の形態1にかかる半導体集積回路装置の設計方法における処理の流れ図を示している。 The operation of the semiconductor integrated circuit designing apparatus 100 thus configured will be described. FIG. 2 is a flowchart of a process in the method for designing a semiconductor integrated circuit device according to the first embodiment of the present invention.

 図2において、まずセルの形状に関する情報11、セルの遅延に関する情報(セルの動作電力に関する情報)12、及びセルの接続に関する情報13等のセルに関する情報を入力とし、セル間接続の配線距離や配線容量を最小とするように概略配置処理を行う(ステップS101)。そして、概略配置されたセル間を接続する概略配線処理を行う(ステップS102)。このとき、信号配線以外に、電源及びグラウンドの配線処理も行うことになる。 In FIG. 2, information on cells such as information 11 on cell shape, information on cell delay (information on cell operating power) 12, and information on cell connection 13 is first input, and the wiring distance of inter-cell connection, The general layout processing is performed so as to minimize the wiring capacitance (step S101). Then, a general wiring process for connecting the cells arranged approximately is performed (step S102). At this time, in addition to the signal wiring, power supply and ground wiring processing is also performed.

 次に、概略配線処理の結果に基づいて、配線長と物理パラメータ14として保存されている配線容量情報に基づいて配線容量を見積り、各セルの負荷容量を算出することにより消費電力の計算を行う(ステップS103)。そして、配線された電源/グラウンド配線の抵抗値をシート抵抗等の物理パラメータ14に保存されている情報を用いて定めることになる(ステップS104)。なお、求める抵抗値は、配線形状の接続情報に対応した形態で定められるものとする。 Next, the power consumption is calculated by estimating the wiring capacity based on the wiring length and the wiring capacity information stored as the physical parameter 14 based on the result of the schematic wiring processing, and calculating the load capacity of each cell. (Step S103). Then, the resistance value of the wired power supply / ground wiring is determined using information stored in the physical parameters 14 such as sheet resistance (step S104). The resistance value to be obtained is determined in a form corresponding to the connection information of the wiring shape.

 次に、算出された消費電力値から、配線された電源/グラウンド配線の抵抗値に電流源が接続されているものとして、電源供給源からの電圧降下量を計算する(ステップS105)。そして、計算された電圧降下値とセルの配置座標情報から、LSIチップ上の任意の分割数で分割された図3に示すマトリクスデータベース中の各座標に応じた場所に電圧降下値を書き込むことになる(ステップS106)。 Next, the amount of voltage drop from the power supply source is calculated based on the calculated power consumption value, assuming that the current source is connected to the resistance value of the wired power supply / ground wiring (step S105). Then, based on the calculated voltage drop value and the cell arrangement coordinate information, the voltage drop value is written to a location corresponding to each coordinate in the matrix database shown in FIG. (Step S106).

 図3は、本発明の実施の形態1にかかる半導体集積回路装置の設計方法における電圧降下分布を示すマトリクス値の例示図である。図3において、数字が電圧降下値を表しており、配線抵抗値やチップの大きさ、セルの数に合わせて電圧降下値を表わすのに十分な解像度を有するように当該マトリクスの大きさを定めることにより、当該マトリクスによる電圧降下分布を有効に利用することができる。かかる電圧降下分布のマトリクス値の変化をイメージ図で表したものが図4である。 FIG. 3 is an exemplary diagram of matrix values showing a voltage drop distribution in the method of designing a semiconductor integrated circuit device according to the first embodiment of the present invention. In FIG. 3, numerals represent voltage drop values, and the size of the matrix is determined so as to have a resolution sufficient to represent the voltage drop value in accordance with the wiring resistance value, the size of the chip, and the number of cells. Thereby, the voltage drop distribution by the matrix can be effectively used. FIG. 4 shows an image diagram of the change in the matrix value of the voltage drop distribution.

 図4は、本発明の実施の形態1にかかる半導体集積回路装置の設計方法におけるLSIチップ上の電圧降下分布イメージ図である。図4において、太い実線は電源配線31を示しており、LSIチップ内に等高線状の形状が描かれている。 FIG. 4 is an image diagram of a voltage drop distribution on an LSI chip in the method of designing a semiconductor integrated circuit device according to the first embodiment of the present invention. In FIG. 4, a thick solid line indicates the power supply wiring 31, and a contour shape is drawn in the LSI chip.

 これは、一般の等高線と同様に、一定の電圧降下値を有する部分を線で結んだ等電位線32を表わしており、一定の電圧範囲にある領域を示すため等電位線32の間の領域をグレーの階調表示で示している。そして、グレーの濃度が濃くなるにつれて、電圧降下値が大きくなっていることを示している。 This represents an equipotential line 32 in which portions having a constant voltage drop value are connected by a line, similarly to a general contour line, and a region between the equipotential lines 32 to indicate a region within a constant voltage range. Are indicated by gray gradation display. This indicates that the voltage drop value increases as the gray density increases.

 次に、作成された電圧降下分布に基づいて、任意の電圧降下値が想定される場所に適切なセルを配置する(ステップS107)。そして、セルの端子間を接続する配線処理を行うことになる(ステップS108)。 Next, based on the created voltage drop distribution, an appropriate cell is arranged at a place where an arbitrary voltage drop value is assumed (step S107). Then, a wiring process for connecting the terminals of the cell is performed (step S108).

 以上のように本実施の形態1によれば、最終の配置処理を行う前に概略配置処理を行い、そこから電圧降下値の予測を行うことによって、セル(トランジスタ)の動作性能の低下を予測した配置及び配線処理を行うことができることから、電圧降下の影響に応じて後からフロアプランの修正を行う後戻り工程を削減することができ、より短い設計期間でLSIチップの開発を行うことが可能となる。 As described above, according to the first embodiment, a rough placement process is performed before the final placement process, and a voltage drop value is predicted therefrom, thereby predicting a decrease in the operating performance of the cell (transistor). Can reduce the number of reworking steps to correct the floor plan later in response to the effects of voltage drops, and can develop LSI chips in a shorter design period. It becomes.

 (実施の形態2)
 図5は、実施の形態2に係る半導体集積回路設計装置100Aの構成を示すブロック図である。実施の形態1において図1を参照して前述した半導体集積回路設計装置100の構成要素と同一の構成要素には同一の参照符号を付している。従って、これらの構成要素の詳細な説明は省略する。
(Embodiment 2)
FIG. 5 is a block diagram showing a configuration of a semiconductor integrated circuit design device 100A according to the second embodiment. In the first embodiment, the same components as those of the semiconductor integrated circuit design device 100 described above with reference to FIG. 1 are denoted by the same reference numerals. Therefore, a detailed description of these components will be omitted.

 半導体集積回路設計装置100Aは、電圧降下値情報作成部1Aを備えている。電圧降下値情報作成部1Aは、パス遅延算出部21を有している。パス遅延算出部21は、トランジスタの概略配置及び概略配線に基づいてフリップフロップ間のパス遅延を算出する。電圧降下値情報作成部1Aには、パス遅延余裕算出部22が設けられている。パス遅延余裕算出部22は、パス遅延算出部21によって算出されたパス遅延と制約遅延時間を比較し、各フリップフロップ間のパスにおけるパス遅延の余裕を求める。 (4) The semiconductor integrated circuit design device 100A includes a voltage drop value information creating unit 1A. The voltage drop value information creation unit 1A has a path delay calculation unit 21. The path delay calculator 21 calculates a path delay between flip-flops based on the schematic arrangement and the general wiring of the transistors. The voltage drop value information creating unit 1A includes a path delay margin calculating unit 22. The path delay margin calculation unit 22 compares the path delay calculated by the path delay calculation unit 21 with the constraint delay time, and obtains a path delay margin in a path between each flip-flop.

 半導体集積回路設計装置100Aは、再配置部3Aを備えている。再配置部3Aは、パス遅延余裕算出部22によって求められたパス遅延の余裕が大きいパスに含まれるトランジスタを、電圧降下値の大きい領域に優先的に配置する。 (4) The semiconductor integrated circuit design device 100A includes a rearrangement unit 3A. The rearrangement unit 3A preferentially arranges the transistors included in the path having a large path delay margin calculated by the path delay margin calculation unit 22 in a region where the voltage drop value is large.

 このように構成された半導体集積回路設計装置100Aの動作を説明する。図6は、本発明の実施の形態2にかかる半導体集積回路装置の設計方法における処理の流れ図である。図5における各ステップにおいて、実施の形態1と同様の処理を行うステップについては、図2と同じステップ番号を付すことで詳細な説明を省略する。 The operation of the semiconductor integrated circuit designing apparatus 100A thus configured will be described. FIG. 6 is a flowchart of a process in the method for designing a semiconductor integrated circuit device according to the second embodiment of the present invention. In the steps in FIG. 5, the steps for performing the same processing as in the first embodiment are denoted by the same step numbers as in FIG. 2, and detailed description will be omitted.

 図6において、まずステップS101からステップS106については実施の形態1と同様の処理を行うことから説明は省略する。次に、電圧降下分布図の作成を行った後(ステップS106)、セルの接続に関する情報13と、設計条件であるパス遅延制約情報41を読み込み、セルの接続に関する情報13には含まれているものの、回路動作上は無関係であるパスを除外し、残ったパスに含まれるセル情報を抽出して(ステップS401)、パス内セルリストを生成する(ステップS402)。 In FIG. 6, first, steps S101 to S106 are performed in the same manner as in the first embodiment, and a description thereof will be omitted. Next, after creating a voltage drop distribution chart (step S106), the information 13 on cell connection and the path delay constraint information 41 as a design condition are read, and are included in the information 13 on cell connection. However, paths that are irrelevant in circuit operation are excluded, and cell information included in the remaining paths is extracted (step S401), and a cell list within the path is generated (step S402).

 そして、パス内セルリスト中の各パスに含まれるセルを電圧降下分布図から一定の電圧降下値幅に収まるように配置し(ステップS403)、セルの端子間を接続する配線処理を行うことになる(ステップS108)。 Then, the cells included in each path in the intra-path cell list are arranged so as to be within a certain voltage drop value width from the voltage drop distribution diagram (step S403), and a wiring process for connecting the terminals of the cells is performed. (Step S108).

 以上のように本実施の形態2によれば、各パスを一定の電圧降下値にすることによりパスの遅延計算を一定の電圧降下値で計算することができ、静的タイミング解析を行う場合においても、高速に電圧降下値を考慮した遅延計算が可能となる。 As described above, according to the second embodiment, it is possible to calculate the delay of a path with a constant voltage drop value by setting each path to a constant voltage drop value. Also, the delay calculation can be performed at high speed in consideration of the voltage drop value.

 (実施の形態3)
 以下、本発明の実施の形態3にかかる半導体集積回路装置の設計方法について図面を参照しながら説明する。図7は、本発明の実施の形態3にかかる半導体集積回路装置の設計方法における処理の流れ図である。図7の各ステップにおいて実施の形態1と同様の処理を行うステップについては、図2と同じステップ番号を付すことで詳細な説明は省略する。図7に示す半導体集積回路装置の設計方法は、図5を参照して前述した半導体集積回路設計装置100Aによって実行される。
(Embodiment 3)
Hereinafter, a method for designing a semiconductor integrated circuit device according to a third embodiment of the present invention will be described with reference to the drawings. FIG. 7 is a flowchart of a process in the method for designing a semiconductor integrated circuit device according to the third embodiment of the present invention. Steps in which the same processing as in the first embodiment is performed in each step in FIG. 7 are assigned the same step numbers as in FIG. 2, and detailed descriptions thereof will be omitted. The design method of the semiconductor integrated circuit device shown in FIG. 7 is executed by the semiconductor integrated circuit design device 100A described above with reference to FIG.

 図7において、まずステップS101とステップS102については実施の形態1と同様の処理であることから説明を省略する。そして、概略配線処理を行った後(ステップS102)、概略配線情報に基づいて、配線容量と配線抵抗の抽出を行い、各フリップフロップ間のパス遅延を計算する(ステップS501)。 In FIG. 7, first, steps S101 and S102 are the same as those in the first embodiment, and thus description thereof is omitted. Then, after performing the general wiring processing (step S102), the wiring capacitance and the wiring resistance are extracted based on the general wiring information, and the path delay between each flip-flop is calculated (step S501).

 次に、フリップフロップ間のパス遅延に関するタイミング制約情報を読み込んで、求められたパス遅延時間と比較し、各パスにおけるタイミング余裕時間の解析を行う(ステップS502)。そして、このタイミング余裕時間の小さい順にソートして(ステップS503)、パスタイミング余裕度リストを作成することになる(ステップS504)。その後、ステップS103からステップS106について、実施の形態1と同様の処理を行い、電圧降下分布図の作成を行うことになる。 Next, the timing constraint information on the path delay between the flip-flops is read and compared with the obtained path delay time to analyze the timing margin time for each path (step S502). Then, sorting is performed in ascending order of the timing margin time (step S503), and a path timing margin list is created (step S504). After that, from step S103 to step S106, the same processing as in the first embodiment is performed, and a voltage drop distribution diagram is created.

 電圧降下分布図の作成を行った後(ステップS106)、作成された電圧降下分布図において電圧降下値の少ない領域から順に、作成されたパスタイミング余裕度リストの先頭にあるパスから順に配置していくことになる(ステップS505)。ここで、配置処理を行う際には、配置するパスの先頭と最後のフリップフロップが含まれる別のパスの配置も考慮し、接続するパスが大きく離れないように配置する必要がある。 After creating the voltage drop distribution chart (step S106), the created voltage drop distribution chart is arranged in order from the area with the smallest voltage drop value and from the path at the top of the created path timing margin list. It goes (step S505). Here, when performing the arrangement processing, it is necessary to arrange the paths to be connected so as not to be largely separated in consideration of the arrangement of another path including the first and last flip-flops of the arranged path.

 最後に、配置されたセルの端子間の配線処理を行うことで設計を完了することになる(ステップS108)。 (4) Finally, the design is completed by performing the wiring process between the terminals of the arranged cells (step S108).

 以上のように本実施の形態3によれば、パスタイミング余裕の小さいパスから順に電圧降下の少ない領域に配置していくことにより、LSIチップとして動作するときに最もタイミングが厳しいパスについて電圧降下によるトランジスタ動作性能の劣化を最も受けにくくするよう設計することができ、電圧降下に起因するLSIの性能低下を未然に防止することが可能となる。 As described above, according to the third embodiment, by arranging paths in the order of small voltage drop from the path with the smallest path timing margin to the path with the strictest timing when operating as an LSI chip, the voltage drop is caused by the voltage drop. It is possible to design so as to be most resistant to the deterioration of the transistor operation performance, and it is possible to prevent the performance degradation of the LSI due to the voltage drop.

 (実施の形態4)
 図8は、実施の形態4に係る半導体集積回路設計装置100Bの構成を示すブロック図である。実施の形態1において図1を参照して前述した半導体集積回路設計装置100の構成要素と同一の構成要素には同一の参照符号を付している。従って、これらの構成要素の詳細な説明は省略する。
(Embodiment 4)
FIG. 8 is a block diagram showing a configuration of a semiconductor integrated circuit design device 100B according to the fourth embodiment. In the first embodiment, the same components as those of the semiconductor integrated circuit design device 100 described above with reference to FIG. 1 are denoted by the same reference numerals. Therefore, a detailed description of these components will be omitted.

 半導体集積回路設計装置100Bは、電圧降下値情報作成部1Bを備えている。電圧降下値情報作成部1Bは、テスト回路組込部23を有している。テスト回路組込部23は、トランジスタの故障箇所を検出するために設けられている。半導体集積回路設計装置100Bは、再配置部3Bを備えている。再配置部3Bは、電圧降下値に関する情報に基づいてテスト回路の接続順を変更する。 (4) The semiconductor integrated circuit design device 100B includes a voltage drop value information creating unit 1B. The voltage drop value information creating section 1B has a test circuit incorporating section 23. The test circuit built-in unit 23 is provided to detect a failure location of a transistor. The semiconductor integrated circuit design device 100B includes a rearrangement unit 3B. The rearrangement unit 3B changes the connection order of the test circuits based on the information on the voltage drop value.

 このように構成された半導体集積回路設計装置100Bの動作を説明する。図9及び図10は、本発明の実施の形態4にかかる半導体集積回路装置の設計方法における処理の流れ図である。図9及び図10の各ステップにおいて、実施の形態1と同様の処理を行うステップについては、図2と同じステップ番号を付すことで詳細な説明は省略する。 The operation of the semiconductor integrated circuit designing apparatus 100B thus configured will be described. FIGS. 9 and 10 are flowcharts of processing in the method for designing a semiconductor integrated circuit device according to the fourth embodiment of the present invention. 9 and FIG. 10, steps that perform the same processing as in the first embodiment are given the same step numbers as in FIG. 2, and detailed descriptions thereof are omitted.

 図9及び図10において、まずステップS101からステップS106については実施の形態1と同様の処理を行うことから説明を省略する。そして、電圧降下分布図の作成を行った後(ステップS106)、故障検出用のテスト回路におけるテスト用信号接続情報61を読み込む。そして、当該テスト用信号接続情報61に基づいて、テスト用信号を送信する側のフリップフロップが、受信する側のセルよりも常に低電位となるように、電圧降下分布図に従って配置処理を行う(ステップS601)。最後に、各セルの端子間の接続配線処理を行う(ステップS108)。 In FIGS. 9 and 10, first, steps S101 to S106 are the same as those in the first embodiment, and thus description thereof is omitted. Then, after creating a voltage drop distribution diagram (step S106), the test signal connection information 61 in the failure detection test circuit is read. Then, based on the test signal connection information 61, the placement processing is performed according to the voltage drop distribution diagram so that the flip-flop transmitting the test signal always has a lower potential than the receiving cell. Step S601). Finally, a process of connecting and connecting the terminals of each cell is performed (step S108).

 通常、テスト回路は、フリップフロップ間を直結もしくは通常のロジック回路と比べて短いパスで接続することになり、フリップフロップセルへのクロック信号の到達遅延時間差(クロックスキュー)よりも、フリップフロップのデータ信号保持時間制約とフリップフロップ間のテスト用信号遅延時間を足した時間の方が短い場合には、ホールドエラー問題を引き起こし、LSIチップの故障診断ができなくなる。しかし、本実施の形態4によれば、テスト用信号を送信する側のセルの電圧値が、当該信号を受信する側のセルの電圧値よりも常に低くなることから、テスト用信号の遅延時間が大きくなり、ホールドエラーを未然に回避することが可能となる。 Normally, the test circuit directly connects the flip-flops or connects the flip-flops with a path shorter than that of a normal logic circuit, and the data signal of the flip-flop is larger than the delay time difference (clock skew) of the clock signal to the flip-flop cell. If the time obtained by adding the holding time constraint and the test signal delay time between the flip-flops is shorter, a hold error problem is caused, and the failure of the LSI chip cannot be diagnosed. However, according to the fourth embodiment, the voltage value of the cell transmitting the test signal is always lower than the voltage value of the cell receiving the signal. Becomes large, and a hold error can be avoided beforehand.

 また、図9では配置前に電圧降下分布とテスト用信号接続情報61を考慮して、配置処理を行っているが、図10に示すように、配置処理の終了後に、電圧降下分布とテスト用信号接続情報61に基づいて、信号送信側セルの電位が信号受信側セルの電位よりも低くなるようにテスト用信号の接続順を変更しても良い(ステップS701)。 In FIG. 9, the placement processing is performed in consideration of the voltage drop distribution and the test signal connection information 61 before placement. However, as shown in FIG. The connection order of the test signals may be changed based on the signal connection information 61 so that the potential of the cell on the signal transmission side becomes lower than the potential of the cell on the signal reception side (step S701).

 (実施の形態5)
 以下、本発明の実施の形態5にかかる半導体集積回路装置の設計方法について図面を参照しながら説明する。図11は、本発明の実施の形態5にかかる半導体集積回路装置の設計方法における処理の流れ図である。図11の各ステップにおいて実施の形態1と同様の処理を行うステップについては、図2と同じステップ番号を付すことで詳細な説明は省略する。
(Embodiment 5)
Hereinafter, a method for designing a semiconductor integrated circuit device according to a fifth embodiment of the present invention will be described with reference to the drawings. FIG. 11 is a flowchart of a process in the method for designing a semiconductor integrated circuit device according to the fifth embodiment of the present invention. Steps in which the same processing as in the first embodiment is performed in each step in FIG. 11 are assigned the same step numbers as in FIG. 2 and detailed descriptions thereof are omitted.

 図11において、まず実施の形態1と同様の情報を読み込んで概略配置処理を行う(ステップS101)。次に、クロック信号やリセット信号等、セル接続情報の中で、1つのセルが多数のセルを駆動するような多ファンアウトの信号を、バッファセルや偶数段のインバータセルを用いることによりツリー上に分割する処理(クロックツリーシンセシス処理)を行い(ステップS801)、挿入されたセルの配置処理まで行う(ステップS102)。 In FIG. 11, first, the same information as in the first embodiment is read, and a rough arrangement process is performed (step S101). Next, in the cell connection information, such as a clock signal and a reset signal, a multi-fanout signal such that one cell drives many cells is formed on the tree by using buffer cells and even-numbered inverter cells. (Clock tree synthesis processing) (step S801), and the processing up to the placement of the inserted cells is performed (step S102).

 そして、ステップS103からステップS107においては、実施の形態1と同様に電圧降下分布図の作成を行い、当該電圧降下分布図に基づいて、セルを配置する処理までを順に実行する。次に、電圧降下値に依存したセルの駆動能力低下を考慮してステップ801で挿入したクロックツリーの遅延計算処理を行う(ステップS802)。 Then, in steps S103 to S107, a voltage drop distribution chart is created in the same manner as in the first embodiment, and processing up to arranging cells is sequentially executed based on the voltage drop distribution chart. Next, delay calculation processing of the clock tree inserted in step 801 is performed in consideration of the reduction in the driving capability of the cell depending on the voltage drop value (step S802).

 そして、計算したクロックツリーの信号源からフリップフロップ等の信号受信端までの遅延時間を合わせるための配線容量と抵抗値の算出を行う(ステップS803)。次に、算出した配線容量と抵抗値になるようにクロックツリーの配線から順に配線していくことになる(ステップS804)。 {Circle around (2)} Then, a wiring capacitance and a resistance value for adjusting the delay time from the calculated signal source of the clock tree to the signal receiving terminal such as a flip-flop are calculated (step S803). Next, wiring is performed in order from the wiring of the clock tree so as to have the calculated wiring capacitance and resistance (step S804).

 以上のように本実施の形態5によれば、電圧降下によるセルの動作速度の低下を考慮して、クロックツリーのスキューが少なくなるように配線処理を行うことによって、フリップフロップ間パスにおける信号経路に含まれるセルの動作速度低下を抑制することができるとともに、クロックスキューによる回路動作速度の低下を抑えることも可能となる。 As described above, according to the fifth embodiment, the wiring process is performed so as to reduce the skew of the clock tree in consideration of the decrease in the operation speed of the cell due to the voltage drop, and thereby the signal path in the path between flip-flops is reduced. , It is possible to suppress a decrease in the operation speed of the cell included in the circuit, and also to suppress a decrease in the circuit operation speed due to the clock skew.

 以上のように実施の形態1ないし5にかかる半導体集積回路装置の設計方法によれば、LSIのレイアウト設計処理において最終的な配置や配線処理を行う前に概略配置や概略配線を行い、その結果に基づいて最終的なセル等の配置や配線処理後に発生する電圧降下値を予測し、それに基づいた配置・配線処理を行うことにより、LSIチップの実動作時の電圧降下による各トランジスタの性能低下をシミュレーション上で処理し、歩留まりを向上させることが可能となる。 As described above, according to the designing method of the semiconductor integrated circuit device according to the first to fifth embodiments, the general layout and the general wiring are performed before the final layout and the wiring processing are performed in the LSI layout design processing. The voltage drop value generated after the final cell placement and wiring processing is predicted based on the LSI, and the placement and wiring processing based on the prediction is performed, so that the performance of each transistor decreases due to the voltage drop during the actual operation of the LSI chip. Is processed on a simulation, and the yield can be improved.

 本発明は、トランジスタ又は論理的機能を有するトランジスタ集合体であるセルを、電圧降下値を考慮して配置する半導体集積回路装置の設計方法および設計装置に適用することができる。 The present invention can be applied to a method and an apparatus for designing a semiconductor integrated circuit device in which a transistor or a cell which is a transistor aggregate having a logical function is arranged in consideration of a voltage drop value.

実施の形態1に係る半導体集積回路設計装置100の構成を示すブロック図である。FIG. 2 is a block diagram showing a configuration of a semiconductor integrated circuit design device 100 according to the first embodiment. 実施の形態1にかかる半導体集積回路装置の設計方法における処理の流れ図である。4 is a flowchart of a process in the method for designing a semiconductor integrated circuit device according to the first embodiment; 実施の形態1にかかる半導体集積回路装置の設計方法における電圧降下分布を示すマトリクス値の例示図である。FIG. 4 is an exemplary diagram of matrix values showing a voltage drop distribution in the method for designing a semiconductor integrated circuit device according to the first embodiment; 実施の形態1にかかる半導体集積回路装置の設計方法におけるLSIチップ上の電圧降下分布イメージ図である。FIG. 5 is a conceptual diagram of a voltage drop distribution on an LSI chip in the method for designing a semiconductor integrated circuit device according to the first embodiment; 実施の形態2に係る半導体集積回路設計装置の構成を示すブロック図である。FIG. 9 is a block diagram showing a configuration of a semiconductor integrated circuit design device according to a second embodiment. 実施の形態2にかかる半導体集積回路装置の設計方法における処理の流れ図である。13 is a flowchart of a process in a method for designing a semiconductor integrated circuit device according to the second embodiment; 実施の形態3にかかる半導体集積回路装置の設計方法における処理の流れ図である。13 is a flowchart of a process in a method for designing a semiconductor integrated circuit device according to the third embodiment; 実施の形態4に係る半導体集積回路設計装置の構成を示すブロック図である。FIG. 14 is a block diagram illustrating a configuration of a semiconductor integrated circuit design device according to a fourth embodiment. 実施の形態4にかかる半導体集積回路装置の設計方法における処理の流れ図である。14 is a flowchart of a process in a method for designing a semiconductor integrated circuit device according to a fourth embodiment; 実施の形態4にかかる半導体集積回路装置の設計方法における処理の流れ図である。14 is a flowchart of a process in a method for designing a semiconductor integrated circuit device according to a fourth embodiment; 実施の形態5にかかる半導体集積回路装置の設計方法における処理の流れ図である。15 is a flowchart of a process in a method for designing a semiconductor integrated circuit device according to a fifth embodiment;

符号の説明Explanation of reference numerals

 11 セル形状情報
 12 セル遅延情報(セル動作電力情報)
 13 セル接続情報
 14 物理パラメータ
 31 電源配線
 32 等電位線
 41 パス遅延制約情報
 61 テスト用信号接続情報
11 Cell shape information 12 Cell delay information (cell operating power information)
13 Cell Connection Information 14 Physical Parameters 31 Power Wiring 32 Equipotential Line 41 Path Delay Constraint Information 61 Test Signal Connection Information

Claims (14)

 シリコンウエハ上に構成されたトランジスタの端子間をメタル配線で接続する半導体集積回路装置の設計方法であって、
 前記トランジスタに関する情報を入力とし、前記トランジスタ間接続の配線距離や配線容量を最小とするように概略配置を行う第一の工程と、
 前記トランジスタの概略配置に基づいて電圧降下値に関する情報を作成する第二の工程と、
 前記電圧降下値に関する情報に基づいて前記トランジスタの配置を行う第三の工程とを有することを特徴とする半導体集積回路装置の設計方法。
A method for designing a semiconductor integrated circuit device in which terminals of transistors formed on a silicon wafer are connected by metal wiring,
A first step of inputting information about the transistor and performing a general arrangement so as to minimize a wiring distance and a wiring capacitance of the connection between the transistors,
A second step of creating information about a voltage drop value based on the schematic arrangement of the transistor;
A third step of arranging the transistors based on the information on the voltage drop value.
 前記第二の工程が、
 概略配置された前記トランジスタ間を接続する概略配線を行う工程と、
 前記概略配線に基づいて配線容量を見積り、前記各トランジスタの負荷容量を算出することにより消費電力の計算を行う工程と、
 配線された電源/グラウンド配線の抵抗値を定める工程と、
 算出された前記消費電力に基づいて、配線された電源/グラウンド配線の前記抵抗値に電流源が接続された場合における電源供給源からの電圧降下値を計算する工程と、
 計算された前記電圧降下値に基づいて、前記半導体集積回路装置における電圧降下分布を求める工程とを含む請求項1に記載の半導体集積回路装置の設計方法。
The second step,
Performing a general wiring for connecting the generally arranged transistors,
Estimating a wiring capacity based on the schematic wiring, and calculating a power consumption by calculating a load capacity of each of the transistors;
Determining the resistance value of the wired power / ground wiring;
A step of calculating a voltage drop value from a power supply source when a current source is connected to the resistance value of the wired power supply / ground wiring based on the calculated power consumption;
2. The method of designing a semiconductor integrated circuit device according to claim 1, further comprising: obtaining a voltage drop distribution in the semiconductor integrated circuit device based on the calculated voltage drop value.
 前記第三の工程が、
 前記電圧降下分布に基づいて、任意の電圧降下値が想定される場所に適切な前記トランジスタを配置する工程を含む請求項2に記載の半導体集積回路装置の設計方法。
The third step,
3. The method of designing a semiconductor integrated circuit device according to claim 2, further comprising the step of arranging an appropriate transistor at a place where an arbitrary voltage drop value is assumed based on the voltage drop distribution.
 前記第三の工程が、
 前記半導体集積回路装置におけるフリップフロップ間のパス全体もしくはソース側とシンク側のフリップフロップ対を、前記電圧降下値に関する情報に基づいて電圧降下値差の小さい領域内に配置する工程を含む請求項1に記載の半導体集積回路装置の設計方法。
The third step,
2. A step of arranging the entire path between flip-flops in the semiconductor integrated circuit device or a pair of flip-flops on a source side and a sink side in an area having a small voltage drop value difference based on information on the voltage drop value. 3. The method for designing a semiconductor integrated circuit device according to item 1.
 概略配置された前記トランジスタ間を接続する概略配線を行う工程と、
 前記トランジスタの概略配置及び概略配線に基づいてフリップフロップ間のパス遅延を算出する工程と、
 算出された前記パス遅延と制約遅延時間を比較し、各フリップフロップ間のパスにおけるパス遅延の余裕を求める工程とをさらに含み、
 前記第三の工程が、
 前記パス遅延の余裕が大きい前記パスに含まれる前記トランジスタを、電圧降下値の大きい領域に優先的に配置する工程を含む請求項1に記載の半導体集積回路装置の設計方法。
Performing a general wiring for connecting between the generally arranged transistors;
Calculating a path delay between flip-flops based on the schematic layout and schematic wiring of the transistors;
Comparing the calculated path delay and the constraint delay time, and determining a margin of a path delay in a path between each flip-flop,
The third step,
2. The method of designing a semiconductor integrated circuit device according to claim 1, further comprising a step of preferentially arranging the transistors included in the path having a large margin of the path delay in a region having a large voltage drop value.
 前記トランジスタの故障箇所を検出するためのテスト回路を組み込む工程をさらに含み、
 前記第三の工程が、
 前記電圧降下値に関する情報に基づいて前記テスト回路の接続順の変更をする工程を含む請求項1に記載の半導体集積回路装置の設計方法。
Further comprising a step of incorporating a test circuit for detecting a fault location of the transistor,
The third step,
2. The method of designing a semiconductor integrated circuit device according to claim 1, further comprising a step of changing a connection order of the test circuits based on the information on the voltage drop value.
 前記第二の工程において、フリップフロップ回路に対する供給信号のうち、多ファンアウトの信号をツリー上に分割する工程をさらに含み、
 前記第三の工程において、
 前記電圧降下値に関する情報に基づいて、前記ツリーに属する前記トランジスタの駆動能力を遅延時間として算出する工程と、
 信号源から信号受信端までの遅延時間が、前記ツリーに属する前記トランジスタの駆動能力として算出された前記遅延時間と一致するように抵抗値及び容量値を算出する工程と、
 算出された前記抵抗値及び前記容量値となるように、前記信号源と前記ツリーに属する前記トランジスタとの間、及び前記信号源と前記信号受信端との間を配線する工程を含む請求項1に記載の半導体集積回路装置の設計方法。
In the second step, among the supply signals to the flip-flop circuit, further includes a step of dividing a signal of multiple fan-out on a tree,
In the third step,
Calculating a drive capability of the transistor belonging to the tree as a delay time based on the information about the voltage drop value;
Calculating a resistance value and a capacitance value such that a delay time from a signal source to a signal receiving end is equal to the delay time calculated as the driving capability of the transistor belonging to the tree;
2. A step of wiring between the signal source and the transistor belonging to the tree and between the signal source and the signal receiving end so that the calculated resistance value and the capacitance value are obtained. 3. The method for designing a semiconductor integrated circuit device according to item 1.
 シリコンウエハ上に構成されたトランジスタの端子間をメタル配線で接続する半導体集積回路装置の設計装置であって、
 前記トランジスタに関する情報を入力とし、前記トランジスタ間接続の配線距離や配線容量を最小とするように概略配置を行う概略配置手段と、
 前記トランジスタの概略配置に基づいて電圧降下値に関する情報を作成する電圧降下値情報作成手段と、
 前記電圧降下値に関する情報に基づいて前記トランジスタの配置を行う再配置手段とを具備することを特徴とする半導体集積回路装置の設計装置。
A semiconductor integrated circuit device design apparatus for connecting terminals of transistors formed on a silicon wafer with metal wiring,
Schematic arrangement means for inputting information about the transistor, and performing schematic arrangement so as to minimize the wiring distance and wiring capacitance of the connection between the transistors,
Voltage drop value information creating means for creating information about a voltage drop value based on the schematic arrangement of the transistor,
And a rearrangement unit for arranging the transistors based on the information on the voltage drop value.
 前記電圧降下値情報作成手段が、
 概略配置された前記トランジスタ間を接続する概略配線を行う概略配線手段と、
 前記概略配線に基づいて配線容量を見積り、前記各トランジスタの負荷容量を算出することにより消費電力の計算を行う消費電力計算手段と、
 配線された電源/グラウンド配線の抵抗値を定める抵抗値抽出手段と、
 算出された前記消費電力に基づいて、配線された電源/グラウンド配線の前記抵抗値に電流源が接続された場合における電源供給源からの電圧降下値を計算する電圧降下値計算手段と、
 計算された前記電圧降下値に基づいて、前記半導体集積回路装置における電圧降下分布を求める電圧降下分布作成手段とを含む請求項8に記載の半導体集積回路装置の設計装置。
The voltage drop value information creating means,
Schematic wiring means for performing general wiring for connecting the generally arranged transistors,
Power consumption calculation means for estimating a wiring capacity based on the schematic wiring and calculating power consumption by calculating a load capacity of each of the transistors;
Resistance value extracting means for determining the resistance value of the wired power / ground wiring;
Voltage drop value calculating means for calculating a voltage drop value from a power supply source when a current source is connected to the resistance value of the wired power supply / ground wiring based on the calculated power consumption;
9. The design apparatus for a semiconductor integrated circuit device according to claim 8, further comprising voltage drop distribution creating means for obtaining a voltage drop distribution in the semiconductor integrated circuit device based on the calculated voltage drop value.
 前記再配置手段が、
 前記電圧降下分布に基づいて、任意の電圧降下値が想定される場所に適切な前記トランジスタを配置する請求項9に記載の半導体集積回路装置の設計装置。
The relocation means,
The semiconductor integrated circuit device designing apparatus according to claim 9, wherein an appropriate transistor is arranged at a place where an arbitrary voltage drop value is assumed based on the voltage drop distribution.
 前記再配置手段が、
 前記半導体集積回路装置におけるフリップフロップ間のパス全体もしくはソース側とシンク側のフリップフロップ対を、前記電圧降下値に関する情報に基づいて電圧降下値差の小さい領域内に配置する請求項9に記載の半導体集積回路装置の設計装置。
The relocation means,
10. The semiconductor integrated circuit device according to claim 9, wherein an entire path between flip-flops or a pair of source-side and sink-side flip-flops is arranged in a region having a small voltage drop value difference based on the information on the voltage drop value. Design equipment for semiconductor integrated circuit devices.
 前記電圧降下値情報作成手段が、
 前記トランジスタの概略配置及び概略配線に基づいてフリップフロップ間のパス遅延を算出するパス遅延算出手段と、
 算出された前記パス遅延と制約遅延時間を比較し、各フリップフロップ間のパスにおけるパス遅延の余裕を求めるパス遅延余裕算出手段とをさらに含み、
 前記再配置手段が、
 前記パス遅延の余裕が大きい前記パスに含まれる前記トランジスタを、電圧降下値の大きい領域に優先的に配置する請求項9に記載の半導体集積回路装置の設計装置。
The voltage drop value information creating means,
Path delay calculation means for calculating a path delay between flip-flops based on a schematic arrangement and a schematic wiring of the transistor;
Comparing the calculated path delay with the constraint delay time, and further comprising a path delay margin calculating means for obtaining a path delay margin in a path between each flip-flop;
The relocation means,
10. The semiconductor integrated circuit device designing apparatus according to claim 9, wherein the transistors included in the path having a large margin of the path delay are preferentially arranged in a region having a large voltage drop value.
 前記電圧降下値情報作成手段が、
 前記トランジスタの故障箇所を検出するためのテスト回路を組み込むテスト回路組込手段をさらに含み、
 前記再配置手段が、
 前記電圧降下値に関する情報に基づいて前記テスト回路の接続順の変更をする請求項9に記載の半導体集積回路装置の設計装置。
The voltage drop value information creating means,
Test circuit incorporating means for incorporating a test circuit for detecting a failure location of the transistor,
The relocation means,
10. The design apparatus for a semiconductor integrated circuit device according to claim 9, wherein the connection order of the test circuits is changed based on the information on the voltage drop value.
 前記電圧降下値情報作成手段は、フリップフロップ回路に対する供給信号のうち、多ファンアウトの信号をツリー上に分割し、
 前記再配置手段は、
 前記電圧降下値に関する情報に基づいて、前記ツリーに属する前記トランジスタの駆動能力を遅延時間として算出する手段と、
 信号源から信号受信端までの遅延時間が、前記ツリーに属する前記トランジスタの駆動能力として算出された前記遅延時間と一致するように抵抗値及び容量値を算出する手段と、
 算出された前記抵抗値及び前記容量値となるように、前記信号源と前記ツリーに属する前記トランジスタとの間、及び前記信号源と前記信号受信端との間を配線する手段を含む請求項9に記載の半導体集積回路装置の設計方法。
The voltage drop value information creating unit divides a multi-fan-out signal among the supply signals to the flip-flop circuit into a tree,
The relocation means,
Means for calculating the drive capability of the transistor belonging to the tree as a delay time, based on the information about the voltage drop value;
Means for calculating a resistance value and a capacitance value such that a delay time from a signal source to a signal receiving end is equal to the delay time calculated as the driving capability of the transistor belonging to the tree;
10. A means for wiring between the signal source and the transistor belonging to the tree and between the signal source and the signal receiving end so that the calculated resistance value and the calculated capacitance value are obtained. 3. The method for designing a semiconductor integrated circuit device according to item 1.
JP2003281186A 2002-08-09 2003-07-28 The design method and design equipment of semiconductor integrated circuit device Withdrawn JP2004096103A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003281186A JP2004096103A (en) 2002-08-09 2003-07-28 The design method and design equipment of semiconductor integrated circuit device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002233787 2002-08-09
JP2003281186A JP2004096103A (en) 2002-08-09 2003-07-28 The design method and design equipment of semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2004096103A true JP2004096103A (en) 2004-03-25

Family

ID=32072377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003281186A Withdrawn JP2004096103A (en) 2002-08-09 2003-07-28 The design method and design equipment of semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2004096103A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100567069B1 (en) 2004-12-03 2006-04-04 주식회사 하이닉스반도체 Method for design of semiconductor device
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
JP2007140708A (en) * 2005-11-15 2007-06-07 Ricoh Co Ltd Design method and manufacturing method for semiconductor integrated circuit
JP2009135134A (en) * 2007-11-28 2009-06-18 Fujitsu Microelectronics Ltd Method of designing semiconductor integrated circuit

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100567069B1 (en) 2004-12-03 2006-04-04 주식회사 하이닉스반도체 Method for design of semiconductor device
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
JP4558612B2 (en) * 2005-09-02 2010-10-06 富士通セミコンダクター株式会社 Layout design method for semiconductor integrated circuit
JP2007140708A (en) * 2005-11-15 2007-06-07 Ricoh Co Ltd Design method and manufacturing method for semiconductor integrated circuit
JP2009135134A (en) * 2007-11-28 2009-06-18 Fujitsu Microelectronics Ltd Method of designing semiconductor integrated circuit

Similar Documents

Publication Publication Date Title
KR100545748B1 (en) Design method and design device of semiconductor integrated circuit device
US20060101367A1 (en) Design method of semiconductor device and semiconductor device
JP4501728B2 (en) Crosstalk error control device, crosstalk error control method, and crosstalk error control program
US6047247A (en) Method of estimating degradation with consideration of hot carrier effects
US7222319B2 (en) Timing analysis method and apparatus
US20080034338A1 (en) Timing analysis method and device
US20070136705A1 (en) Timing analysis method and device
US20100077371A1 (en) Semiconductor integrated circuit, layout design method of semiconductor integrated circuit, and layout program product for same
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US7921395B2 (en) Method for laying out decoupling cells and apparatus for laying out decoupling cells
US20110283249A1 (en) Method and system to predict a number of electromigration critical elements
TW201738789A (en) A computer-readable storage medium and a method for analyzing IR drop and electro migration of an IC
US7399648B2 (en) Methods and apparatus for determining location-based on-chip variation factor
US7673268B2 (en) Method and system for incorporating via redundancy in timing analysis
JP2009237972A (en) Semiconductor device, and designing method and designing apparatus thereof
US6869808B2 (en) Method for evaluating property of integrated circuitry
US6941532B2 (en) Clock skew verification methodology for grid-based design
US20090019413A1 (en) System and method for automatic layout of integrated circuit
US20090063062A1 (en) Fault test apparatus and method for testing semiconductor device under test using fault excitation function
US7171641B2 (en) Voltage change reflecting delay calculation method, and voltage change reflecting delay calculation system
JP2005149273A (en) Apparatus and method for floor planning of semiconductor integrated circuit
US6584607B2 (en) Method of performing timing-driven layout
JP4232477B2 (en) Verification method of semiconductor integrated circuit
JP2004096103A (en) The design method and design equipment of semiconductor integrated circuit device
JP4969416B2 (en) Operation timing verification apparatus and program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090427

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20091016