JP4733935B2 - 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体 - Google Patents

試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体 Download PDF

Info

Publication number
JP4733935B2
JP4733935B2 JP2004192229A JP2004192229A JP4733935B2 JP 4733935 B2 JP4733935 B2 JP 4733935B2 JP 2004192229 A JP2004192229 A JP 2004192229A JP 2004192229 A JP2004192229 A JP 2004192229A JP 4733935 B2 JP4733935 B2 JP 4733935B2
Authority
JP
Japan
Prior art keywords
input
output
test
pattern
test circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004192229A
Other languages
English (en)
Other versions
JP2006010655A (ja
Inventor
靖 岡埜
秀明 小西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2004192229A priority Critical patent/JP4733935B2/ja
Priority to US10/968,140 priority patent/US7516376B2/en
Publication of JP2006010655A publication Critical patent/JP2006010655A/ja
Application granted granted Critical
Publication of JP4733935B2 publication Critical patent/JP4733935B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Description

この発明は、複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、テスト回路をテストする試験パターンを生成し、また、生成された試験パターンを用いてテスト回路をテストする試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体に関する。
従来から、LSIなどの集積回路の製造不良を検出する試験手法が提案されている。たとえば、論理シミュレータを用いて試験パターンを検証する場合、通常はスキャンシフトの正常動作を前提にしたスキャンシフトパラレルシミュレーションをおこなっている。
また、下記特許文献1の集積回路の試験装置および試験方法では、集積回路中に組み込まれて試験パターンを発生するパターン発生器と、集積回路内部の順序回路素子で形成した複数のシフトレジスタと、パターン発生器によって発生された試験パターンを外部入力により修正してから複数のシフトレジスタに入力するパターン修正器とを備えている。
この特許文献1の集積回路の試験装置および試験方法では、高品質なテストを短時間で実行できるようにするほか、設計者に厳しい設計規約を課すことなく且つ高価なテスタを必要とすることなく高品質なテストをおこなうことができる。
特開2002−236144号公報
しかしながら、現在の大規模回路において、上述したスキャンシフトパラレルシミュレーションをおこなわない場合には、実用的な実行時間で試験パターンを検証することは不可能であるという問題があった。
また、上述した特許文献1の集積回路の試験装置および試験方法では、従来のスキャン設計において外部端子に存在していたスキャンデータ入力端子が、直接外部ピンに接続されていないため、スキャンシフトの動作試験をおこなうことができないという問題があった。
また、テスト回路は、SCAN手法と比較してテスト回路部分のゲート数が大きく、存在しうる故障も多くなる。一方、ATPGプログラムは、試験対象回路の試験パターンを作成することはできるが、試験装置そのものであるテスト回路部分の試験パターンを作成することができないため、試験の信頼性が低下しているという問題があった。さらに、従来手法では、テスト回路の故障検出をおこなう手段が存在していなかった。
この発明は、上述した従来技術による問題点を解消するため、テスト回路の故障検出をおこなうことにより、テスト回路の試験時間の短縮化および試験対象回路におこなう試験の信頼性の向上を図ることができる試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体を提供することを目的とする。
上述した課題を解決し、目的を達成するため、この発明にかかる試験パターン生成装置、試験パターン生成方法、試験パターン生成プログラムおよび記録媒体は、複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストする試験パターンを生成する試験パターン生成装置、試験パターン生成方法、試験パターン生成プログラムおよび記録媒体において、前記スキャンチェーンに関する情報を入力し、入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成し、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成し、前記テスト回路の入力および出力に関する情報を出力することを特徴とする。
また、上記発明において、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値(たとえば、信号値「1」。)が入力される第1の入力パターンを時系列的に表現した第1の入力行列(内部入力行列)を、前記スキャンチェーンの入力に関する情報として生成し、前記複数のスキャンチェーンに前記入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列(内部出力行列)を、前記スキャンチェーンの出力に関する情報として生成することとしてもよい。
また、上記発明において、時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列(外部入力行列)を、前記テスト回路の入力に関する情報として生成し、時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを、時系列的に表現した第2の出力行列(外部出力行列)を、前記テスト回路の出力に関する情報として生成することとしてもよい。
また、上記発明において、入力試験パターンおよび出力試験パターンは、前記複数のスキャンチェーンのスキャンシフトの動作に関する試験パターンとしてもよく、また、前記テスト回路に含まれているデコーダ、パターン修正器、不定マスク器、出力検証器、またはスペースコンパクタのうちいずれか一つの回路の動作に関する試験パターンとしてもよい。
また、この発明にかかるテスト回路試験装置、テスト回路試験方法、テスト回路試験プログラムおよび記録媒体は、複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストするテスト回路試験装置、テスト回路試験方法、テスト回路試験プログラムおよび記録媒体において、前記スキャンチェーンに関する情報を入力し、入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成し、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成し、前記テスト回路の入力および出力に関する情報を出力し、出力された前記テスト回路の入力に関する情報(入力試験パターン)が前記テスト回路に入力された結果、前記複数のスキャンチェーンを介して前記テスト回路から出力される出力パターンと、出力された前記テスト回路の出力に関する情報(出力試験パターン)と、に基づいて、前記テスト回路を検証することを特徴とする。
上記発明によれば、テスト回路の入力に関する情報が、テスト回路を試験するためにテスト回路に入力される入力試験パターンとなり、テスト回路の出力に関する情報が、テスト回路から出力される出力パターンと比較される出力期待値(出力試験パターン)となる。このように、スキャンシフト動作の検証用の試験パターンを生成することによりスキャンシフトの正常動作を前提とする高速シミュレーションを実行することができる。また、ATPGプログラムでは検証できなかったテスト回路内のデコーダ、スペースコンパクタ、パターン修正器、不定マスク器、出力検証器の動作試験と故障検出をおこなうことができる。
本発明にかかる試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体によれば、テスト回路の故障検出をおこなうことにより、試験対象回路におこなう試験の信頼性の向上を図ることができるという効果を奏する。
以下に添付図面を参照して、この発明にかかる試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体の好適な実施の形態を詳細に説明する。
(実施の形態)
(テスト回路試験装置の概略構成)
まず、この発明の実施の形態にかかるテスト回路試験装置の概略構成について説明する。図1は、この発明の実施の形態にかかるテスト回路試験装置の概略構成を示すブロック図である。図1において、テスト回路試験装置100は、集積回路101内におけるテスト回路(入力側テスト回路102、出力側テスト回路103)をテストする。
また、集積回路101は、テスト回路(入力側テスト回路102、出力側テスト回路103)と、試験対象回路104と、テスト制御回路105と、から構成されている。試験対象回路104は、搭載される製品の機能を実現する回路であり、スキャンFFなどの順序回路素子を含む構成とされている。試験対象回路104は、後述するように、この順序回路素子によって構成される複数のスキャンチェーンを備えている。
テスト回路(入力側テスト回路102、出力側テスト回路103)は、順序回路や組み合わせ回路などから構成されており、試験対象回路104のテストをおこなう。入力側テスト回路102は、試験対象回路104に信号パターンを出力し、出力側テスト回路103は試験対象回路104から出力されてくる信号パターンを入力する。テスト制御回路105は、たとえば、TAPコントローラによって構成されており、所定のシーケンスによってテスト回路を制御する。
(試験対象回路104の具体的構成)
つぎに、この発明の実施の形態にかかる試験対象回路104の具体的構成について説明する。図2は、この発明の実施の形態にかかる試験対象回路104の具体的構成を示す説明図である。図2において、試験対象回路104は、データを伝搬させる複数本(図2ではn本)のスキャンチェーンCを有している。各スキャンチェーンC0、C1、・・・、Cn-1は、複数のスキャンFFを直列に接続したシフトレジスタである。
スキャンチェーンC(C0、C1、・・・、Cn-1)は、それぞれスキャンFFの数、すなわち段数(チェーン長ともいう)を有する。また、スキャンチェーンCの本数は、入力側テスト回路102のテスト入力ピンの本数または出力側テスト回路103のテスト出力ピンの本数よりも多く、たとえば、スキャンチェーンCの本数が256本に対し、テスト入力(出力)ピンの本数は、10本程度とされている。
(入力側テスト回路102のハードウェア構成)
つぎに、この発明の実施の形態にかかる入力側テスト回路102のハードウェア構成について説明する。図3は、この発明の実施の形態にかかる入力側テスト回路102のハードウェア構成を示すブロック図である。図3において、入力側テスト回路102は、入力側ATGBIST回路であり、具体的には、デコーダ301と、パターン発生器302と、パターン修正器303と、クロック発生器304と、から構成されている。
デコーダ301は、後述する試験パターン生成装置から出力された試験パターンをデコードし、このデコード結果により、パターン修正器303の修正ビットを指定する。パターン発生器302は、リニアフィードバックシフトレジスタ(以下、「LFSR」という。)305と、フェイズシフタ306と、から構成され、LFSR305が、クロック発生器304からのクロック信号CK1に同期して、擬似ランダムパターンを生成し、フェイズシフタ306を介してパターン修正器303に出力する。
パターン修正器303は、パターン発生器302で発生された擬似ランダムパターンに対して、デコーダ301のデコード結果によって指定されたビットを修正する。修正されたパターンは、スキャンチェーンCに出力される。クロック発生器304は、LFSR用クロック信号CK1、パターン修正器用クロック信号CK2、不定マスク器用クロック信号CK3および出力検証器用クロック信号CK4を生成して、それぞれLFSR305、不定マスク器402(図4を参照。)、出力検証器403(図4を参照。)に出力する。
(出力側テスト回路103のハードウェア構成)
つぎに、この発明の実施の形態にかかる出力側テスト回路103のハードウェア構成について説明する。図4は、この発明の実施の形態にかかる出力側テスト回路103のハードウェア構成を示すブロック図である。図4において、出力側テスト回路103は、出力側ATGBIST回路であり、具体的には、デコーダ401と、不定マスク器402と、出力検証器403と、スペースコンパクタ404と、から構成されている。
デコーダ401は、後述する試験パターン生成装置から出力された試験パターンをデコードし、このデコード結果により、不定マスク器402のマスクビットを指定する。不定マスク器402は、クロック発生器304からの不定マスク器用クロック信号CK3に同期して、スキャンチェーンCからの出力パターンを入力し、この出力パターンのうち、デコーダ401によって指定されたマスクビットをマスクする。
出力検証器403は、たとえば、MISR(Multiple Input Signature Register)であり、不定マスク器402からの出力パターンを1bit信号に圧縮し、テスト制御回路105に出力する。たとえば、不定マスク器402からの256bitの出力パターンを、1bitずつ順次テスト制御回路105に出力する。スペースコンパクタ404は、EORツリーによって構成され、不定マスク器402からの出力パターンを、たとえば10bit信号に圧縮し、図示しないテスタに出力する。
(テスト回路試験装置100のハードウェア構成)
つぎに、この発明の実施の形態にかかるテスト回路試験装置100のハードウェア構成について説明する。図5は、この発明の実施の形態にかかるテスト回路試験装置100のハードウェア構成を示すブロック図である。
図5において、テスト回路試験装置100は、CPU501と、ROM502と、RAM503と、HDD(ハードディスクドライブ)504と、HD(ハードディスク)505と、FDD(フレキシブルディスクドライブ)506と、着脱可能な記録媒体の一例としてのFD(フレキシブルディスク)507と、ディスプレイ508と、I/F(インターフェース)509と、キーボード510と、マウス511と、スキャナ512と、プリンタ513と、を備えている。また、各構成部はバス500によってそれぞれ接続されている。
ここで、CPU501は、テスト回路試験装置100の全体の制御を司る。ROM502は、ブートプログラムなどのプログラムを記憶している。RAM503は、CPU501のワークエリアとして使用される。HDD504は、CPU501の制御にしたがってHD505に対するデータのリード/ライトを制御する。HD505は、HDD504の制御で書き込まれたデータを記憶する。
FDD506は、CPU501の制御にしたがってFD507に対するデータのリード/ライトを制御する。FD507は、FDD506の制御で書き込まれたデータを記憶したり、FD507に記憶されたデータをテスト回路試験装置100に読み取らせたりする。
また、着脱可能な記録媒体として、FD507のほか、CD−ROM(CD−R、CD−RW)、MO、DVD(Digital Versatile Disk)、メモリーカードなどであってもよい。ディスプレイ508は、カーソル、アイコンあるいはツールボックスをはじめ、文書、画像、機能情報などのデータを表示する。このディスプレイ508は、たとえば、CRT、TFT液晶ディスプレイ、プラズマディスプレイなどを採用することができる。
I/F509は、通信回線を通じてインターネットなどのネットワーク514に接続され、このネットワーク514を介して他の装置に接続される。そして、I/F509は、ネットワーク514と内部のインターフェースを司り、外部装置からのデータの入出力を制御する。I/F509には、たとえばモデムやLANアダプタなどを採用することができる。
キーボード510は、文字、数字、各種指示などの入力のためのキーを備え、データの入力をおこなう。また、タッチパネル式の入力パッドやテンキーなどであってもよい。マウス511は、カーソルの移動や範囲選択、あるいはウィンドウの移動やサイズの変更などをおこなう。ポインティングデバイスとして同様に機能を備えるものであれば、トラックボールやジョイスティックなどであってもよい。
スキャナ512は、画像を光学的に読み取り、テスト回路試験装置100内に画像データを取り込む。なお、スキャナ512は、OCR機能を持たせてもよい。また、プリンタ513は、画像データや文書データを印刷する。プリンタ513には、たとえば、レーザプリンタやインクジェットプリンタを採用することができる。
(テスト回路試験装置100の機能的構成)
つぎに、この発明の実施の形態にかかるテスト回路試験装置100の機能的構成について説明する。図6は、この発明の実施の形態にかかるテスト回路試験装置100の機能的構成を示すブロック図である。図6において、テスト回路試験装置100は、試験パターン生成装置600と、検証部610と、から構成されている。
試験パターン生成装置600は、記憶部601と、入力部602と、スキャンチェーン入出力情報生成部603と、テスト回路入出力情報生成部604と、出力部605と、から構成されている。記憶部601には、試験対象回路104のスキャンチェーンCに関する情報が記憶されている。具体的には、試験対象回路104ごとに、スキャンチェーンCの本数やスキャンチェーンCごとの段数(チェーン長)が記憶されている。
入力部602は、抽出部606と、受信部607と、を備えている。抽出部606は、記憶部601に記憶されているスキャンチェーンCに関する情報の集合の中から、今回試験をおこなう試験対象回路104のスキャンチェーンCに関する情報を抽出する。また、受信部607は、ネットワーク514を介して、今回試験をおこなう試験対象回路104のスキャンチェーンCに関する情報を外部サーバから受信する。
また、スキャンチェーン入出力情報生成部603は、入力部602によって入力されたスキャンチェーンCに関する情報に基づいて、スキャンチェーンCの入力および出力に関する情報を生成する。具体的には、スキャンチェーンCに入力(スキャンイン)される入力パターンをあらわす内部入力行列と、スキャンチェーンCから出力(スキャンアウト)される出力パターンをあらわす内部出力行列と、を生成する。
また、テスト回路入出力情報生成部604は、スキャンチェーン入出力情報生成部603によって生成された、スキャンチェーンCの入力および出力に関する情報に基づいて、テスト回路の入力および出力に関する情報を生成する。具体的には、入力側テスト回路102に入力される入力試験パターンをあらわす外部入力行列と、出力側テスト回路103から出力される出力パターンを検証するための出力試験パターンをあらわす外部出力行列と、を生成する。
ここで、内部入力行列、内部出力行列、外部入力行列、および外部出力行列について具体的に説明する。ここでは、簡略化のため、スキャンチェーンCの本数を2本、各スキャンチェーン段数を3段とした場合について説明する。図7は、時刻ごとにおけるスキャンFFの内部状態とスキャンチェーンCに入力される信号値を示す説明図である。
図7において、時刻0は初期状態であり、入力端子SI0,SI1には信号が与えられていない。時刻「1」において、スキャンチェーンC0の入力端子SI0に信号値「1」が与えられると、入力端子SI0に入力された信号値「1」は、時刻「2」において、1段目のスキャンFF701にシフトし、時刻「3」において、2段目のスキャンFF702にシフトし、時刻「4」において、3段目のスキャンFF703にシフトし、時刻「5」において、出力端子SO0に出力される。
一方、時刻「4」において、スキャンチェーンC1の入力端子SI1に信号値「1」が与えられると、入力端子SI1に入力された信号値「1」は、時刻「5」において、1段目のスキャンFF711にシフトし、時刻「6」において、2段目のスキャンFF712にシフトし、時刻「7」において、3段目のスキャンFF713にシフトし、時刻「8」において、出力端子SO1に出力される。そして、時刻「9」において、初期状態に戻る。
ここで、入出力行列について説明する。図8は、この発明の実施の形態にかかる入出力行列を示す図表である。図8において、左欄には、図7に示したスキャンFFの内部状態を行列表現した内部入出力行列が記述されている。一方、右欄には、外部入出力行列が記述されている。右欄において、テスト回路入力端子TDIの信号値は、スキャンチェーン入力端子に入力された信号値の論理和である。すなわち、いずれのスキャンチェーン入力端子SI0、SI1に、信号値「1」が入力されない状態では「0」、スキャンチェーン入力端子SI0、SI1のうち少なくともいずれか一方のスキャンチェーン入力端子に信号値「1」が入力される状態では「1」が入力される。
また、テスト回路入力端子XMASKは、不定マスク器402の使用フラグである。テスト回路入力端子SDIの信号値は、信号値「1」が与えられたスキャンチェーン入力端子の端子番号をあらわしており、この例では、スキャンチェーンが2本であるため、1bitで表現することができる。この端子番号に対応するスキャンチェーンの値が不定マスク器402によって反転される。
また、テスト回路入力端子SDIの信号値は、テスト回路入力端子TDIの信号値が「1」の場合のみ、有効である。したがって、時刻「0」では、テスト回路入力端子SDIの信号値が「0」であるため、0番目のスキャンチェーンの値が反転対象となるが、テスト回路入力端子TDIの信号値が「0」であるため、0番目のスキャンチェーンの値が不定マスク器402によって反転されない。
一方、時刻「1」では、テスト回路入力端子TDIの信号値が「1」であるため、0番目のスキャンチェーンの値を反転させる。これらテスト回路入力端子TDI,XMASK,SDIの値が、外部入力行列、すなわち、テスト回路に入力される入力試験パターンとなる。
また、テスト回路出力端子SDOの値は、スキャンチェーン出力端子SO0、SO1のEOR(排他的論理和)によって算出する。このテスト回路出力端子SDOの値が、外部出力行列、すなわち、テスト回路の出力試験パターン(期待値)となる。
また、図6において、出力部605は、テスト回路入出力情報生成部604によって生成された、テスト回路の入力および出力に関する情報を、入力試験パターンおよび出力試験パターンとして出力する。具体的には、出力部605は、テスト回路入出力情報生成部604によって生成された外部入力行列を、入力試験パターンとして入力側テスト回路102に出力する。一方、テスト回路入出力情報生成部604によって生成された外部出力行列を、出力試験パターンとして検証部610に出力する。
また、検証部610は、出力部605によって出力された入力試験パターンがテスト回路に入力された結果、複数のスキャンチェーンCを介してテスト回路から出力される出力パターンと、出力部605によって出力された出力試験パターンと、に基づいて、テスト回路を検証する。具体的には、複数のスキャンチェーンCを介してテスト回路から出力される最後の出力パターンについての出力検証器403からの出力信号と、出力試験パターンとを比較する。そして、一致する場合は、故障ありと判断され、不一致の場合は故障なしと判断される。検証部610は、テスト制御回路105内に備えていても良い。
なお、上述した記憶部601は、具体的には、たとえば、図5に示したRAM503、HD505、FD507などの読み書き可能な記録媒体によってその機能を実現する。また、上述した入力部602、スキャンチェーン入出力情報生成部603、テスト回路入出力情報生成部604、出力部605、および検証部610、具体的には、たとえば、図5に示したROM502、RAM503、HD505、FD507等に記録されたプログラムを、CPU501が実行することによってその機能を実現する。
(試験パターン生成例)
つぎに、この発明の実施の形態にかかる試験パターンの生成例について説明する。まずスキャンシフト動作検証用の試験パターン生成例について説明する。
(スキャンシフト動作検証用の試験パターン生成例)
スキャンシフト動作の検証においては、スキャンチェーンCに含まれるすべてのスキャンFFに“0”と“1”が交互に入力される外部入力を発生する。この入力された値がすべてのスキャンFFでシフトされた後にスキャンアウトされていることを、外部出力値(外部出力行列)で確認する。このようなパターンによりスキャンシフトの動作確認と信号遷移(0→1,1→0)の動作確認をおこなうことができる。さらにスキャンチェーンCのシフト動作に関わる故障を検出することが可能である。
スキャンシフト動作の検証のためのパターン生成においては、まず、スキャンチェーンCの入力をあらわす行列(内部入力行列)とスキャンチェーンCの出力をあらわす行列(内部出力行列)を生成する。図9は、スキャンチェーンCの本数が2本、段数(チェーン長)がそれぞれ4および2の場合の、内部入力行列および内部出力行列を示す説明図である。
この内部入力行列および内部出力行列は、スキャンチェーンCの位置(チェーン番号)を行、入力する時間を列とした行列である。同じサイクル(時刻)に入力した信号であっても、チェーン長が異なる場合にはシフトアウトされるサイクルが異なることを意識した内部出力行列を作る。入力値の配置の上での工夫としてはスキャンシフト動作において最も厳しいタイミングを検証するために連続したシフト動作をおこなう。そのため一回のスキャンシフト動作においては複数箇所に“1”が現れないように配置する。
このため、次の手法で内部入力行列を生成する。各スキャンチェーンCのチェーン長(段数)を記述したリストを作成する。このリストを、配列subchainとする。このリストは、すでに与えられているデータであり、スキャンチェーン情報に相当する。したがって、上述した記憶部601または外部サーバに記憶されている。
ここで、スキャンチェーンCの位置をあらわすチェーン番号(ID)と、リスト「配列subchain」とに基づいて、スキャンチェーンの長い順に、IDをソートすることにより、配列subchain_sortを生成する。この配列subchain_sortを生成することにより、n番目に長いスキャンチェーンおよびそのチェーン長(段数)を容易に検出することができる。以下に具体例を示す。図10は、この発明の実施の形態にかかるIDおよびチェーン長の対応表である。
図10において、配列subchainは、ID順により、subchain(189,45,87,90,10)となる。右辺はチェーン長である。
subchain[0]=189
subchain[1]=45
subchain[2]=87
subchain[3]=90
subchain[4]=10
また、配列subchainをチェーン長が長いID順にソートした配列subchain_sortは、subchain_sort(0,3,2,1,4)となる。右辺はIDである。
subchain_sort[0]=0
subchain_sort[1]=3
subchain_sort[2]=2
subchain_sort[3]=1
subchain_sort[4]=4
ここで、
j=subchain_sort[i]
k=subchain[j]
x=最大スキャンチェーン長
z=スキャンチェーン本数
と定義する。なお、iは、i=0,1,2,3,4...、kはi番目に長いスキャンチェーンのチェーン長をあらわしている。
時刻Tin(Tin=3×i+x−k+i)にスキャンインされたデータは、時刻Tout(
Tout=3×i+x+i)にスキャンアウトされる。iについて、i=0からi=z−1
までの数を上記時刻Tinおよび時刻Toutに代入し、内部入力行列の座標(j,3×i+x−k+1)および内部出力行列の座標(j,3×i+x+1)に信号値「1」を入れ、それ以外の座標に信号値「0」を入れることにより、スキャンシフト動作においては同時刻に複数箇所に“1”が現れず、かつ、スペースコンパクタ404の期待値からの問題箇所の特定が容易となる内部入出力行列を生成することができる。
これは、時刻Toutに出力されるように入力する時間を逆算する手法である。この計算式により内部出力行列に設定される信号は不要な間隔をおかずに、各スキャンチェーンから順番に0→1→0の信号が出力される。さらに、修正箇所が重複しないようにスキャンチェーンの長い順に入力をおこなう。この例で示したスキャンチェーン構成において生成された内部入出力行列を図11に示す。
図11は、スキャンチェーン構成において生成された内部入出力行列の一例を示す説明図である。この内部入出力行列を用いて、上述した手法により、外部入出力行列を生成する。これにより、スキャンシフト動作試験用の入力試験パターンおよび出力試験パターンを生成することができる。
(デコーダ301,401の動作試験および故障検出用の試験パターン生成例)
つぎに、デコーダ301,401の動作試験および故障検出の試験パターン生成例について説明する。デコーダ301,401の動作試験および故障検出の試験パターンは、上述のスキャンシフト動作用の試験パターンを入力する際にすべての状態を網羅することができる。すべてのスキャンチェーンCに“1”を入力するため、デコーダ301,401に全通りの入力がなされるからである。
(スペースコンパクタ404の動作試験および故障検出用の試験パターン生成例)
上述したスキャンシフト動作検証用の試験パターンにより、スペースコンパクタ404の故障を検出することができる。たとえば、入力値の配置における工夫としては、スペースコンパクタ404の入力に複数のスキャンチェーンCからの出力が「1」とならないように内部入出力行列を生成することが挙げられる。
図12は、スペースコンパクタ404のハードウェア構成を示すブロック図である。スペースコンパクタ404は、上述したように、EORゲートをツリー構造にした回路である。スペースコンパクタ404の入力がすべて「0」の場合、すなわち、入力パターンがパターンaの場合、図12内のすべての1縮退故障を検出することができる。
ことができる。
また、スペースコンパクタ404の入力のうち1つだけが「1」の場合、すなわち、入力パターンがパターンbの場合、図12中、矢印SAで示したパスの0縮退故障を検出することができる。スペースコンパクタ404の入力はスキャンチェーンCからシフトアウトされた信号が入力される。すべての入力においてその入力だけが「1」であるようなパターンが入力されるため、スペースコンパクタ404の大部分の故障を検出することが可能である。
また、図11の内部出力行列に示したとおり、スキャンシフト動作試験パターンでシフトアウトされる内部出力信号は、同時に複数のスキャンチェーンCが“1”となる組み合わせは存在しない。さらに、スペースコンパクタ404内のすべての信号線の0→1→0の信号遷移が発生する。したがって、スペースコンパクタ404から外部出力にかけてのタイミングの検証をおこなうことが可能である。
(パターン修正器303の検証用の試験パターン生成例)
つぎに、パターン修正器303の検証用の試験パターン生成例について説明する。図13〜図15は、パターン修正器303内の1bit分の内部回路を示すブロック図である。この1bit分の内部回路1300内には修正情報を保持するためのFF1301が存在する。パターン修正器303の動作で重要な動作は、図14に示すように、デコーダ301からの入力信号をスキャンパスへ伝播するパスにおいて、内部のFF1301を使用せずに、デコーダ301から入力するパターンを使用するケース(図14中、太線で示した矢印のパス1)と、図15に示すように、FF1301に値を格納したあと、スキャンパスへ伝播するパス(図15中、太線で示した矢印のパス2)の2つである。
この2つの動作を、パターン修正器303の各bitの内部回路で網羅するような試験パターンを生成する。図14に示したパス1の場合、スキャンシフト動作試験パターンで同じ条件を満たす試験パターンを用いているため、ここでは生成しなくてもよい。以下は図14に示したパス1および図15に示したパス2の両方のパスを検証する例を示す。具体的には次の手順で内部入出力行列を生成する。
x=最大スキャンチェーン長
z=スキャンチェーン本数
j1=i
j2=(i+1)×mod(z)
k1=subchain[j1]
k2=subchain[j2]
と定義する。
subchainは、各スキャンチェーンCの長さを記述した配列である。このリストはすでに与えられているデータであり、スキャンチェーン情報に相当する。したがって、上述した記憶部601または外部サーバに記憶されている。
図10の表に示した例を用いると、iについてi=0からi=z−1までの数を上記時刻Tinおよび時刻Toutに代入し、内部入力行列の座標(j1,i),(j2,i)と、内部出力行列の座標(j1,i+k1),(j2,i+k2)に信号値「1」を、それ以外の座標に信号値「0」を入れることにより、内部行列を作成する。この場合の内部入出力行列を示す。図16は、パターン修正器303用の内部入出力行列を示す説明図である。なお、行列内において、特に明記されていない箇所の値は「0」である。
(不定マスク器402の動作試験および故障検出用の試験パターン生成例)
つぎに、不定マスク器402の動作試験および故障検出用の試験パターン生成例について説明する。図17〜図19は、不定マスク器402内の1bit分の内部回路を示すブロック図である。不定マスク器402の内部回路1700内には、マスク情報を保持するためのFF1701が存在する。
不定マスク器402の動作で重要な動作は、図18に示すように、内部のFF1701を使用せずデコーダ401から入力するパターンを使用するケース(図18中、太線で示した矢印のパス3)と、図19に示すように、一旦FF1701に値を格納した後、出力検証器403へ伝播するパス(図19中、太線で示した矢印のパス4)の2つのパスである。この2つの動作を不定マスク器402の各bitの内部回路で網羅する試験パターンを生成する。
以下に説明する手法は、同時刻に複数箇所に“1”が設定されているスキャンチェーンCのうち、チェーン番号(ID)が一番小さいスキャンチェーンCについては、図18に示したパス3が動作する場合の例を示している。生成される試験パターンは、以下の条件を満たすものとなる。
(1)同時刻に2ヶ所以上のスキャンチェーンCに"1"が設定されている。
(2)それぞれのスキャンチェーンCが“1”が設定されている最小チェーン番号になる複数の試験パターンを生成する。
具体的には次の手順で内部入出力行列を生成する。
x=最大スキャンチェーン長
z=スキャンチェーン本数
j1=i
j2=(i+1)× mod(z)
と定義する。
iについてi=0からi=z−1までの数を上記時刻Tinおよび時刻Toutに代入し、内部入力行列の座標(j1,i),(j2,i)と、内部出力行列の座標(j1,i+k1),(j2,i+k2)に信号値「1」を、それ以外の座標に信号値「0」を入れることにより、内部行列を作成する。この例で示したスキャンチェーン構成において生成された内部入出力行列を示す。図20は、不定マスク器402用の内部入出力行列を示す説明図である。なお、行列内において、特に明記されていない箇所の値は「0」である。
(出力検証器403の動作試験用の試験パターン生成例)
つぎに、出力検証器403の動作試験用の試験パターン生成例について説明する。出力検証器403においては、上述したスキャンシフト動作検証用の試験パターン、デコーダ301の動作試験および故障検出用の試験パターン、スペースコンパクタ404の動作試験および故障検出用の試験パターン、パターン修正器303の検証用の試験パターン、および不定マスク器402の動作試験および故障検出用の試験パターンを動作させた後に、出力検証器403に記憶されている信号値を算出する。算出された値が外部端子にシフトアウトしたときの値を、外部端子の出力期待値とする。この出力期待値が出力試験パターンとなる。
(テスト回路試験処理手順)
つぎに、この発明の実施の形態にかかるテスト回路試験処理手順について説明する。図21は、この発明の実施の形態にかかるテスト回路試験処理手順を示すフローチャートである。図21において、まず、試験対象回路104のスキャンチェーン情報を入力する(ステップS2101)。つぎに、入力されたスキャンチェーン情報から、上述した手法により、内部入出力行列を生成する(ステップS2102)。
そして、時刻ごとの内部入力行列の値、すなわち、図8に示したスキャンチェーン入力端子SI0,SI1の値の論理和を算出することにより、外部入力行列のテスト回路入力端子TDIの値を算出する(ステップS2103)。また、不定マスク器402の使用フラグを設定する(ステップS2104)。
さらに、スキャンチェーン入力端子SI0,SI1に信号値「1」が入力されたスキャンチェーンのチェーン番号(ID)を検出する(ステップS2105)。これらの値が外部入力行列、すなわち、入力試験パターンとなる。また、時刻ごとの内部出力行列のEOR(排他的論理和)を算出することにより、外部出力行列、すなわち、出力期待値となる出力試験パターンを生成する(ステップS2106)。
そして、生成された入力試験パターンをテスト回路に対して時系列に出力する(ステップS2107)。テスト回路では、入力側テスト回路102に入力された入力試験パターンが、スキャンチェーンを介して出力側テスト回路103に入力され、出力検証器403から出力パターンが出力される。
この出力パターンと、出力期待値となる出力試験パターンとに基づいて、テスト回路の検証をおこなう(ステップS2108)。すなわち、出力パターンと出力期待値となる出力試験パターンとが一致する場合(ステップS2109:Yes)、テスト回路の動作は正常であり、故障なしと判定される(ステップS2110)。一方、出力パターンと出力期待値となる出力試験パターンとが不一致の場合(ステップS2109:No)、動作が異常であり、故障ありと判定される(ステップS2111)。
このように、この発明の実施の形態にかかるテスト回路試験装置100によれば、スキャンシフト動作の検証用の試験パターンを生成することによりスキャンシフトの正常動作を前提とする高速シミュレーションを実行することができる。これにより、シミュレーションによる試験パターンの検証を、実用的な時間で実行することが可能となり、試験時間の短縮化を図ることができる。
また、従来の手法において、ATPGプログラムでは検証できなかったテスト回路内のデコーダ301、スペースコンパクタ404、パターン修正器303、不定マスク器402、出力検証器403の動作試験と故障検出をおこなうことができ、ATPGが作成したパターンを単独で適用した場合に比べて試験の信頼性の向上を図ることができる。
以上説明したように、試験パターン生成装置600、テスト回路試験装置100、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体によれば、テスト回路の故障検出をおこなうことにより、テスト回路の試験時間の短縮化および試験対象回路104におこなう試験の信頼性の向上を図ることができる。
なお、本実施の形態で説明した試験パターン生成方法またはテスト回路試験方法は、予め用意されたプログラムをパーソナル・コンピュータやワークステーション等のコンピュータで実行することにより実現することができる。このプログラムは、ハードディスク、フレキシブルディスク、CD−ROM、MO、DVD等のコンピュータで読み取り可能な記録媒体に記録され、コンピュータによって記録媒体から読み出されることによって実行される。またこのプログラムは、インターネット等のネットワークを介して配布することが可能な伝送媒体であってもよい。
(付記1)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストする試験パターンを生成する試験パターン生成装置において、
前記スキャンチェーンに関する情報の入力を受け付ける入力手段と、
前記入力手段によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成するスキャンチェーン入出力情報生成手段と、
前記スキャンチェーン入出力情報生成手段によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成するテスト回路入出力情報生成手段と、
前記テスト回路入出力情報生成手段によって生成された、前記テスト回路の入力および出力に関する情報を出力する出力手段と、
を備えることを特徴とする試験パターン生成装置。
(付記2)前記スキャンチェーン入出力情報生成手段は、
前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を、前記スキャンチェーンの入力に関する情報として生成し、
前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成することを特徴とする付記1に記載の試験パターン生成装置。
(付記3)前記テスト回路入出力情報生成手段は、
時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を、前記テスト回路の入力に関する情報として生成し、
時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを、時系列的に表現した第2の出力行列を生成することを特徴とする付記2に記載の試験パターン生成装置。
(付記4)前記テスト回路の入力および出力に関する情報は、
前記複数のスキャンチェーンのスキャンシフトの動作に関する入力試験パターンおよび出力試験パターンであることを特徴とする付記1〜3のいずれか一つに記載の試験パターン生成装置。
(付記5)前記テスト回路の入力および出力に関する情報は、
前記テスト回路に含まれているデコーダ、パターン修正器、不定マスク器、出力検証器、またはスペースコンパクタのうちいずれか一つの回路の動作に関する入力試験パターンおよび出力試験パターンであることを特徴とする付記1〜3のいずれか一つに記載の試験パターン生成装置。
(付記6)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストするテスト回路試験装置において、
前記スキャンチェーンに関する情報を入力する入力手段と、
前記入力手段によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成するスキャンチェーン入出力情報生成手段と、
前記スキャンチェーン入出力情報生成手段によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成するテスト回路入出力情報生成手段と、
前記テスト回路入出力情報生成手段によって生成された、前記テスト回路の入力および出力に関する情報を出力する出力手段と、
前記出力手段によって出力された、前記テスト回路の入力に関する情報が、前記テスト回路に入力された結果、前記複数のスキャンチェーンを介して前記テスト回路から出力される出力パターンと、前記出力手段によって出力された、前記テスト回路の出力に関する情報と、に基づいて、前記テスト回路を検証する検証手段と、
を備えることを特徴とするテスト回路試験装置。
(付記7)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストする試験パターンを生成する試験パターン生成方法において、
前記スキャンチェーンに関する情報を入力する入力工程と、
前記入力工程によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成するスキャンチェーン入出力情報生成工程と、
前記スキャンチェーン入出力情報生成工程によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成するテスト回路入出力情報生成工程と、
前記テスト回路入出力情報生成工程によって生成された、前記テスト回路の入力および出力に関する情報を出力する出力工程と、
を含んだことを特徴とする試験パターン生成方法。
(付記8)前記スキャンチェーン入出力情報生成工程は、
前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、
前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成することを特徴とする付記7に記載の試験パターン生成方法。
(付記9)前記テスト回路入出力情報生成工程は、
時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、
時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを、時系列的に表現した第2の出力行列を生成することを特徴とする付記8に記載の試験パターン生成方法。
(付記10)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストするテスト回路試験方法において、
前記スキャンチェーンに関する情報を入力する入力工程と、
前記入力工程によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成するスキャンチェーン入出力情報生成工程と、
前記スキャンチェーン入出力情報生成工程によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成するテスト回路入出力情報生成工程と、
前記テスト回路入出力情報生成工程によって生成された、前記テスト回路の入力および出力に関する情報を出力する出力工程と、
前記出力工程によって出力された、前記テスト回路の入力に関する情報が、前記テスト回路に入力された結果、前記複数のスキャンチェーンを介して前記テスト回路から出力される出力パターンと、前記出力工程によって出力された、前記テスト回路の出力に関する情報と、に基づいて、前記テスト回路を検証する検証工程と、
を含んだことを特徴とするテスト回路試験方法。
(付記11)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストする試験パターンを生成する試験パターン生成プログラムにおいて、
前記スキャンチェーンに関する情報を入力させる入力工程と、
前記入力工程によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成させるスキャンチェーン入出力情報生成工程と、
前記スキャンチェーン入出力情報生成工程によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成させるテスト回路入出力情報生成工程と、
前記テスト回路入出力情報生成工程によって生成された、前記テスト回路の入力および出力に関する情報を出力させる出力工程と、
をコンピュータに実行させることを特徴とする試験パターン生成プログラム。
(付記12)前記スキャンチェーン入出力情報生成工程は、
前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成させ、
前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成させることを特徴とする付記11に記載の試験パターン生成プログラム。
(付記13)前記テスト回路入出力情報生成工程は、
時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成させ、
時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを、時系列的に表現した第2の出力行列を生成させることを特徴とする付記12に記載の試験パターン生成プログラム。
(付記14)複数のスキャンチェーンと、当該複数のスキャンチェーンをテストするテスト回路とを備える集積回路内の、前記テスト回路をテストするテスト回路試験プログラムにおいて、
前記スキャンチェーンに関する情報を入力させる入力工程と、
前記入力工程によって入力されたスキャンチェーンに関する情報に基づいて、前記スキャンチェーンの入力および出力に関する情報を生成させるスキャンチェーン入出力情報生成工程と、
前記スキャンチェーン入出力情報生成工程によって生成された、前記スキャンチェーンの入力および出力に関する情報に基づいて、前記テスト回路の入力および出力に関する情報を生成させるテスト回路入出力情報生成工程と、
前記テスト回路入出力情報生成工程によって生成された、前記テスト回路の入力および出力に関する情報を出力させる出力工程と、
前記出力工程によって出力された、前記テスト回路の入力に関する情報が、前記テスト回路に入力された結果、前記複数のスキャンチェーンを介して前記テスト回路から出力される出力パターンと、前記出力工程によって出力された、前記テスト回路の出力に関する情報と、に基づいて、前記テスト回路を検証させる検証工程と、
をコンピュータに実行させることを特徴とするテスト回路試験プログラム。
(付記15)付記11〜14のいずれか一つに記載のプログラムを記録したコンピュータ読み取り可能な記録媒体。
以上のように、本発明にかかる試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体は、LSIなどの集積回路の製造不良を検出する試験に有用である。
この発明の実施の形態にかかるテスト回路試験装置の概略構成を示すブロック図である。 この発明の実施の形態にかかる試験対象回路の具体的構成を示す説明図である。 この発明の実施の形態にかかる入力側テスト回路のハードウェア構成を示すブロック図である。 この発明の実施の形態にかかる出力側テスト回路のハードウェア構成を示すブロック図である。 この発明の実施の形態にかかるテスト回路試験装置のハードウェア構成を示すブロック図である。 この発明の実施の形態にかかるテスト回路試験装置の機能的構成を示すブロック図である。 時刻ごとにおけるスキャンFFの内部状態とスキャンチェーンに入力される信号値を示す説明図である。 この発明の実施の形態にかかる入出力行列を示す図表である。 スキャンチェーンの本数が2本、段数(チェーン長)がそれぞれ4および2の場合の、内部入力行列および内部出力行列を示す説明図である。 この発明の実施の形態にかかるIDおよびチェーン長の対応表である。 スキャンチェーン構成において生成された内部入出力行列の一例を示す説明図である。 スペースコンパクタのハードウェア構成を示すブロック図である。 パターン修正器内の1bit分の内部回路を示すブロック図(その1)である。 パターン修正器内の1bit分の内部回路を示すブロック図(その2)である。 パターン修正器内の1bit分の内部回路を示すブロック図(その3)である。 パターン修正器用の内部入出力行列を示す説明図である。 不定マスク器内の1bit分の内部回路を示すブロック図(その1)である。 不定マスク器内の1bit分の内部回路を示すブロック図(その2)である。 不定マスク器内の1bit分の内部回路を示すブロック図(その3)である。 不定マスク器用の内部入出力行列を示す説明図である。 この発明の実施の形態にかかるテスト回路試験処理手順を示すフローチャートである。
符号の説明
100 テスト回路試験装置
102 入力側テスト回路
103 出力側テスト回路
104 試験対象回路
105 テスト制御回路
C スキャンチェーン
301 デコーダ
303 パターン修正器
401 デコーダ
402 不定マスク器
403 出力検証器
404 スペースコンパクタ
600 試験パターン生成装置
602 入力部
603 スキャンチェーン入出力情報生成部
604 テスト回路入出力情報生成部
605 出力部
610 検証部

Claims (7)

  1. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路に対し、前記入力側テスト回路に与える入力試験パターンと、前記入力試験パターンが前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと比較される出力試験パターンと、を生成する試験パターン生成装置であって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力手段と、
    前記入力手段によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成手段と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成手段と、
    前記テスト回路入出力情報生成手段によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと前記出力試験パターンとを比較することにより前記入力側テスト回路および前記出力側テスト回路を検証する検証手段に、前記第2の出力行列を前記出力試験パターンとして前記出力側テスト回路に出力する出力手段と、
    を備えることを特徴とする試験パターン生成装置。
  2. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路内の前記入力側テスト回路および前記出力側テスト回路をテストするテスト回路試験装置であって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力手段と、
    前記入力手段によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成手段と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成手段と、
    前記テスト回路入出力情報生成手段によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の出力行列を前記出力試験パターンとして出力する出力手段と、
    前記出力手段によって前記第2の入力行列および前記第2の出力行列が出力された結果、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと、前記第2の出力行列とを比較することにより、前記入力側テスト回路および前記出力側テスト回路を検証する検証手段と、
    を備えることを特徴とするテスト回路試験装置。
  3. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路に対し、前記入力側テスト回路に与える入力試験パターンと、前記入力試験パターンが前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと比較される出力試験パターンと、を生成する試験パターン生成方法であって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力工程と、
    前記入力工程によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成工程と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成工程と、
    前記テスト回路入出力情報生成工程によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと前記出力試験パターンとを比較することにより前記入力側テスト回路および前記出力側テスト回路を検証する検証手段に、前記第2の出力行列を前記出力試験パターンとして前記出力側テスト回路に出力する出力工程と、
    を含んだことを特徴とする試験パターン生成方法。
  4. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路内の前記入力側テスト回路および前記出力側テスト回路をテストするテスト回路試験方法であって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力工程と、
    前記入力工程によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成工程と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成工程と、
    前記テスト回路入出力情報生成工程によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の出力行列を前記出力試験パターンとして出力する出力工程と、
    前記出力工程によって前記第2の入力行列および前記第2の出力行列が出力された結果、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと、前記第2の出力行列とを比較することにより、前記入力側テスト回路および前記出力側テスト回路を検証する検証工程と、
    を含んだことを特徴とするテスト回路試験方法。
  5. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路に対し、前記入力側テスト回路に与える入力試験パターンと、前記入力側試験パターンが前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと比較される出力試験パターンと、をコンピュータに生成させる試験パターン生成プログラムであって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力工程と、
    前記入力工程によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成工程と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成工程と、
    前記テスト回路入出力情報生成工程によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと前記出力試験パターンとを比較することにより前記入力側テスト回路および前記出力側テスト回路を検証する検証手段に、前記第2の出力行列を前記出力試験パターンとして前記出力側テスト回路に出力する出力工程と、
    を前記コンピュータに実行させることを特徴とする試験パターン生成プログラム。
  6. 複数のスキャンチェーンと、当該複数のスキャンチェーンの入力と接続されている入力側テスト回路と前記複数のスキャンチェーンの出力と接続されている出力側テスト回路とを備える集積回路内の前記入力側テスト回路および前記出力側テスト回路をコンピュータにテストさせるテスト回路試験プログラムであって、
    前記複数のスキャンチェーンの本数および段数の入力を受け付ける入力工程と、
    前記入力工程によって入力された本数および段数に基づいて、前記複数のスキャンチェーンに対し同一時刻においていずれか一本のスキャンチェーンにのみテスト信号値が入力される第1の入力パターンを時系列的に表現した第1の入力行列を生成し、前記複数のスキャンチェーンに前記第1の入力パターンが入力されたことによって前記複数のスキャンチェーンから出力される第1の出力パターンを時系列的に表現した第1の出力行列を生成するスキャンチェーン入出力情報生成工程と、
    時刻ごとの前記第1の入力パターンの論理和の値と、時刻ごとに前記テスト信号値が存在するスキャンチェーンを特定する値と、を含む第2の入力パターンを時系列的に表現した第2の入力行列を生成し、前記時刻ごとの前記第1の出力パターンの排他的論理和の値からなる第2の出力パターンを時系列的に表現した第2の出力行列を生成するテスト回路入出力情報生成工程と、
    前記テスト回路入出力情報生成工程によって生成された前記第2の入力行列を前記入力試験パターンとして前記入力側テスト回路に出力し、前記第2の出力行列を前記出力試験パターンとして出力する出力工程と、
    前記出力工程によって前記第2の入力行列および前記第2の出力行列が出力された結果、前記第2の入力行列が前記入力側テスト回路により修正された修正パターンが前記複数のスキャンチェーンに与えられたときの前記複数のスキャンチェーンから前記出力側テスト回路を介して出力される出力パターンと、前記第2の出力行列とを比較することにより、前記入力側テスト回路および前記出力側テスト回路を検証する検証工程と、
    を前記コンピュータに実行させることを特徴とするテスト回路試験プログラム。
  7. 請求項5または6に記載のプログラムを記録したコンピュータ読み取り可能な記録媒体。

JP2004192229A 2004-06-29 2004-06-29 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体 Expired - Fee Related JP4733935B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004192229A JP4733935B2 (ja) 2004-06-29 2004-06-29 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
US10/968,140 US7516376B2 (en) 2004-06-29 2004-10-20 Test pattern generator, test circuit tester, test pattern generating method, test circuit testing method, and computer product

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004192229A JP4733935B2 (ja) 2004-06-29 2004-06-29 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体

Publications (2)

Publication Number Publication Date
JP2006010655A JP2006010655A (ja) 2006-01-12
JP4733935B2 true JP4733935B2 (ja) 2011-07-27

Family

ID=35507519

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004192229A Expired - Fee Related JP4733935B2 (ja) 2004-06-29 2004-06-29 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体

Country Status (2)

Country Link
US (1) US7516376B2 (ja)
JP (1) JP4733935B2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007098167A2 (en) 2006-02-17 2007-08-30 Mentor Graphics Corporation Multi-stage test response compactors
JP4717027B2 (ja) * 2006-05-02 2011-07-06 富士通株式会社 半導体集積回路、テストデータ生成装置およびlsi試験装置
US7669090B2 (en) * 2006-05-18 2010-02-23 Kabushiki Kaisha Toshiba Apparatus and method for verifying custom IC
US7673204B2 (en) * 2007-07-05 2010-03-02 International Business Machines Corporation Method using non-linear compression to generate a set of test vectors for use in scan testing an integrated circuit
US7774668B2 (en) * 2008-02-28 2010-08-10 International Business Machines Corporation System and method for detecting non-reproducible pseudo-random test cases
US8473792B2 (en) * 2011-01-06 2013-06-25 Lsi Corporation Logic BIST for system testing using stored patterns
US10180457B1 (en) * 2016-03-04 2019-01-15 Cadence Design Systems, Inc. System and method performing scan chain diagnosis of an electronic design

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002236144A (ja) * 2000-12-07 2002-08-23 Fujitsu Ltd 集積回路の試験装置および試験方法
JP2004012420A (ja) * 2002-06-11 2004-01-15 Fujitsu Ltd 集積回路の診断装置および診断方法並びに集積回路
WO2004027440A1 (ja) * 2002-09-19 2004-04-01 Fujitsu Limited 集積回路試験装置および試験方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3403814B2 (ja) * 1994-07-04 2003-05-06 富士通株式会社 自己試験機能組込み型回路
US5682392A (en) * 1994-09-28 1997-10-28 Teradyne, Inc. Method and apparatus for the automatic generation of boundary scan description language files
US5517637A (en) * 1994-12-09 1996-05-14 Motorola, Inc. Method for testing a test architecture within a circuit
US5717700A (en) * 1995-12-04 1998-02-10 Motorola, Inc. Method for creating a high speed scan-interconnected set of flip-flop elements in an integrated circuit to enable faster scan-based testing
US7159161B2 (en) * 1999-01-29 2007-01-02 National Science Council Test method and architecture for circuits having inputs
US6408424B1 (en) * 1999-06-04 2002-06-18 Fujitsu Limited Verification of sequential circuits with same state encoding
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
JP3937034B2 (ja) * 2000-12-13 2007-06-27 株式会社日立製作所 半導体集積回路のテスト方法及びテストパターン発生回路
US6957371B2 (en) * 2001-12-04 2005-10-18 Intellitech Corporation Method and apparatus for embedded built-in self-test (BIST) of electronic circuits and systems
JP2003332443A (ja) * 2002-05-08 2003-11-21 Toshiba Corp 半導体集積回路とその設計支援装置およびテスト方法
US6996791B2 (en) * 2003-11-14 2006-02-07 International Business Machines Corporation Method for optimizing a set of scan diagnostic patterns
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002236144A (ja) * 2000-12-07 2002-08-23 Fujitsu Ltd 集積回路の試験装置および試験方法
JP2004012420A (ja) * 2002-06-11 2004-01-15 Fujitsu Ltd 集積回路の診断装置および診断方法並びに集積回路
WO2004027440A1 (ja) * 2002-09-19 2004-04-01 Fujitsu Limited 集積回路試験装置および試験方法

Also Published As

Publication number Publication date
US7516376B2 (en) 2009-04-07
US20050289419A1 (en) 2005-12-29
JP2006010655A (ja) 2006-01-12

Similar Documents

Publication Publication Date Title
JP3937034B2 (ja) 半導体集積回路のテスト方法及びテストパターン発生回路
TWI279672B (en) Fault diagnosis method and circuit diagnosis by the same
JP4031954B2 (ja) 集積回路の診断装置および診断方法
JP5034576B2 (ja) 半導体集積回路、テストデータ生成装置およびlsi試験装置
JP2003332443A (ja) 半導体集積回路とその設計支援装置およびテスト方法
US6484294B1 (en) Semiconductor integrated circuit and method of designing the same
JP4733935B2 (ja) 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
US7516383B2 (en) Method and apparatus for analyzing delay in circuit, and computer product
JP4839856B2 (ja) スキャンチェーン抽出プログラム、スキャンチェーン抽出方法及び試験装置
JP4842876B2 (ja) 故障診断装置及び故障診断方法
JP2001255356A (ja) 半導体集積回路のテストパターン生成方法及びテスト方法
JP2010157009A (ja) Edaツール、半導体装置およびスキャンチェイン構成方法
WO2009084424A1 (ja) 半導体テスト装置、半導体装置および試験方法
JP4773791B2 (ja) 半導体記憶装置、およびメモリテスト回路
JP5549622B2 (ja) 故障診断方法、故障診断装置、テストシステム及びプログラム
JP6391336B2 (ja) スキャンbistのlfsrシード生成法及びそのプログラムを記憶する記憶媒体
JP4748349B2 (ja) テスタシミュレーション装置及びテスタシミュレーション方法
Wang et al. Generating efficient tests for continuous scan
JP4899927B2 (ja) テストパターン自動生成方法およびテストパターン自動生成プログラム
US8683278B2 (en) Semiconductor device
JP2008527322A (ja) 回路配置並びにその検査および/または診断方法
TWI403746B (zh) 測試圖案最佳化的方法
JP6413777B2 (ja) 電子回路装置及び試験装置
Bareiša et al. Properties of variable n-detection functional delay fault tests
JP2002082146A (ja) スキャンテスト回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070409

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110328

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110419

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110425

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees