JP4583678B2 - Semiconductor device manufacturing method and semiconductor device cleaning solution - Google Patents

Semiconductor device manufacturing method and semiconductor device cleaning solution Download PDF

Info

Publication number
JP4583678B2
JP4583678B2 JP2001294802A JP2001294802A JP4583678B2 JP 4583678 B2 JP4583678 B2 JP 4583678B2 JP 2001294802 A JP2001294802 A JP 2001294802A JP 2001294802 A JP2001294802 A JP 2001294802A JP 4583678 B2 JP4583678 B2 JP 4583678B2
Authority
JP
Japan
Prior art keywords
wiring
insulating film
semiconductor device
copper
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001294802A
Other languages
Japanese (ja)
Other versions
JP2003100867A (en
Inventor
幸雄 瀧川
賢子 水島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2001294802A priority Critical patent/JP4583678B2/en
Publication of JP2003100867A publication Critical patent/JP2003100867A/en
Application granted granted Critical
Publication of JP4583678B2 publication Critical patent/JP4583678B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法及び半導体装置用洗浄液に関し、より詳しくは、洗浄工程を含む半導体装置の製造方法と半導体装置の製造工程に使用される洗浄液に関する。
【0002】
【従来の技術】
半導体装置は、高速化及び高性能化のために微細化が進められている。その微細化は、デバイス性能に影響を与えるトランジスタなどの素子部分のみならず、配線構造についても展開されている。
【0003】
近年、半導体装置の高速化を実現する技術として、ダマシン技術により配線を形成することが注目されている。ダマシン技術は、デュアルダマシンとシングルダマシンがあり、いずれも、配線用溝又はホールを絶縁膜内に形成した後に、配線用溝内又はホール内に銅を埋め込む工程を有している。デュアルダマシンは、ホール内と配線用溝内に同時に銅を埋め込む工程を有している。また、シングルダマシンは、ホール内と配線用溝内に別々に銅を埋め込む工程を有している。
【0004】
レジストパターンやハードマスクパターンをマスクに使用してドライエッチングにより絶縁膜に配線溝又はホールのような微細パターンを形成するためには、そのようなマスクのパターンを微細化するとともに、より異方性の高いエッチングが必要とされる。その絶縁膜のエッチング量は配線の密度が高くなると多くなる。
【0005】
ところで、配線用溝又はホールを形成のために絶縁膜をエッチングした後には配線用溝又はホールの中には異物が堆積する。その異物は、エッチングにより一旦取り除かれた絶縁膜成分、マスク成分、エッチングガス成分等がそれぞれ複雑に反応して生成される。配線の微細化、高密度化に伴って、ドライエッチングとマスクパターン除去の後には、それぞれ配線用溝内やビアホール内で反応生成物が多く付着するようになっている。
【0006】
そのような反応生成物を配線用溝やビアホールから除去するために洗浄液が使用されているが、ビアや配線の微細化にともなって従来の洗浄液では充分に除去できなくなってきている。
【0007】
次に、デュアルダマシンにより配線とビアを形成する工程を説明する。
【0008】
まず、図1(a) に示す構造を形成するまでの工程を説明する。
【0009】
シリコン基板101 の上方に層間絶縁膜102を形成し、さらに層間絶縁膜102 の上に第1シリコン酸化膜103 を形成する。続いて、第1シリコン酸化膜103 に第1配線用溝103aを形成し、その第1配線用溝103a内に第1バリア層104aと第1銅層104bを順に形成してなる第1銅配線104 を形成する。なお、第1シリコン酸化膜103 の上の第1バリア層104aと第1銅層104bは化学機械研磨(CMP)法により除去される。さらに、第1銅配線104 及び第1シリコン酸化膜103 の上に第2シリコン酸化膜105 をCVD法により形成する。続いて、第1銅配線104 の上方にビア形成用の開口108aを有するレジスト108 を第2シリコン酸化膜105 の上に形成する。
【0010】
さらに、図1(b) に示すように、レジスト108 の開口108aを通して第2シリコン酸化膜105 をエッチングする。これにより、第2シリコン酸化膜105 にはビアホール105aが形成される。
【0011】
次に、酸素を含むガスのプラズマ雰囲気にレジスト108 を曝すことにより、レジスト108 を除去する。
【0012】
レジスト108 を除去した後のビアホール105aの内周面と、ビアホール105aから露出した第1銅配線の上面には、図1(b),(c) に示すように、エッチングやレジスト除去の際に反応生成された異物109 が付着している。また、第1銅配線104 のうちビアホール105aから露出した部分には、酸化銅104cが形成される。
【0013】
そのような異物及び酸化銅104cは、所定の洗浄液、例えばノルマルエチルエーテルアミンのようなアミン系有機洗浄液によって除去される。
【0014】
次に、図1(d) に示すように、第2シリコン酸化膜105 の上部に第2配線溝105bを形成した後に、第2配線溝105b内とビアホール105a内に第2バリアメタル層110aと第2銅層110bを埋め込むことにより、第2配線溝105b内には第2銅配線を形成し、ビアホール105a内にはコンタクトビアを形成する。なお、第2シリコン酸化膜105 上の第2バリアメタル層110aと第2銅層110bはCMP法により除去される。これにより、多層構造の銅配線が得られる。
【0015】
【発明が解決しようとする課題】
ところで、ビアホール105aの底から露出する第1銅配線104 表面にはレジスト108 の除去に用いる酸素プラズマによって酸化されて酸化物104cが形成される。
その酸化物104cは、上記したアミン系洗浄液に溶解して第1銅配線104 の純銅が露出することが確認された。
【0016】
しかし、アミン系洗浄液では、エッチングやレジスト除去の際に生成される異物109 を除去する能力が低いことが確認された。即ち、エッチング時やレジスト除去時に生成される異物109 を除去するためにアミン系洗浄液を使用すると、異物を充分に除去するためには長い時間を費やすことになり、スループットが悪くなってしまう。スループットの向上は、特に枚葉式洗浄装置を用いる場合に、1枚あたりの洗浄時間を短縮させるために重要である。
【0017】
また、レジスト108の代わりにハードマスクを用いる場合、ハードマスク除去時にビアホール105aの底に堆積した異物をアミン系洗浄剤で除去することはできないことが確認された。
【0018】
以上のように、ホールや配線用溝の中に付着した異物が充分に除去されない状態でホール内に金属プラグを形成したり配線用溝内に金属配線を埋め込むと、金属プラグと金属配線の接続不良の発生率が増加したり、金属プラグの抵抗や金属配線の抵抗がそれぞれ設計値より高くなるといった不都合が生じる。
【0019】
本発明の目的は、エッチング時やレジスト除去時に付着したホール内又は配線溝内の異物除去のスループットを向上するとともに、ホールや配線用溝から露出した下側配線表面の酸化膜を除去できる洗浄工程を含む半導体装置の製造方法とそのような洗浄工程に使用される半導体装置用洗浄液を提供することにある。
【0020】
【課題を解決するための手段】
上記した課題は、半導体基板の上方に第1絶縁膜を形成する工程と、第1絶縁膜上に第1金属配線を形成する工程と、前記第1金属配線及び前記第1絶縁膜上に第2絶縁膜を形成する工程と、開口を有するマスクを前記第2絶縁膜上に形成する工程と、前記開口を通して前記第2絶縁膜をエッチングしてホールと溝の少なくとも一方を形成する工程と、前記マスクを除去する工程と、前記ホールと前記溝の少なくとも一方の中に付着した異物をリン酸アンモニウムとカルボン酸類とを含む洗浄液によって除去すると同時に前記ホールと前記溝の少なくとも一方から露出した前記第1金属配線の表面の酸化物を除去する工程と、前記ホールと前記溝の少なくとも一方に金属を埋め込む工程とを有することを特徴とする半導体装置の製造方法によって解決される。
【0021】
また、上記した課題は、リン酸アンモニウムとカルボン酸類が添加されていることを特徴とする半導体装置用洗浄液によって解決される。
【0022】
本発明によれば、酸アンモニウム塩含有洗浄液によってエッチング反応生成物、アッシング反応生成物、金属酸化物等を同時に除去するようにしている。
【0023】
そのような洗浄液は、絶縁膜に形成されたホール内又は溝内の洗浄能力や金属膜表面の洗浄能力に優れ、その洗浄時間は例えば1分程度と従来に比べて大幅に短くなる。これにより、半導体装置の製造工程のスループットが向上し、反応生成物、変質物等の異物によるコンタクトプラグ、ビア及び配線の抵抗の上昇を抑制し、金属パターンの上下間の接続を良好にすることができる。
【0024】
そのような洗浄液は、主剤として酸アンモニウム塩を含み、しかも補助剤としてカルボン酸類が添加されていることが望ましい。酸アンモニウム塩には、有機酸アンモニウム塩と無機酸アンモニウム塩がある。
【0025】
【発明の実施の形態】
以下に本発明の実施形態を図面に基づいて説明する。
(第1の実施の形態)
図2〜図7は、本発明の第2実施形態に係る半導体装置の製造工程を示す断面図である。
【0026】
まず、図2(a) に示す構造を形成するまでの工程について説明する。
【0027】
p型のシリコン(半導体)基板1上に、能動素子領域を囲む素子分離絶縁層2をLOCOS法により形成する。素子分離絶縁層2は、絶縁膜をシリコンに埋め込んで形成されるSTI構造であってもよい。続いて、能動素子領域にMOSトランジスタ3を形成する。
【0028】
MOSトランジスタ3は、シリコン基板1上にゲート絶縁膜3aを介してゲート電極3bを形成し、ゲート電極3bの両側のシリコン基板1内に不純物を導入して第1、第2のn型不純物拡散層3c、3dを形成する工程によって形成される。ゲート電極3bの側面には絶縁性サイドウォール3eが形成される。
【0029】
さらに、ゲート電極3bと絶縁性サイドウォール3eをマスクに使用してシリコン基板1に不純物を導入することにより、n型不純物拡散層3c、3dをLDD構造にする。
【0030】
次に、MOSトランジスタ3を覆うSiO2よりなる第1の層間絶縁膜4をシリコン基板1上に形成する。さらに、第1の層間絶縁膜4をパターニングすることにより、第1のn型不純物拡散層3cと第2のn型不純物拡散層3dの上に、それぞれ第1のコンタクトホール4aと第2のコンタクトホール4bを形成する。
【0031】
続いて、第1及び第2のコンタクトホール4a,4b内にそれぞれ第1の導電性プラグ5aと第2の導電性プラグ5bを形成する。第1及び第2の導電性プラグ5a,5bは、それぞれ窒化チタン膜とタングステン膜の二層構造を有している。
【0032】
次に、第2の導電性プラグ5bに接続されるアルミニウムよりなる一層目配線7を第1の層間絶縁膜4の上に形成する。続いて、第1の層間絶縁膜4と一層目配線7の上に、SiO2、BPSG、PSG等からなる第2の層間絶縁膜8をCVD法により形成する。さらに、第2の層間絶縁膜8のうち第1の導電性プラグ5aの上に第3のコンタクトホール8aを形成し、その中に窒化チタン膜とタングステン膜の二層構造を有する導電性プラグ9を埋め込む。
【0033】
その後に、第2の層間絶縁膜8と導電性プラグ9の上に、第3の層間絶縁膜10としてSiO2膜をCVD法により形成する。
【0034】
続いて、第3の層間絶縁膜10をフォトリソグラフィー法によりパターニングして配線用溝10を形成する。配線用溝10は、その一部が上側の導電性プラグ9に重なる平面形状を有している。
【0035】
次に、図2(b) に示すように、配線用溝10a内と第3の層間絶縁膜10の上に、バリアメタル層11aと銅層11bをスパッタ法により順に形成する。バリアメタル層11aとして例えば窒化チタンを形成する。
【0036】
さらに、第3の層間絶縁膜10上のバリアメタル層11aと銅層11bをCMP法により除去する。これにより、配線用溝10内に埋め込まれたバリアメタル層11aと銅層11bを第1の銅配線11として使用する。
【0037】
次に、図3(a) に示すように、第1の銅配線11と第3の層間絶縁膜10の上に第4の層間絶縁膜12として厚さ500nmのSiO2膜をCVD法により形成する。さらに、第4の層間絶縁膜13上にレジスト13を塗布し、これを露光、現像することにより、第1の銅配線11の上にビアホール形成用窓13aを形成する。
【0038】
続いて、図3(b) に示すように、レジスト13の窓13aを通して第1の銅配線11が露出するまで第4層間絶縁膜12を反応性イオンエッチング法によりエッチングする。この場合、エッチングガスとしてCF4 、C4F8などを使用する。このエッチングよって、第4層間絶縁膜12にはビアホール12aが形成され、ビアホール12aの側壁には異物14であるエッチング生成物が付着する。
【0039】
その後に、図4(a) に示すように、酸素を含むプラズマ雰囲気中にシリコン基板1を置いて、酸素プラズマによってレジスト12をアッシングする。この場合、ビアホール12aから露出している第1の銅配線11表面が酸化されて酸化銅11cが形成されるとともに、ビアホール12a内には異物14であるアッシング生成物が付着する。
【0040】
次に、薬液(洗浄剤)として無機又は有機酸アンモニウム塩、例えばリン酸アンモニウムを用いてビアホール12a内と第4の層間絶縁膜12上を洗浄し、これによりビアホール12a内の異物14を除去するとともに、第1の銅配線11の表面の酸化銅11cをビアホール12aを通して除去する。これにより、ビアホール12aからは第1の銅配線11の純銅が露出する。そのような薬液には例えば補助剤としてカルボン酸類が添加される。カルボン酸類として、例えばシュウ酸、蟻酸、酢酸、クエン酸、コハク酸、等がある。
【0041】
そのような洗浄には、例えば図8に示すような枚葉式の洗浄装置を用いる。図8において、図4(b) に示した構造を持つシリコン基板1を洗浄待機室21内に搬送して待機させる。そして、シリコン基板1を洗浄待機室21から湿式ステーション22内に搬送してその中のターンテーブル23に載せる。そして、湿式ステーション22の天井に取り付けられた給液スプレー24から回転中のターンテーブル23上に存在する洗浄対象(即ち、第4の層間絶縁膜12及びビアホール12a)に向けて酸アンモニウム塩含有洗浄剤を噴き付ける。そして、洗浄対象物の洗浄を終え、給液スプレー24から純水を吹き付けて洗浄剤を除去した後に、ターンテーブル23の回転を止め、その上のシリコン基板1を乾燥モジュール25内に搬送する。シリコン基板1の乾燥を終えた後に、これを外部に取り出す。
【0042】
そのような枚葉式洗浄装置としては、例えば大日本スクリーン社製のSR2000(商品名)がある。しかし、洗浄装置は枚葉式に限られるものではなく、複数枚を同時に処理するバッチ式であってもよい。バッチ式の洗浄装置としては、例えばセミツール社製のWSST(商品名)がある。
【0043】
以上のようなビアホール12a内の洗浄を終えた後、図5(a) に示すように、第4の層間絶縁膜12上にレジスト15を塗布し、これを露光、現像することにより、ビアホール12a上を通る配線形状の開口15aを形成する。
【0044】
その後に、図5(b) に示すように、レジスト15をマスクに使用して、第4の層間絶縁膜12の上面から250nmの深さまでエッチングすることにより第2の配線用溝15aを形成する。このエッチングの際には、第2の配線用溝15a内には異物16であるエッチング生成物が付着する。
【0045】
さらに、図6(a) に示すように、酸素を含むプラズマ雰囲気中にシリコン基板1を置いて、酸素プラズマによってレジスト15をアッシングする。この場合、ビアホール12aから露出している第1の銅配線11表面が酸化されて酸化銅が形成されるとともに、第2の配線用溝15a内とビアホール12a内には異物であるアッシング生成物が付着する。
【0046】
続いて、図6(b) に示すように、薬液として酸アンモニウム塩、例えばリン酸アンモニウムを用いて第2の配線用溝15aとビアホール12aと第4の層間絶縁膜12を洗浄し、これにより第2の配線用溝15aとビアホール12a内の異物を除去するとともに、第1の銅配線11の表面の酸化銅をビアホール12aを通して除去し、さらに純水により薬液を除去する。酸化銅を除去することにより、ビアホール12aから第1の銅配線11の純銅が露出する。
【0047】
酸アンモニウム塩含有の薬液には例えば補助剤としてカルボン酸類が添加されるのが好ましい。その洗浄には、上記したような枚葉式又はバッチ式の洗浄装置が使用される。
【0048】
次に、図7(a) に示すように、第4の層間絶縁膜12上と第2の配線用溝15a内とビアホール12a内に、導電性のバリアメタル層17aとして例えば膜厚15nmの窒化チタン層をスパッタにより形成し、さらに、バリアメタル層17a上に膜厚200nmの第2の銅層17bを形成する。銅層17bは、例えばCu(hfac)TMVSを原料に使用して膜厚30〜100nmの銅シードを形成した後に、電解メッキ法により銅シード層上に銅を成長する工程を経て形成される。
【0049】
その後に、図7(b) に示すように、第4の層間絶縁膜12上のバリアメタル層17aと銅層17bをCMP法により除去する。これにより、第2の配線用溝12b内に残されたバリアメタル層17a及び銅層17bは第2の銅配線19として使用され、ビアホール12a内に残されたバリアメタル層17a及び銅層17bはビア18として使用される。
【0050】
その後に、上記したと同じような工程によって、さらに上の銅配線が形成される。その詳細は省略する。
【0051】
次に、層間絶縁膜に形成されたホール内と配線用溝内に付着したエッチング生成物、アッシング生成物等の異物の除去、銅配線上面の酸化物の除去のために酸アンモニウム塩としてリン酸アンモニウムを含み、補助剤としてカルボン酸類であるシュウ酸を含む薬液について説明する。
【0052】
図9は、そのような薬液を用いて第1の銅配線11上面の酸化物のような変質物を除去する工程における洗浄時間と変質物の除去能力を調べた結果である。図9には、レジストをアッシングした後のそのままの銅配線11の表面状態と、アッシング後の1分、3分、5分、15分又は30分で行った薬液処理後の銅配線11の表面状態について示している。これによれば、薬液を用いて洗浄した1分後には、第1の銅配線11表面の変質物は完全に除去されて純銅が露出することがわかった。
【0053】
図10は、従来において洗浄剤として使用されているアミン系薬液を使用して第1の銅配線11表面の変質物を除去する工程における洗浄時間と変質物の除去能力を調べた結果である。図10には、レジストをアッシングした後のそのままの銅配線11の表面状態と、アッシング後の1分、3分、5分、15分又は30分で行った薬液処理後の銅配線11の表面状態について示している。これによれば、変質物は、アミン系薬液処理開始から30分以上の時間を要することがわかる。
【0054】
図9と図10を比較すると、リン酸アンモニウム塩含有薬液により変質物の除去処理を1分行った場合には、アミン系薬液処理により変質物の除去処理を30分行った場合に比べて、その変質物の除去能力が優れていることがわかる。
【0055】
ところで、酸アンモニウム塩としては、上記したリン酸アンモニウムの使用に限られるものではなく、酢酸アンモニウム、クエン酸アンモニウム、硝酸アンモニウム、コハク酸アンモニウム、フッ化アンモニウム、硫酸アンモニウム塩等を用いてもよい。酸アンモニウム塩は、無機酸アンモニウム塩又は有機酸アンモニウム塩である。無機酸アンモニウム塩として、例えばリン酸アンモニウム、硫酸アンモニウム、硝酸アンモニウム又はフッ化アンモニウムがあり、有機酸アンモニウム塩として、例えば酢酸アンモニウム、クエン酸アンモニウム又はコハク酸アンモニウムがある。
【0056】
しかし、酸アンモニウム塩のうちでも異物や変質物の除去能力が異なる。例えば表1に示すように、リン酸アンモニウムはフッ化アンモニウムよりも変質物の除去能力が高い。なお、表1は、図4(b) に示す状態で薬液処理を行った後に第1の銅配線11の上面の腐食状態を観察し、さらに1万個のビア12aについてのチェーンコンタクト不良率を調べた結果を示している。
【0057】
なお、表1と以下に示す表2〜表5において、wはビアの幅を示し、Lはビアの深さを示し、φはビアの直径を示している。
【0058】
【表1】

Figure 0004583678
【0059】
次に、表1に示したリン酸アンモニウムを使用した場合において薬液に添加されるシュウ酸を0(重量%)wt%として変質物の除去を調べたところ、表2に示すように、第1の銅配線11の表面には腐食は発生しなかったが、1万個のビアについてのチェーンコンタクト不良率は悪くなった。即ち、これは、シュウ酸、即ち補助剤が無い場合にはビアホール12a内周面でエッチングガスに起因するフロロカーボン系の生成物が除去され難くなってコンタクト不良率の上昇を招いていると考えられる。
【0060】
【表2】
Figure 0004583678
【0061】
次に、リン酸アンモニウムとシュウ酸と水を混合して洗浄薬液を作成した場合に、それらの混合比(wt%)を変えたところ、表3、表4に示すような結果が得られた。
【0062】
【表3】
Figure 0004583678
【0063】
【表4】
Figure 0004583678
【0064】
表3のA〜Dにおいて、シュウ酸の組成比を1wt%として水とリン酸アンモニウムの組成比を変えたところ、水の組成比が50〜90%では洗浄薬液の処理能力には違いがないことがわかった。
【0065】
表4において、リン酸アンモニウムの組成比を49wt%とし、水の組成比を50wt%以上として、シュウ酸の組成比を変えたところ、0.5wt%よりも小さくなるほどコンタクト不良率が僅かであるが上昇した。また、シュウ酸の組成比を0.01wtより小さくすると、第1の銅配線11の表面の腐食が増えた。そこでシュウ酸の組成比を0.1wt%として水の組成比を99%又は99.5%にしたところ、水の組成比を99%よりも増やすことは好ましくないことがわかった。
【0066】
また、ビアホール12aから露出した第1の銅配線11が必要以上に薬液中に溶出することを抑制するためには、薬液中の水素イオン濃度を10-5mol/liter 以上、即ちpH5以下にすることが好ましい。薬液中での純銅の溶出を防止するためには、シュウ酸濃度が5wt%を超えないようにすることが好ましい。
【0067】
従って、コンタクト不良率の低減と薬液中への銅の溶出抑制を考慮すると、シュウ酸の組成比を0.01〜5.0wt%以上にし、水の組成比を50〜99%にし、さらに、リン酸アンモニウムの組成比を0.9wt%以上にすることにより、コンタクト不良率を充分に低くできるし、銅配線11の腐食は生じなかった。
【0068】
次に、リン酸アンモニウムとシュウ酸と水からなる洗浄液を使用してビアホール12a内を洗浄する際の薬液温度(洗浄温度)の好ましい範囲は、水の温度特性から氷点以上沸点以下である必要がある。例えば洗浄薬液を100℃に設定すると薬液中の水の組成が減少してしまう。また、表5に示すように、洗浄薬液の温度を20℃より低くすると、コンタクト不良率が増えることがわかった。従って、ビアホール12aや配線用溝12bの洗浄時の洗浄液の温度を20〜80℃に設定することが好ましい。
【0069】
【表5】
Figure 0004583678
【0070】
ところで、銅層や絶縁膜に対する薬液の濡れ性を向上するために、次のような添加剤を薬液に添加してもよい。
【0071】
例えば、硫酸エステルのアンモニウム塩、又は、硫酸エステルの第1アミン塩、第二アミン塩若しくは第三アミン塩がある。硫酸エステルとしては、C12H25O(CH2CH2O)4SO3H 、C12H25O(CH2CH2O)2SO3H などのアルキル硫酸エステル類、又は、C9H19PhO2(CH2CH2O)4SO3H 等のアルキルフェノール硫酸エステル類がある。その他の添加剤として、C8H17N(CH3)3Br又はC12H25N(C2H5)(CH3)2Br がある。これらの添加剤は、リサイクル性が良い。
【0072】
その他に、アニオン系又はカチオン系の第2の界面活性剤を添加剤として洗浄用薬液に添加してもよい。そのような界面活性剤として、例えば硫酸エステルのアンモニウム塩、又は、硫酸エステルの第1アミン塩、第二アミン塩若しくは第三アミン塩がある。
【0073】
また、ビアホールから露出する第1の銅配線11の表面を保護するために、洗浄用薬液にインヒビタ(腐食抑制剤)を添加してもよい。インヒビタとして、有機スルホン酸とその誘導体、第4アンモニウム塩、ベンゾトリアゾールなどがある。インヒビタは、ビアホール内の洗浄後に純水などによって除去される。
(第2の実施の形態)
第1実施形態では、第4の層間絶縁膜12にビアホール12aを形成した後に有機酸アンモニウムを含む薬液によりビアホール12a内を洗浄し、さらに第4の層間絶縁膜12に配線用溝12bを形成した後に有機酸アンモニウムを含む薬液により配線用溝12b及びビアホール12aを洗浄するというように、有機酸アンモニウム含有薬液を使用して2回の洗浄を行っている。
【0074】
これは、図4(b) に示した最初の薬液洗浄を省略すると、ビアホール12aの内面に残った反応生成物14がエッチングマスクとして機能するので、配線用溝12bの形成のために第4の層間絶縁膜12の上部をエッチングした後に、配線用溝12bの中であってビアホール12aの直上に部分的に層間絶縁膜12が残ることがあるからである。
【0075】
そこで次に、ビアホール内と配線用溝内に付着した反応生成物を1回の酸アンモニウム塩含有薬液処理によって除去できるデュアルダマシン法について説明する。
【0076】
まず、第1実施形態と同様な工程によって、シリコン基板1の上方に第1の銅配線11を形成する。
【0077】
続いて、図11(a) に示すように、第1の銅配線11と第3層間絶縁膜10の上に、第4層間絶縁膜31の下部層32として膜厚250nmのSiO2膜を形成し、さらに下部層32の上に中間層33として膜厚100nmのSi3N4 膜を形成する。 次に、図11(b) に示すように、中間層33上にレジスト36を塗布し、これを露光、現像してビア形成用の開口36aを形成する。続いて、レジスト36の開口36aを通して中間層33を選択的にエッチングしてビアホール31aの上部を形成する。この後にレジスト36を酸素プラズマによってアッシングして除去する。この場合、第1の銅配線11が露出していないのでアッシングの際に酸化銅等の変質物が第1の銅配線11上面に付着することはない。ここで、従来方法で洗浄を行う。なお、ビアホール31aの上部にエッチング反応生成物が付着し、これが残っても問題はない。
【0078】
次に、図12(a) に示すように、第4層間絶縁膜31の中間層33及び下部層32の上に、上部層34として膜厚250nmのSiO2膜を形成する。なお、中間層33は、下層部32と上層部34に対して互いに選択的にエッチングできるような異種の絶縁材料から形成される。さらに、上部層34の上にレジスト37を塗布し、これを露光、現像して配線形状の開口37aを形成する。その配線形状はビアホール31aの上方を通る形状である。
【0079】
そして、図12(b) に示すように、レジスト37をマスクにして上部層34をエッチングするとともに、中間層33のビアホール31aを通して下部層21をエッチングする。この場合のエッチングは例えば反応性イオンエッチング法を用い、エッチングガスとしてCF4 、C4F8などを使用する。
【0080】
これにより第4層間絶縁膜31の上部層34には第2の配線用溝31bが形成され、また、下層部21にはビアホール31aの下部が形成される。そして、ビアホール31aから第1の銅配線11の上面が露出することになる。
【0081】
このエッチングにより、異物38であるエッチング生成物が第2の配線用溝31bの側壁とビアホール31aの側壁に付着する。
【0082】
さらに、図13(a) に示すように、第4層間絶縁膜31上のレジスト37を酸素プラズマによってアッシングして除去する。このアッシングにより、異物38であるアッシング生成物が第2の配線用溝31bの側壁とビアホール31aの側壁に付着するとともに、第1の銅配線11の上面が酸化されて酸化銅11eが形成される。また、第1の銅配線11の上にはエッチング生成物、アッシング生成物などの変質物が付着することもある。
【0083】
次に、薬液(洗浄剤)として無機又は有機酸アンモニウム塩、例えばリン酸アンモニウムを用いてビアホール31aと第2の配線用溝31bと第4の層間絶縁膜31を洗浄し、これによりビアホール31a内と第2の配線用溝31b内の異物38を除去するとともに、第1の銅配線11の表面の酸化11e銅を除去する。これにより、ビアホール31aからは第1の銅配線11の純銅が露出する。リン酸アンモニウム塩を用いる洗浄の条件は第1実施形態と同じであるので、その詳細は省略する。
【0084】
次に、図13(b) に示すように、ビアホール31a内面と第2の配線用溝内面と第4層間絶縁膜21上面に、バリアメタル層39として窒化チタン膜をスパッタにより形成する。さらに、バリアメタル層39上に第1実施形態と同様な方法により銅層40を形成する。
【0085】
この後、第4層間絶縁膜31上の銅層40とバリアメタル層39をCMP法により除去する。そして、ビアホール31a内に残された金属膜をビア41とし、第2の配線用溝31b内に残された金属膜を第2の銅配線42として使用する。
【0086】
この後に、同じような工程により多層の銅配線を形成する。
【0087】
本実施形態によれば、酸アンモニウム塩を用いる1回の薬液処理によってビアホール31aと第1の配線用溝31bと第1の銅配線11の洗浄を同時に行うことができる。
【0088】
なお、上記した実施形態では、ビアホールの中とその上の配線用溝の中に同時に銅を埋め込むというデュアルダマシンについて説明したが、ビアホールの中と配線用溝の中を別々な工程で銅を埋め込むというシングルダマシンの工程においても、ビアホール内と配線用溝を別々に酸アンモニウム塩を用いる洗浄を行ってもよい。
【0089】
また、上記した実施形態では、層間絶縁膜にビアホール又は配線用溝を形成するためにレジストを用いたが、窒化シリコンよりなるハードマスクを用いてもよい。この場合でも、ハードマスク除去時にビアホールの底に堆積した異物を酸アンモニウム塩を用いて除去することができる。
【0090】
さらに、上記した実施形態では、ビアホール又は配線用溝内に銅を埋め込んでビア又は配線を形成しているが、その他の金属、例えば銅合金、タングステン、タングステン合金、アルミニウム、アルミニウム合金を埋め込んでビア又は金属配線を形成してもよい。そのようなビア又は金属配線の形成工程においても、上記実施形態で使用した洗浄液によるホール、溝及び金属配線表面の洗浄効果を奏する。
(付記1)半導体基板の上方に第1絶縁膜を形成する工程と、
第1絶縁膜上に第1金属配線を形成する工程と、
前記第1金属配線及び前記第1絶縁膜上に第2絶縁膜を形成する工程と、
開口を有するマスクを前記第2絶縁膜上に形成する工程と、
前記開口を通して前記第2絶縁膜をエッチングしてホールと溝の少なくとも一方を形成する工程と、
前記マスクを除去する工程と、
前記ホールと前記溝の少なくとも一方の中に付着した異物を酸アンモニウム塩を含む洗浄液によって除去すると同時に前記ホールと前記溝の少なくとも一方から露出した前記第1金属配線の表面の酸化物を除去する工程と、
前記ホールと前記溝の少なくとも一方に金属を埋め込む工程と
を有することを特徴とする半導体装置の製造方法。
(付記2)前記洗浄液には補助剤としてカルボン酸類が添加されていることを特徴とする付記1に記載の半導体装置の製造方法。
(付記3)前記カルボン酸類は、シュウ酸、蟻酸、のいずれかであることを特徴とする付記2に記載の半導体装置の製造方法。
(付記4)前記洗浄液中の前記シュウ酸の濃度は、前記洗浄液の全体に対して0.01重量%以上且つ5.0重量%以下であることを特徴とする付記3に記載の半導体装置の製造方法。
(付記5)前記酸アンモニウム塩は、硫酸アンモニウム、酢酸アンモニウム、クエン酸アンモニウム、硝酸アンモニウム、コハク酸アンモニウム、フッ化アンモニウム、リン酸アンモニウムのいずれかであることを付記1に記載の半導体装置の製造方法。
(付記6)前記洗浄液には、アニオン系又はカチオン系の界面活性剤が添加されていることを特徴とする付記1に記載の半導体装置の製造方法。
(付記7)前記洗浄液には、前記第1金属配線の腐食を抑制するためのインヒビタが添加されていることを特徴とする付記1に記載の半導体装置の製造方法。
(付記8)前記洗浄液の水素イオン濃度が10-5mol/liter 以上であることを特徴とする付記1乃至付記7のいずれかに記載の半導体装置の製造方法。
(付記9)前記洗浄液中の水分含有率が50〜99重量%であることを特徴とする付記1乃至付記7のいずれかに記載の半導体装置の製造方法。
(付記10)前記洗浄液の温度は20℃以上で80℃以下の範囲に設定されることを特徴とする付記1乃至付記7のいずれかに記載の半導体装置の製造方法。
(付記11)前記ホールと前記溝は、連続して形成されることを特徴とする付記1に記載の半導体装置の製造方法。
(付記12)前記ホールと前記溝の少なくとも一方に埋め込まれる金属は、タングステン、タングステン合金、アルミニウム、アルミニウム合金、銅又は銅合金のいずれかであることを特徴とする付記1乃至付記11のいずれかに記載の半導体装置の製造方法。
(付記13)前記溝内に埋め込まれた金属によって第2金属配線が形成されることを特徴とする付記1又は付記12に記載の半導体装置の製造方法。
(付記14)前記マスクはレジストマスク又はハードマスクであって、除去時にはドライ処理がなされることを特徴とする付記1に記載の半導体装置の製造方法。
(付記15)酸アンモニウム塩とカルボン酸類が添加されていることを特徴とする半導体装置用洗浄液。
(付記16)前記カルボン酸類は、シュウ酸、蟻酸、酢酸、コハク酸、クエン酸のいずれかであることを特徴とする付記15に記載の半導体装置用洗浄液。
(付記17)前記洗浄液中の前記シュウ酸の濃度は、前記洗浄液の全体に対して0.01重量%以上且つ5.0重量%以下であることを特徴とする付記16に記載の半導体装置用洗浄液。
(付記18)前記酸アンモニウム塩は、硫酸アンモニウム、酢酸アンモニウム、クエン酸アンモニウム、硝酸アンモニウム、コハク酸アンモニウム、フッ化アンモニウム、リン酸アンモニウムのいずれかであることを特徴とする付記15に記載の半導体装置用洗浄液。
(付記19)前記洗浄液の水素イオン濃度が10-5mol/liter 以上であることを特徴とする付記15に記載の半導体装置用洗浄液。
(付記20)前記洗浄液中の水分含有率が50〜99重量%であることを特徴とする付記15に記載の半導体装置用洗浄液。
【0091】
前記酸アンモニウム塩は、有機酸アンモニウム塩、又は無機酸アンモニウム塩であることを特徴とする付記1乃至付記21のいずれかに記載の半導体装置の製造方法又は半導体装置用洗浄液。
【0092】
【発明の効果】
以上述べたように本発明によれば、有機アンモニウム塩含有洗浄液によってエッチング反応生成物、アッシング反応生成物、金属酸化物等を同時に除去するようにしたので、フォトリソグラフィー法により絶縁膜に形成されたホール内又は溝内の洗浄能力や金属膜表面の洗浄能力が高くなり、その洗浄時間を従来に比べて大幅に短縮することができる。
【0093】
これにより、半導体装置の製造工程のスループットを向上させ、反応生成物、変質物等の異物によるコンタクトプラグ、ビア及び配線の抵抗の上昇を抑制し、金属パターンの上下間の接続を良好にすることが可能になる。
【図面の簡単な説明】
【図1】図1(a) 〜(d) は、従来の半導体装置における銅配線の製造工程を示す断面図である。
【図2】図2(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その1)である。
【図3】図3(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その2)である。
【図4】図4(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その3)である。
【図5】図5(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その4)である。
【図6】図6(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その5)である。
【図7】図7(a),(b) は、本発明の第1実施形態に係る半導体装置の製造工程を示す断面図(その6)である。
【図8】図8は、本発明の実施形態に用いられる洗浄装置の一例を示す構成図である。
【図9】図9は、レジスト除去後の露出銅表面の本発明の実施形態に係る洗浄液による洗浄時間と表面状態との関係を示す図である。
【図10】図10は、レジスト除去後の露出銅表面の従来例のアミン系洗浄液による洗浄時間と表面状態との関係を示す図である。
【図11】図11(a),(b) は、本発明の第2実施形態に係る半導体装置の製造工程を示す断面図(その1)である。
【図12】図12(a),(b) は、本発明の第2実施形態に係る半導体装置の製造工程を示す断面図(その2)である。
【図13】図13(a),(b) は、本発明の第2実施形態に係る半導体装置の製造工程を示す断面図(その3)である。
【図14】図14(a),(b) は、本発明の第2実施形態に係る半導体装置の製造工程を示す断面図(その4)である。
【符号の説明】
1…シリコン基板、2…素子分離絶縁層、3…MOSトランジスタ、4,8,10,12…層間絶縁膜、5a,5b,9…導電性プラグ、7…配線、11…第1の銅(金属)配線、11d,11e…酸化銅、12a…ビアホール、12b…配線用溝、13…レジスト、14…異物、15…レジスト、16…異物、17a,39…バリアメタル層、17b,40…銅層、18,41…ビア、19,42…第2の銅(金属)配線、31…層間絶縁膜、、31a…ビアホール、31b…配線用溝32…下部層、33…中間層、34…上部層、36,37…レジスト、38…異物。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor device manufacturing method and a semiconductor device cleaning liquid, and more particularly to a semiconductor device manufacturing method including a cleaning process and a cleaning liquid used in the semiconductor device manufacturing process.
[0002]
[Prior art]
Semiconductor devices are being miniaturized for higher speed and higher performance. The miniaturization has been developed not only for element parts such as transistors that affect device performance, but also for wiring structures.
[0003]
In recent years, attention has been paid to the formation of wiring by a damascene technique as a technique for realizing high-speed semiconductor devices. The damascene technology includes dual damascene and single damascene, both of which have a step of filling copper in the wiring trench or hole after forming the wiring trench or hole in the insulating film. Dual damascene has a process of filling copper in a hole and a wiring groove at the same time. Single damascene has a step of filling copper separately in the hole and the wiring groove.
[0004]
In order to form a fine pattern such as a wiring groove or a hole in an insulating film by dry etching using a resist pattern or a hard mask pattern as a mask, the mask pattern is made finer and more anisotropic. High etching is required. The etching amount of the insulating film increases as the wiring density increases.
[0005]
By the way, after the insulating film is etched to form the wiring groove or hole, foreign matter accumulates in the wiring groove or hole. The foreign matter is generated by the complex reaction of the insulating film component, the mask component, the etching gas component, etc. once removed by etching. Along with the miniaturization and high density of wiring, after dry etching and mask pattern removal, a large amount of reaction products adhere in the wiring trenches and via holes, respectively.
[0006]
Cleaning solutions are used to remove such reaction products from the trenches and via holes. However, with the miniaturization of vias and wirings, conventional cleaning solutions cannot be removed sufficiently.
[0007]
Next, a process of forming wirings and vias by dual damascene will be described.
[0008]
First, steps required until a structure shown in FIG.
[0009]
An interlayer insulating film 102 is formed above the silicon substrate 101, and a first silicon oxide film 103 is further formed on the interlayer insulating film 102. Subsequently, a first wiring groove 103a is formed in the first silicon oxide film 103, and a first barrier layer 104a and a first copper layer 104b are sequentially formed in the first wiring groove 103a. 104 is formed. The first barrier layer 104a and the first copper layer 104b on the first silicon oxide film 103 are removed by a chemical mechanical polishing (CMP) method. Further, a second silicon oxide film 105 is formed on the first copper wiring 104 and the first silicon oxide film 103 by the CVD method. Subsequently, a resist 108 having a via forming opening 108 a above the first copper wiring 104 is formed on the second silicon oxide film 105.
[0010]
Further, as shown in FIG. 1B, the second silicon oxide film 105 is etched through the opening 108a of the resist 108. As a result, a via hole 105 a is formed in the second silicon oxide film 105.
[0011]
Next, the resist 108 is removed by exposing the resist 108 to a plasma atmosphere of a gas containing oxygen.
[0012]
As shown in FIGS. 1B and 1C, the inner peripheral surface of the via hole 105a after removing the resist 108 and the upper surface of the first copper wiring exposed from the via hole 105a are etched and removed. The foreign substance 109 produced by the reaction adheres. Further, a copper oxide 104c is formed in a portion of the first copper wiring 104 exposed from the via hole 105a.
[0013]
Such foreign matters and copper oxide 104c are removed by a predetermined cleaning liquid, for example, an amine-based organic cleaning liquid such as normal ethyl ether amine.
[0014]
Next, as shown in FIG. 1D, after the second wiring groove 105b is formed on the second silicon oxide film 105, the second barrier metal layer 110a is formed in the second wiring groove 105b and the via hole 105a. By burying the second copper layer 110b, a second copper wiring is formed in the second wiring groove 105b, and a contact via is formed in the via hole 105a. The second barrier metal layer 110a and the second copper layer 110b on the second silicon oxide film 105 are removed by the CMP method. Thereby, the copper wiring of a multilayer structure is obtained.
[0015]
[Problems to be solved by the invention]
By the way, the surface of the first copper wiring 104 exposed from the bottom of the via hole 105a is oxidized by oxygen plasma used for removing the resist 108 to form an oxide 104c.
It was confirmed that the oxide 104c was dissolved in the above-described amine cleaning solution and the pure copper of the first copper wiring 104 was exposed.
[0016]
However, it was confirmed that the amine-based cleaning liquid has a low ability to remove the foreign matter 109 generated during etching and resist removal. That is, if an amine-based cleaning solution is used to remove foreign matter 109 generated during etching or resist removal, it takes a long time to sufficiently remove foreign matter, resulting in poor throughput. The improvement in throughput is important for shortening the cleaning time per sheet, particularly when a single wafer cleaning apparatus is used.
[0017]
Also resist 108 In the case where a hard mask is used instead of the above, it has been confirmed that the foreign matter deposited on the bottom of the via hole 105a when the hard mask is removed cannot be removed with an amine-based cleaning agent.
[0018]
As described above, foreign matter adhering to holes and wiring grooves sufficient If a metal plug is formed in the hole or embedded in the wiring groove without being removed, the rate of occurrence of poor connection between the metal plug and the metal wiring increases, the resistance of the metal plug or the resistance of the metal wiring Inconveniences such that each becomes higher than the design value.
[0019]
An object of the present invention is to improve the throughput of removing foreign matter in a hole or wiring groove attached during etching or resist removal, and to remove the oxide film on the lower wiring surface exposed from the hole or wiring groove. And a semiconductor device cleaning solution for use in such a cleaning process.
[0020]
[Means for Solving the Problems]
The above-described problems include a step of forming a first insulating film above a semiconductor substrate, a step of forming a first metal wiring on the first insulating film, and a step of forming a first metal wiring on the first metal wiring and the first insulating film. A step of forming two insulating films, a step of forming a mask having an opening on the second insulating film, a step of etching the second insulating film through the opening to form at least one of a hole and a groove, Removing the mask, and removing foreign matter adhering in at least one of the hole and the groove. Ammonium phosphate and carboxylic acids And removing the oxide on the surface of the first metal wiring exposed from at least one of the hole and the groove and embedding a metal in at least one of the hole and the groove. This is solved by a method for manufacturing a semiconductor device.
[0021]
The above-mentioned issues Ammonium phosphate And a carboxylic acid added to the semiconductor device.
[0022]
According to the present invention, the etching reaction product, the ashing reaction product, the metal oxide and the like are simultaneously removed by the acid ammonium salt-containing cleaning liquid.
[0023]
Such a cleaning solution is excellent in the cleaning capability in the hole or groove formed in the insulating film or the cleaning capability of the metal film surface, and the cleaning time is about 1 minute, for example, which is significantly shorter than the conventional one. This improves the throughput of the manufacturing process of the semiconductor device, suppresses the increase in resistance of contact plugs, vias and wiring due to foreign substances such as reaction products and altered substances, and improves the connection between the upper and lower sides of the metal pattern. Can do.
[0024]
Such a cleaning liquid preferably contains an acid ammonium salt as a main agent, and carboxylic acids are added as an auxiliary agent. Acid ammonium salts include organic acid ammonium salts and inorganic acid ammonium salts.
[0025]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
(First embodiment)
2 to 7 are cross-sectional views illustrating manufacturing steps of the semiconductor device according to the second embodiment of the present invention.
[0026]
First, steps required until the structure shown in FIG.
[0027]
An element isolation insulating layer 2 surrounding an active element region is formed on a p-type silicon (semiconductor) substrate 1 by a LOCOS method. The element isolation insulating layer 2 may have an STI structure formed by embedding an insulating film in silicon. Subsequently, the MOS transistor 3 is formed in the active element region.
[0028]
In the MOS transistor 3, a gate electrode 3b is formed on a silicon substrate 1 with a gate insulating film 3a interposed therebetween, and impurities are introduced into the silicon substrate 1 on both sides of the gate electrode 3b to thereby form first and second n-type impurity diffusions. It is formed by the step of forming the layers 3c and 3d. An insulating sidewall 3e is formed on the side surface of the gate electrode 3b.
[0029]
Further, by introducing impurities into the silicon substrate 1 using the gate electrode 3b and the insulating sidewall 3e as a mask, the n-type impurity diffusion layers 3c and 3d have an LDD structure.
[0030]
Next, SiO covering the MOS transistor 3 2 A first interlayer insulating film 4 is formed on the silicon substrate 1. Further, by patterning the first interlayer insulating film 4, the first contact hole 4a and the second contact are formed on the first n-type impurity diffusion layer 3c and the second n-type impurity diffusion layer 3d, respectively. Hole 4b is formed.
[0031]
Subsequently, a first conductive plug 5a and a second conductive plug 5b are formed in the first and second contact holes 4a and 4b, respectively. The first and second conductive plugs 5a and 5b have a two-layer structure of a titanium nitride film and a tungsten film, respectively.
[0032]
Next, a first layer wiring 7 made of aluminum connected to the second conductive plug 5 b is formed on the first interlayer insulating film 4. Subsequently, SiO 2 is formed on the first interlayer insulating film 4 and the first-layer wiring 7. 2 A second interlayer insulating film 8 made of BPSG, PSG or the like is formed by a CVD method. Further, a third contact hole 8a is formed on the first conductive plug 5a in the second interlayer insulating film 8, and a conductive plug 9 having a two-layer structure of a titanium nitride film and a tungsten film is formed therein. Embed.
[0033]
After that, on the second interlayer insulating film 8 and the conductive plug 9, a third interlayer insulating film 10 is formed of SiO. 2 A film is formed by a CVD method.
[0034]
Subsequently, the third interlayer insulating film 10 is patterned by a photolithography method to form a wiring trench 10. The wiring groove 10 has a planar shape partially overlapping the upper conductive plug 9.
[0035]
Next, as shown in FIG. 2B, a barrier metal layer 11a and a copper layer 11b are sequentially formed in the wiring trench 10a and on the third interlayer insulating film 10 by sputtering. For example, titanium nitride is formed as the barrier metal layer 11a.
[0036]
Further, the barrier metal layer 11a and the copper layer 11b on the third interlayer insulating film 10 are removed by a CMP method. As a result, the barrier metal layer 11 a and the copper layer 11 b embedded in the wiring trench 10 are used as the first copper wiring 11.
[0037]
Next, as shown in FIG. 3 (a), a 500-nm-thick SiO2 film is formed as a fourth interlayer insulating film 12 on the first copper wiring 11 and the third interlayer insulating film 10. 2 A film is formed by a CVD method. Further, a resist 13 is applied on the fourth interlayer insulating film 13, and exposed and developed to form a via hole forming window 13 a on the first copper wiring 11.
[0038]
Subsequently, as shown in FIG. 3B, the fourth interlayer insulating film 12 is etched by a reactive ion etching method until the first copper wiring 11 is exposed through the window 13a of the resist 13. In this case, CF as the etching gas Four , C Four F 8 Etc. By this etching, a via hole 12a is formed in the fourth interlayer insulating film 12, and an etching product as the foreign matter 14 adheres to the side wall of the via hole 12a.
[0039]
Thereafter, as shown in FIG. 4A, the silicon substrate 1 is placed in a plasma atmosphere containing oxygen, and the resist 12 is ashed by oxygen plasma. In this case, the surface of the first copper wiring 11 exposed from the via hole 12a is oxidized to form the copper oxide 11c, and the ashing product as the foreign matter 14 adheres in the via hole 12a.
[0040]
Next, the inside of the via hole 12a and the fourth interlayer insulating film 12 are cleaned using an inorganic or organic acid ammonium salt such as ammonium phosphate as a chemical solution (cleaning agent), thereby removing the foreign matter 14 in the via hole 12a. At the same time, the copper oxide 11c on the surface of the first copper wiring 11 is removed through the via hole 12a. As a result, the pure copper of the first copper wiring 11 is exposed from the via hole 12a. For example, carboxylic acids are added to such a chemical solution as an auxiliary agent. Examples of carboxylic acids include oxalic acid, formic acid, acetic acid, citric acid, succinic acid, and the like.
[0041]
For such cleaning, for example, a single wafer cleaning apparatus as shown in FIG. 8 is used. In FIG. 8, the silicon substrate 1 having the structure shown in FIG. Then, the silicon substrate 1 is transferred from the cleaning standby chamber 21 into the wet station 22 and placed on the turntable 23 therein. Then, the ammonium salt-containing cleaning is performed from the liquid supply spray 24 attached to the ceiling of the wet station 22 toward the cleaning target (that is, the fourth interlayer insulating film 12 and the via hole 12a) existing on the rotating turntable 23. Spray the agent. Then, after the cleaning of the object to be cleaned is finished and pure water is sprayed from the liquid supply spray 24 to remove the cleaning agent, the turntable 23 is stopped from rotating and the silicon substrate 1 thereon is transported into the drying module 25. After the silicon substrate 1 is dried, it is taken out.
[0042]
An example of such a single wafer cleaning apparatus is SR2000 (trade name) manufactured by Dainippon Screen. However, the cleaning apparatus is not limited to a single wafer type, and may be a batch type that processes a plurality of sheets simultaneously. As a batch type cleaning apparatus, for example, there is a WSST (trade name) manufactured by Semitool.
[0043]
After the cleaning of the via hole 12a as described above, as shown in FIG. 5A, a resist 15 is applied on the fourth interlayer insulating film 12, and this is exposed and developed, whereby the via hole 12a. A wiring-shaped opening 15a passing therethrough is formed.
[0044]
Thereafter, as shown in FIG. 5B, the second wiring trench 15a is formed by etching from the upper surface of the fourth interlayer insulating film 12 to a depth of 250 nm using the resist 15 as a mask. . At the time of this etching, the etching product as the foreign matter 16 adheres in the second wiring groove 15a.
[0045]
Further, as shown in FIG. 6A, the silicon substrate 1 is placed in a plasma atmosphere containing oxygen, and the resist 15 is ashed by oxygen plasma. In this case, the surface of the first copper wiring 11 exposed from the via hole 12a is oxidized to form copper oxide, and an ashing product as a foreign substance is formed in the second wiring groove 15a and the via hole 12a. Adhere to.
[0046]
Subsequently, as shown in FIG. 6B, the second wiring trench 15a, the via hole 12a, and the fourth interlayer insulating film 12 are cleaned by using an acid ammonium salt, for example, ammonium phosphate, as a chemical solution. The foreign matter in the second wiring groove 15a and the via hole 12a is removed, the copper oxide on the surface of the first copper wiring 11 is removed through the via hole 12a, and the chemical solution is removed with pure water. By removing the copper oxide, the pure copper of the first copper wiring 11 is exposed from the via hole 12a.
[0047]
For example, a carboxylic acid is preferably added to the chemical solution containing an acid ammonium salt as an auxiliary agent. For the cleaning, a single wafer type or batch type cleaning device as described above is used.
[0048]
Next, as shown in FIG. 7A, a nitride film having a thickness of, for example, 15 nm is formed as a conductive barrier metal layer 17a on the fourth interlayer insulating film 12, in the second wiring groove 15a, and in the via hole 12a. A titanium layer is formed by sputtering, and a second copper layer 17b having a thickness of 200 nm is formed on the barrier metal layer 17a. The copper layer 17b is formed through a process of growing copper on the copper seed layer by electrolytic plating after forming a copper seed having a film thickness of 30 to 100 nm using, for example, Cu (hfac) TMVS as a raw material.
[0049]
Thereafter, as shown in FIG. 7B, the barrier metal layer 17a and the copper layer 17b on the fourth interlayer insulating film 12 are removed by CMP. Thereby, the barrier metal layer 17a and the copper layer 17b left in the second wiring trench 12b are used as the second copper wiring 19, and the barrier metal layer 17a and the copper layer 17b left in the via hole 12a are Used as via 18.
[0050]
Thereafter, the upper copper wiring is formed by the same process as described above. Details thereof are omitted.
[0051]
Next, phosphoric acid as an acid ammonium salt is used to remove foreign substances such as etching products and ashing products adhering in the holes and wiring grooves formed in the interlayer insulating film and removing oxides on the upper surface of the copper wiring. A chemical solution containing ammonium and oxalic acid which is a carboxylic acid as an auxiliary will be described.
[0052]
FIG. 9 shows the results of examining the cleaning time and the ability to remove altered substances in the process of removing altered substances such as oxides on the upper surface of the first copper wiring 11 using such a chemical solution. FIG. 9 shows the surface state of the copper wiring 11 as it is after ashing the resist, and the surface of the copper wiring 11 after chemical treatment performed in 1 minute, 3 minutes, 5 minutes, 15 minutes or 30 minutes after ashing. It shows about the state. According to this, it was found that after one minute of cleaning with the chemical solution, the denatured material on the surface of the first copper wiring 11 was completely removed and pure copper was exposed.
[0053]
FIG. 10 shows the results of examining the cleaning time and the ability to remove the altered substance in the process of removing the altered substance on the surface of the first copper wiring 11 using an amine chemical solution that has been conventionally used as a cleaning agent. FIG. 10 shows the surface state of the copper wiring 11 as it is after ashing the resist, and the surface of the copper wiring 11 after chemical treatment performed in 1 minute, 3 minutes, 5 minutes, 15 minutes or 30 minutes after ashing. It shows about the state. According to this, it can be seen that the altered product requires a time of 30 minutes or more from the start of the amine chemical treatment.
[0054]
When FIG. 9 and FIG. 10 are compared, when the removal process of the altered substance is performed for 1 minute with the ammonium phosphate salt-containing chemical solution, compared to the case where the removal process of the altered substance is performed for 30 minutes with the amine chemical solution treatment, It can be seen that the ability to remove the altered material is excellent.
[0055]
By the way, as an acid ammonium salt, it is not restricted to use of the above-mentioned ammonium phosphate, You may use ammonium acetate, ammonium citrate, ammonium nitrate, ammonium succinate, ammonium fluoride, an ammonium sulfate salt, etc. The acid ammonium salt is an inorganic acid ammonium salt or an organic acid ammonium salt. Examples of inorganic acid ammonium salts include ammonium phosphate, ammonium sulfate, ammonium nitrate, and ammonium fluoride. Examples of organic acid ammonium salts include ammonium acetate, ammonium citrate, and ammonium succinate.
[0056]
However, even among acid ammonium salts, the ability to remove foreign substances and altered substances is different. For example, as shown in Table 1, ammonium phosphate has a higher ability to remove alterations than ammonium fluoride. Table 1 shows the corrosion state of the upper surface of the first copper wiring 11 after the chemical treatment in the state shown in FIG. 4 (b), and further shows the chain contact defect rate for 10,000 vias 12a. The result of the investigation is shown.
[0057]
In Table 1 and Tables 2 to 5 shown below, w represents the width of the via, L represents the depth of the via, and φ represents the diameter of the via.
[0058]
[Table 1]
Figure 0004583678
[0059]
Next, when ammonium phosphate shown in Table 1 was used, removal of the denatured material was examined by setting oxalic acid added to the chemical solution to 0 (wt%) wt%. The surface of the copper wiring 11 was not corroded, but the chain contact defect rate for 10,000 vias deteriorated. That is, in the absence of oxalic acid, that is, an auxiliary agent, it is considered that the fluorocarbon-based product caused by the etching gas is difficult to be removed on the inner peripheral surface of the via hole 12a, leading to an increase in the contact failure rate. .
[0060]
[Table 2]
Figure 0004583678
[0061]
Next, when a cleaning chemical solution was prepared by mixing ammonium phosphate, oxalic acid, and water, the mixing ratio (wt%) was changed, and the results shown in Tables 3 and 4 were obtained. .
[0062]
[Table 3]
Figure 0004583678
[0063]
[Table 4]
Figure 0004583678
[0064]
In Tables 3A to 3D, when the composition ratio of oxalic acid was set to 1 wt% and the composition ratio of water and ammonium phosphate was changed, the treatment capacity of the cleaning chemical solution was not different when the composition ratio of water was 50 to 90%. I understood it.
[0065]
In Table 4, when the composition ratio of ammonium phosphate was 49 wt%, the composition ratio of water was 50 wt% or more, and the composition ratio of oxalic acid was changed, the contact failure rate was smaller as it became smaller than 0.5 wt%. Rose. Further, when the composition ratio of oxalic acid was made smaller than 0.01 wt., The surface corrosion of the first copper wiring 11 increased. Therefore, when the composition ratio of oxalic acid was 0.1 wt% and the composition ratio of water was 99% or 99.5%, it was found that increasing the composition ratio of water beyond 99% was not preferable.
[0066]
In addition, in order to prevent the first copper wiring 11 exposed from the via hole 12a from eluting into the chemical more than necessary, the hydrogen ion concentration in the chemical is set to 10 -Five It is preferably at least mol / liter, that is, pH 5 or less. In order to prevent elution of pure copper in the chemical solution, it is preferable that the oxalic acid concentration does not exceed 5 wt%.
[0067]
Therefore, considering the reduction of the contact failure rate and the suppression of elution of copper into the chemical solution, the composition ratio of oxalic acid is 0.01 to 5.0 wt% or more, the composition ratio of water is 50 to 99%, By setting the composition ratio of ammonium phosphate to 0.9 wt% or more, the contact failure rate can be sufficiently reduced, and the copper wiring 11 is not corroded.
[0068]
Next, the preferable range of the chemical temperature (cleaning temperature) when cleaning the inside of the via hole 12a using a cleaning liquid composed of ammonium phosphate, oxalic acid and water needs to be a freezing point or higher and a boiling point or lower from the temperature characteristics of water. is there. For example, when the cleaning chemical solution is set to 100 ° C., the composition of water in the chemical solution decreases. Further, as shown in Table 5, it was found that the contact failure rate increased when the temperature of the cleaning chemical was lower than 20 ° C. Therefore, it is preferable to set the temperature of the cleaning liquid at the time of cleaning the via hole 12a and the wiring groove 12b to 20 to 80 ° C.
[0069]
[Table 5]
Figure 0004583678
[0070]
By the way, in order to improve the wettability of the chemical solution with respect to the copper layer and the insulating film, the following additives may be added to the chemical solution.
[0071]
For example, an ammonium salt of a sulfate ester, or a primary amine salt, a secondary amine salt, or a tertiary amine salt of a sulfate ester. As sulfate ester, C 12 H twenty five O (CH 2 CH 2 O) Four SO Three H, C 12 H twenty five O (CH 2 CH 2 O) 2 SO Three Alkyl sulfates such as H or C 9 H 19 PhO 2 (CH 2 CH 2 O) Four SO Three There are alkylphenol sulfates such as H. Other additives include C 8 H 17 N (CH Three ) Three Br or C 12 H twenty five N (C 2 H Five ) (CH Three ) 2 Br. These additives have good recyclability.
[0072]
In addition, an anionic or cationic second surfactant may be added to the cleaning chemical as an additive. Such surfactants include, for example, ammonium sulfate salts, or primary amine salts, secondary amine salts, or tertiary amine salts of sulfate esters.
[0073]
Further, an inhibitor (corrosion inhibitor) may be added to the cleaning chemical solution in order to protect the surface of the first copper wiring 11 exposed from the via hole. Inhibitors include organic sulfonic acids and their derivatives, quaternary ammonium salts, benzotriazoles, and the like. The inhibitor is removed by pure water or the like after cleaning the via hole.
(Second Embodiment)
In the first embodiment, after the via hole 12a is formed in the fourth interlayer insulating film 12, the inside of the via hole 12a is cleaned with a chemical solution containing organic acid ammonium, and the wiring groove 12b is formed in the fourth interlayer insulating film 12. The cleaning is performed twice using the organic acid ammonium-containing chemical solution so that the wiring groove 12b and the via hole 12a are later cleaned with a chemical solution containing the organic acid ammonium salt.
[0074]
If the first chemical cleaning shown in FIG. 4B is omitted, the reaction product 14 remaining on the inner surface of the via hole 12a functions as an etching mask, so that the fourth trench 12b is formed to form the wiring groove 12b. This is because after etching the upper portion of the interlayer insulating film 12, the interlayer insulating film 12 may partially remain in the wiring groove 12b and directly above the via hole 12a.
[0075]
Then, the dual damascene method which can remove the reaction product adhering to the inside of the via hole and the wiring groove by one chemical treatment with acid ammonium salt will be described.
[0076]
First, the first copper wiring 11 is formed above the silicon substrate 1 by the same process as in the first embodiment.
[0077]
Subsequently, as shown in FIG. 11A, an SiO layer having a thickness of 250 nm is formed as a lower layer 32 of the fourth interlayer insulating film 31 on the first copper wiring 11 and the third interlayer insulating film 10. 2 A film is formed, and an Si layer having a film thickness of 100 nm is formed as an intermediate layer 33 on the lower layer 32. Three N Four A film is formed. Next, as shown in FIG. 11B, a resist 36 is applied on the intermediate layer 33, and this is exposed and developed to form an opening 36a for forming a via. Subsequently, the intermediate layer 33 is selectively etched through the opening 36a of the resist 36 to form the upper portion of the via hole 31a. Thereafter, the resist 36 is removed by ashing with oxygen plasma. In this case, since the first copper wiring 11 is not exposed, an altered material such as copper oxide does not adhere to the upper surface of the first copper wiring 11 during ashing. Here, cleaning is performed by a conventional method. An etching reaction product adheres to the upper portion of the via hole 31a, and there is no problem if it remains.
[0078]
Next, as shown in FIG. 12A, an SiO layer having a film thickness of 250 nm is formed as an upper layer 34 on the intermediate layer 33 and the lower layer 32 of the fourth interlayer insulating film 31. 2 A film is formed. The intermediate layer 33 is formed of a different kind of insulating material that can be selectively etched with respect to the lower layer portion 32 and the upper layer portion 34. Further, a resist 37 is applied on the upper layer 34, and this is exposed and developed to form wiring-shaped openings 37a. The wiring shape is a shape passing over the via hole 31a.
[0079]
Then, as shown in FIG. 12B, the upper layer 34 is etched using the resist 37 as a mask, and the lower layer 21 is etched through the via hole 31a of the intermediate layer 33. In this case, for example, a reactive ion etching method is used, and CF as an etching gas Four , C Four F 8 Etc.
[0080]
As a result, the second wiring trench 31 b is formed in the upper layer 34 of the fourth interlayer insulating film 31, and the lower portion of the via hole 31 a is formed in the lower layer portion 21. Then, the upper surface of the first copper wiring 11 is exposed from the via hole 31a.
[0081]
By this etching, the etching product as the foreign matter 38 adheres to the side wall of the second wiring groove 31b and the side wall of the via hole 31a.
[0082]
Further, as shown in FIG. 13A, the resist 37 on the fourth interlayer insulating film 31 is removed by ashing with oxygen plasma. By this ashing, the ashing product as the foreign matter 38 adheres to the side wall of the second wiring groove 31b and the side wall of the via hole 31a, and the upper surface of the first copper wiring 11 is oxidized to form copper oxide 11e. . In addition, an altered material such as an etching product or an ashing product may adhere on the first copper wiring 11.
[0083]
Next, the via hole 31a, the second wiring groove 31b, and the fourth interlayer insulating film 31 are cleaned using an inorganic or organic acid ammonium salt, for example, ammonium phosphate, as a chemical solution (cleaning agent), whereby the inside of the via hole 31a is cleaned. The foreign matter 38 in the second wiring groove 31b is removed, and the oxidized 11e copper on the surface of the first copper wiring 11 is removed. As a result, the pure copper of the first copper wiring 11 is exposed from the via hole 31a. Since the conditions for cleaning using the ammonium phosphate salt are the same as those in the first embodiment, the details thereof are omitted.
[0084]
Next, as shown in FIG. 13B, a titanium nitride film is formed as a barrier metal layer 39 on the inner surface of the via hole 31a, the second wiring groove inner surface, and the upper surface of the fourth interlayer insulating film 21 by sputtering. Further, the copper layer 40 is formed on the barrier metal layer 39 by the same method as in the first embodiment.
[0085]
Thereafter, the copper layer 40 and the barrier metal layer 39 on the fourth interlayer insulating film 31 are removed by the CMP method. The metal film left in the via hole 31a is used as the via 41, and the metal film left in the second wiring groove 31b is used as the second copper wiring.
[0086]
Thereafter, a multilayer copper wiring is formed by a similar process.
[0087]
According to the present embodiment, the cleaning of the via hole 31a, the first wiring groove 31b, and the first copper wiring 11 can be performed simultaneously by a single chemical treatment using an acid ammonium salt.
[0088]
In the above-described embodiment, the dual damascene process in which copper is simultaneously embedded in the via hole and in the wiring groove on the via hole is described. However, copper is embedded in the via hole and the wiring groove in separate steps. Also in the single damascene process, the inside of the via hole and the wiring groove may be separately cleaned using an acid ammonium salt.
[0089]
In the above-described embodiment, the resist is used to form the via hole or the wiring groove in the interlayer insulating film. However, a hard mask made of silicon nitride may be used. Even in this case, the foreign matter deposited on the bottom of the via hole when the hard mask is removed can be removed using an acid ammonium salt.
[0090]
Furthermore, in the above-described embodiment, vias or wirings are formed by embedding copper in via holes or wiring grooves, but vias are embedded by embedding other metals such as copper alloys, tungsten, tungsten alloys, aluminum, and aluminum alloys. Alternatively, a metal wiring may be formed. Also in the process of forming such vias or metal wirings, the cleaning effect of the holes, grooves and metal wiring surfaces by the cleaning liquid used in the above embodiment is exhibited.
(Appendix 1) forming a first insulating film above the semiconductor substrate;
Forming a first metal wiring on the first insulating film;
Forming a second insulating film on the first metal wiring and the first insulating film;
Forming a mask having an opening on the second insulating film;
Etching the second insulating film through the opening to form at least one of a hole and a groove;
Removing the mask;
Removing foreign matter adhering in at least one of the hole and the groove with a cleaning solution containing an ammonium acid salt and simultaneously removing oxide on the surface of the first metal wiring exposed from at least one of the hole and the groove; When,
Burying metal in at least one of the hole and the groove;
A method for manufacturing a semiconductor device, comprising:
(Supplementary note 2) The method of manufacturing a semiconductor device according to supplementary note 1, wherein a carboxylic acid is added as an auxiliary agent to the cleaning liquid.
(Additional remark 3) The said carboxylic acid is either oxalic acid or formic acid, The manufacturing method of the semiconductor device of Additional remark 2 characterized by the above-mentioned.
(Supplementary note 4) The concentration of the oxalic acid in the cleaning liquid is 0.01% by weight or more and 5.0% by weight or less with respect to the whole cleaning liquid. Production method.
(Supplementary note 5) The method for manufacturing a semiconductor device according to supplementary note 1, wherein the acid ammonium salt is any one of ammonium sulfate, ammonium acetate, ammonium citrate, ammonium nitrate, ammonium succinate, ammonium fluoride, and ammonium phosphate.
(Additional remark 6) The manufacturing method of the semiconductor device of Additional remark 1 characterized by adding an anionic or cationic surfactant to the said washing | cleaning liquid.
(Additional remark 7) The manufacturing method of the semiconductor device of Additional remark 1 characterized by adding the inhibitor for suppressing the corrosion of the said 1st metal wiring to the said washing | cleaning liquid.
(Appendix 8) The hydrogen ion concentration of the cleaning liquid is 10 -Five 8. The method for manufacturing a semiconductor device according to any one of appendix 1 to appendix 7, wherein the semiconductor device is mol / liter or more.
(Supplementary note 9) The method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 7, wherein a moisture content in the cleaning liquid is 50 to 99% by weight.
(Additional remark 10) The temperature of the said washing | cleaning liquid is set to the range of 20 to 80 degreeC, The manufacturing method of the semiconductor device in any one of Additional remark 1 thru | or 7 characterized by the above-mentioned.
(Additional remark 11) The said hole and the said groove | channel are formed continuously, The manufacturing method of the semiconductor device of Additional remark 1 characterized by the above-mentioned.
(Supplementary note 12) Any one of Supplementary notes 1 to 11, wherein the metal embedded in at least one of the hole and the groove is any one of tungsten, a tungsten alloy, aluminum, an aluminum alloy, copper, or a copper alloy. The manufacturing method of the semiconductor device as described in any one of Claims 1-3.
(Supplementary note 13) The method of manufacturing a semiconductor device according to supplementary note 1 or 12, wherein the second metal wiring is formed by the metal buried in the groove.
(Supplementary note 14) The method for manufacturing a semiconductor device according to supplementary note 1, wherein the mask is a resist mask or a hard mask, and is subjected to a dry process when removed.
(Supplementary note 15) A cleaning liquid for a semiconductor device, wherein an acid ammonium salt and a carboxylic acid are added.
(Supplementary note 16) The semiconductor device cleaning liquid according to supplementary note 15, wherein the carboxylic acid is any one of oxalic acid, formic acid, acetic acid, succinic acid, and citric acid.
(Supplementary note 17) The concentration of the oxalic acid in the cleaning liquid is 0.01% by weight or more and 5.0% by weight or less based on the whole cleaning liquid. Cleaning liquid.
(Supplementary note 18) The semiconductor device according to supplementary note 15, wherein the acid ammonium salt is any one of ammonium sulfate, ammonium acetate, ammonium citrate, ammonium nitrate, ammonium succinate, ammonium fluoride, and ammonium phosphate. Cleaning liquid.
(Supplementary note 19) The hydrogen ion concentration of the cleaning liquid is 10 -Five The cleaning liquid for semiconductor devices as set forth in appendix 15, wherein the cleaning liquid is mol / liter or more.
(Supplementary note 20) The semiconductor device cleaning liquid according to supplementary note 15, wherein a moisture content in the cleaning liquid is 50 to 99% by weight.
[0091]
22. The semiconductor device manufacturing method or semiconductor device cleaning solution according to any one of appendix 1 to appendix 21, wherein the acid ammonium salt is an organic acid ammonium salt or an inorganic acid ammonium salt.
[0092]
【The invention's effect】
As described above, according to the present invention, the etching reaction product, the ashing reaction product, the metal oxide, and the like are simultaneously removed by the organic ammonium salt-containing cleaning solution, so that the insulating film is formed by the photolithography method. The cleaning capability in the hole or groove and the cleaning capability of the surface of the metal film are increased, and the cleaning time can be greatly shortened compared to the conventional case.
[0093]
This improves the throughput of the manufacturing process of the semiconductor device, suppresses the increase in resistance of contact plugs, vias, and wiring due to foreign substances such as reaction products and altered substances, and improves the connection between the upper and lower sides of the metal pattern. Is possible.
[Brief description of the drawings]
FIGS. 1A to 1D are cross-sectional views showing a manufacturing process of a copper wiring in a conventional semiconductor device.
FIGS. 2A and 2B are cross-sectional views (part 1) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIGS. 3A and 3B are sectional views (No. 2) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIGS. 4A and 4B are sectional views (No. 3) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIGS. 5A and 5B are sectional views (No. 4) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIGS. 6A and 6B are sectional views (No. 5) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIGS. 7A and 7B are sectional views (No. 6) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. FIGS.
FIG. 8 is a configuration diagram showing an example of a cleaning apparatus used in an embodiment of the present invention.
FIG. 9 is a diagram showing the relationship between the cleaning time and the surface state of the exposed copper surface after resist removal using the cleaning liquid according to the embodiment of the present invention.
FIG. 10 is a diagram showing a relationship between a cleaning time and a surface state of an exposed copper surface after resist removal using a conventional amine cleaning liquid.
FIGS. 11A and 11B are cross-sectional views (part 1) showing a manufacturing process of a semiconductor device according to the second embodiment of the invention. FIGS.
FIGS. 12A and 12B are sectional views (No. 2) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. FIGS.
FIGS. 13A and 13B are sectional views (No. 3) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. FIGS.
FIGS. 14A and 14B are sectional views (No. 4) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. FIGS.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 ... Silicon substrate, 2 ... Element isolation insulating layer, 3 ... MOS transistor, 4, 8, 10, 12 ... Interlayer insulating film, 5a, 5b, 9 ... Conductive plug, 7 ... Wiring, 11 ... 1st copper ( (Metal) wiring, 11d, 11e ... copper oxide, 12a ... via hole, 12b ... wiring groove, 13 ... resist, 14 ... foreign material, 15 ... resist, 16 ... foreign material, 17a, 39 ... barrier metal layer, 17b, 40 ... copper Layer, 18, 41 ... via, 19,42 ... second copper (metal) wiring, 31 ... interlayer insulating film, 31a ... via hole, 31b ... wiring trench 32 ... lower layer, 33 ... intermediate layer, 34 ... upper Layer, 36, 37 ... resist, 38 ... foreign matter.

Claims (7)

半導体基板の上方に第1絶縁膜を形成する工程と、
第1絶縁膜上に第1金属配線を形成する工程と、
前記第1金属配線及び前記第1絶縁膜上に第2絶縁膜を形成する工程と、
開口を有するマスクを前記第2絶縁膜上に形成する工程と、
前記開口を通して前記第2絶縁膜をエッチングしてホールと溝の少なくとも一方を形成する工程と、
前記マスクを除去する工程と、
前記ホールと前記溝の少なくとも一方の中に付着した異物をリン酸アンモニウムとカルボン酸類とを含む洗浄液によって除去すると同時に前記ホールと前記溝の少なくとも一方から露出した前記第1金属配線の表面の酸化物を除去する工程と、
前記ホールと前記溝の少なくとも一方に金属を埋め込む工程と
を有することを特徴とする半導体装置の製造方法。
Forming a first insulating film above the semiconductor substrate;
Forming a first metal wiring on the first insulating film;
Forming a second insulating film on the first metal wiring and the first insulating film;
Forming a mask having an opening on the second insulating film;
Etching the second insulating film through the opening to form at least one of a hole and a groove;
Removing the mask;
Foreign matter adhering in at least one of the hole and the groove is removed by a cleaning solution containing ammonium phosphate and carboxylic acids, and at the same time, an oxide on the surface of the first metal wiring exposed from at least one of the hole and the groove. Removing the
A method of manufacturing a semiconductor device, comprising: filling a metal in at least one of the hole and the groove.
前記カルボン酸類はシュウ酸であることを特徴とする請求項1に記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 1, wherein the carboxylic acid is oxalic acid . 前記洗浄液の温度は20℃以上で80℃以下の範囲に設定されることを特徴とする請求項1又は請求項2に記載の半導体装置の製造方法。  The method of manufacturing a semiconductor device according to claim 1, wherein the temperature of the cleaning liquid is set in a range of 20 ° C. or more and 80 ° C. or less. リン酸アンモニウムとカルボン酸類が添加されていることを特徴とする半導体装置用洗浄液。 A cleaning liquid for semiconductor devices, wherein ammonium phosphate and carboxylic acids are added. 前記洗浄液中の前記カルボン酸類はシュウ酸であり、前記シュウ酸の濃度は、前記洗浄液の全体に対して0.01重量%以上且つ5.0重量%以下であることを特徴とする請求項4に記載の半導体装置用洗浄液。5. The carboxylic acid in the cleaning liquid is oxalic acid, and the concentration of the oxalic acid is 0.01 wt% or more and 5.0 wt% or less with respect to the entire cleaning liquid. The cleaning liquid for semiconductor devices described in 1. 水素イオン濃度が10-5mol/liter以上であることを特徴とする請求項4に記載の半導体装置用洗浄液。The cleaning liquid for a semiconductor device according to claim 4, wherein the hydrogen ion concentration is 10 −5 mol / liter or more. 水分含有率が50〜99重量%であることを特徴とする請求項4に記載の半導体装置用洗浄液。  5. The semiconductor device cleaning liquid according to claim 4, wherein the moisture content is 50 to 99% by weight.
JP2001294802A 2001-09-26 2001-09-26 Semiconductor device manufacturing method and semiconductor device cleaning solution Expired - Fee Related JP4583678B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001294802A JP4583678B2 (en) 2001-09-26 2001-09-26 Semiconductor device manufacturing method and semiconductor device cleaning solution

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001294802A JP4583678B2 (en) 2001-09-26 2001-09-26 Semiconductor device manufacturing method and semiconductor device cleaning solution

Publications (2)

Publication Number Publication Date
JP2003100867A JP2003100867A (en) 2003-04-04
JP4583678B2 true JP4583678B2 (en) 2010-11-17

Family

ID=19116342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001294802A Expired - Fee Related JP4583678B2 (en) 2001-09-26 2001-09-26 Semiconductor device manufacturing method and semiconductor device cleaning solution

Country Status (1)

Country Link
JP (1) JP4583678B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI365491B (en) 2003-12-24 2012-06-01 Kao Corp Composition for cleaning semiconductor device
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
JP2005317809A (en) * 2004-04-28 2005-11-10 Nitta Haas Inc Polishing cloth cleaning liquid for copper polishing and cleaning method using the same
KR101020452B1 (en) * 2006-03-27 2011-03-08 도쿄엘렉트론가부시키가이샤 Method of substrate treatment, process for producing semiconductor device, substrate treating apparatus, and recording medium
JP2008034736A (en) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Method and device for heat treatment
JP2008251741A (en) * 2007-03-29 2008-10-16 Fujitsu Microelectronics Ltd Manufacturing method of semiconductor device
JP5135905B2 (en) * 2007-06-19 2013-02-06 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP2011077468A (en) * 2009-10-02 2011-04-14 Panasonic Corp Semiconductor device manufacturing method and semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114368A (en) * 1998-10-05 2000-04-21 Nec Corp Manufacture of semiconductor device
WO2000072363A1 (en) * 1999-05-26 2000-11-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
WO2001036578A1 (en) * 1999-11-15 2001-05-25 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP2002289569A (en) * 2001-03-23 2002-10-04 Ekc Technology Kk Residue release agent composition and its application method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114368A (en) * 1998-10-05 2000-04-21 Nec Corp Manufacture of semiconductor device
WO2000072363A1 (en) * 1999-05-26 2000-11-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
WO2001036578A1 (en) * 1999-11-15 2001-05-25 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP2002289569A (en) * 2001-03-23 2002-10-04 Ekc Technology Kk Residue release agent composition and its application method

Also Published As

Publication number Publication date
JP2003100867A (en) 2003-04-04

Similar Documents

Publication Publication Date Title
US8080475B2 (en) Removal chemistry for selectively etching metal hard mask
JP3907151B2 (en) Manufacturing method of semiconductor device
JP3300643B2 (en) Method for manufacturing semiconductor device
JP2002050685A (en) Semiconductor device and its manufacturing method
JP2001102446A (en) Manufacturing method of semiconductor device
US6486057B1 (en) Process for preparing Cu damascene interconnection
JP4583678B2 (en) Semiconductor device manufacturing method and semiconductor device cleaning solution
JP2001036066A (en) Manufacture of semiconductor device
JP3781729B2 (en) Manufacturing method of semiconductor device
US10784153B2 (en) Metal interconnect structure and method for fabricating the same
US20070077757A1 (en) Method of forming metal wiring in semiconductor device
JP2002334879A (en) Method for manufacturing semiconductor integrated circuit device
JP4864949B2 (en) Semiconductor device manufacturing method and semiconductor manufacturing apparatus
KR20030056580A (en) Method for manufacturing of metal line contact plug of semiconductor device
JP2009290040A (en) Method for manufacturing semiconductor integrated circuit device
JP2006080559A (en) Method for manufacturing semiconductor apparatus, semiconductor manufacturing apparatus and semiconductor apparatus
JP4077987B2 (en) Manufacturing method of semiconductor device
JP2001267418A (en) Semiconductor device and its manufacturing method
JP2003007705A (en) Formation method of copper wiring
JP4205489B2 (en) Manufacturing method of semiconductor device
KR100359298B1 (en) Method for forming metallic wiring pattern in semiconductor apparatus
JP2003520449A (en) Damask structure and method of forming damascene structure
JP2003017467A (en) Semiconductor integrated circuit device and manufacturing method therefor
JP2004031637A (en) Method of forming wiring structure
JP2004119529A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100901

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130910

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees