JP2003017467A - Semiconductor integrated circuit device and manufacturing method therefor - Google Patents

Semiconductor integrated circuit device and manufacturing method therefor

Info

Publication number
JP2003017467A
JP2003017467A JP2001195987A JP2001195987A JP2003017467A JP 2003017467 A JP2003017467 A JP 2003017467A JP 2001195987 A JP2001195987 A JP 2001195987A JP 2001195987 A JP2001195987 A JP 2001195987A JP 2003017467 A JP2003017467 A JP 2003017467A
Authority
JP
Japan
Prior art keywords
insulating film
film
etching
gas
masking layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001195987A
Other languages
Japanese (ja)
Inventor
Masaru Nagasawa
大 長澤
Shoichi Uno
正一 宇野
Hiroyuki Enomoto
裕之 榎本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001195987A priority Critical patent/JP2003017467A/en
Publication of JP2003017467A publication Critical patent/JP2003017467A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a technique for securing photoresist film, used as a mask in a process for forming a wiring groove or a connection hole, by etching in an insulating film where an SiC film is formed as an etching stopper film. SOLUTION: In a process for forming an open hole section 27, by etching where a photoresist film 26 is used as a mask in an insulation film where an insulation film 17, a cap insulating film 18, an etching stopper film 19, an insulation film 20, and a cap insulating film 21 are laminated, flow rate of an O2 gas in an etching gas is increased and decreased relatively, in the etching process of the etching stopper film 19, and that of the O2 gas in the etching gas is reduced in the etching process of the cap insulating film 21, the insulation film 20, the cap insulating film 18, and the insulating film 17.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術および半導体集積回路装置に関し、特に、
絶縁膜に形成した配線形成用の溝部に導電性膜を埋め込
むことにより形成された配線を有する半導体集積回路装
置の製造に適用して有効な技術に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit device manufacturing technique and a semiconductor integrated circuit device, and more particularly,
The present invention relates to a technique effectively applied to the manufacture of a semiconductor integrated circuit device having a wiring formed by embedding a conductive film in a wiring-forming groove formed in an insulating film.

【0002】[0002]

【従来の技術】半導体集積回路装置の素子集積度の向上
や半導体チップのサイズの縮小等に伴い、半導体集積回
路装置を構成する配線の微細化および多層化が進められ
ている。特に、多層配線構造を有するロジック系の半導
体集積回路装置においては、配線遅延が半導体集積回路
装置全体の信号遅延の支配的要因の1つとなっている。
この配線を流れる信号の速度は、配線抵抗と配線容量と
に比例していることから配線遅延を改善するために配線
抵抗と配線容量とを低減することが重要である。
2. Description of the Related Art As the degree of integration of elements in a semiconductor integrated circuit device is improved and the size of a semiconductor chip is reduced, wirings constituting the semiconductor integrated circuit device are becoming finer and multilayered. In particular, in a logic semiconductor integrated circuit device having a multi-layer wiring structure, wiring delay is one of the dominant factors of signal delay of the entire semiconductor integrated circuit device.
Since the speed of the signal flowing through the wiring is proportional to the wiring resistance and the wiring capacitance, it is important to reduce the wiring resistance and the wiring capacitance in order to improve the wiring delay.

【0003】配線抵抗の低減に関しては、配線材料に銅
系材料(銅または銅合金)を用いたダマシン(Damascen
e)法の適用が進められている。この方法は、絶縁膜に
配線溝または接続孔を形成した後、半導体基板の主面に
配線形成用またはプラグ形成用の導電性膜を堆積し、さ
らに、その配線溝または接続孔以外の領域の導電性膜を
化学機械的研磨法(CMP;Chemical Mechanical Poli
shing)によって除去することにより、配線溝内に埋め
込み配線、または接続孔内にプラグを形成する方法であ
る。この方法の場合は、特に、微細なエッチング加工が
困難な銅系の導体材料(銅または銅合金)からなる埋め
込み配線の形成方法として適している。
Regarding reduction of wiring resistance, damascene (Damascen) using a copper material (copper or copper alloy) as a wiring material
e) The application of the law is ongoing. In this method, after forming a wiring groove or a connection hole in an insulating film, a conductive film for forming a wiring or a plug is deposited on the main surface of a semiconductor substrate, and a region other than the wiring groove or the connection hole is formed. Chemical Mechanical Polishing (CMP) of conductive film
It is a method of forming a buried wiring in a wiring groove or a plug in a connection hole by removing it by shing). This method is particularly suitable as a method for forming embedded wiring made of a copper-based conductor material (copper or copper alloy), which is difficult to perform fine etching.

【0004】また、ダマシン法の応用としてデュアルダ
マシン(Dual-Damascene)法がある。この方法は、絶縁
膜に配線形成用の溝(以下、配線溝という)および下層
配線との接続を行なうための接続孔を形成した後、半導
体基板の主面に配線形成用の導電性膜を堆積し、さら
に、その溝以外の領域の導電性膜をCMPによって除去
することにより、配線形成用の溝内に埋め込み配線を形
成し、かつ、接続孔内にプラグを形成する方法である。
この方法の場合は、特に、多層配線構造を有する半導体
集積回路において、工程数の削減が可能であり、配線コ
ストの低減が可能である。
Further, as an application of the damascene method, there is a dual-damascene method. This method forms a wiring forming groove (hereinafter referred to as a wiring groove) in an insulating film and a connection hole for connecting to a lower layer wiring, and then forms a wiring forming conductive film on a main surface of a semiconductor substrate. In this method, a buried film is formed in the groove for forming a wiring and a plug is formed in the connection hole by depositing and further removing the conductive film in the region other than the groove by CMP.
In the case of this method, particularly in a semiconductor integrated circuit having a multilayer wiring structure, the number of steps can be reduced and the wiring cost can be reduced.

【0005】このようなダマシン法等を用いた配線形成
技術については、たとえば、特開平10−135153
号公報に記載がある。
A wiring forming technique using such a damascene method is disclosed in, for example, Japanese Patent Laid-Open No. 10-135153.
It is described in the official gazette.

【0006】[0006]

【発明が解決しようとする課題】ところで、上記ダマシ
ン法やデュアルダマシン法においては、層間絶縁膜に配
線形成用の溝や孔を形成する際に、その掘り過ぎにより
下層に損傷を与えたり加工寸法精度が劣化したりする等
を回避するために、層間絶縁膜の下層にエッチングスト
ッパ用の絶縁膜を形成している。層間絶縁膜を酸化シリ
コン膜等で構成する技術では、上記エッチングストッパ
用の絶縁膜として窒化シリコン膜を使用している。しか
し、窒化シリコン膜は比誘電率(=ε)が約7.2と高
いので、本発明者らは、エッチングストッパ用の絶縁膜
として窒化シリコン膜の代わりに、比誘電率の低いSi
C(炭化シリコン(ε=約4.7))膜を導入すること
を検討している。ところが、エッチングストッパ膜とし
てSiC膜を用いた場合には以下のような課題があるこ
とを本発明者らは見出した。
By the way, in the damascene method and the dual damascene method described above, when a groove or hole for forming a wiring is formed in an interlayer insulating film, the lower layer is damaged due to excessive digging or a processing dimension. An insulating film for an etching stopper is formed below the interlayer insulating film in order to avoid deterioration of accuracy. In the technique of forming the interlayer insulating film with a silicon oxide film or the like, a silicon nitride film is used as the insulating film for the etching stopper. However, since the silicon nitride film has a high relative permittivity (= ε) of about 7.2, the present inventors have replaced the silicon nitride film as the insulating film for the etching stopper with Si having a low relative permittivity.
We are considering introducing a C (silicon carbide (ε = about 4.7)) film. However, the present inventors have found that the use of the SiC film as the etching stopper film has the following problems.

【0007】すなわち、SiC膜中のC(炭素)のエッ
チング反応を促進させるためには、エッチングガス中の
2(酸素)ガスの流量を増加させることが有効とな
る。しかしながら、O2ガスの流量を増加させたことに
より、エッチング時のマスクとして用いているフォトレ
ジスト膜のエッチング反応をも促進させてしまう。その
ため、デュアルダマシン法を用い、配線溝または接続孔
をエッチングにより形成する工程において、そのフォト
レジスト膜を残すことが困難になり、エッチング時のマ
スクを確保することが困難になる問題がある。
That is, in order to promote the etching reaction of C (carbon) in the SiC film, it is effective to increase the flow rate of O 2 (oxygen) gas in the etching gas. However, increasing the flow rate of O 2 gas also promotes the etching reaction of the photoresist film used as a mask during etching. Therefore, it is difficult to leave the photoresist film in the step of forming the wiring groove or the connection hole by etching using the dual damascene method, and it is difficult to secure the mask at the time of etching.

【0008】本発明の目的は、エッチングストッパ膜と
してSiC膜が形成された絶縁膜にエッチングにより配
線溝または接続孔を形成する工程において、マスクとな
るフォトレジスト膜を確保する技術を提供することにあ
る。
An object of the present invention is to provide a technique for securing a photoresist film serving as a mask in a step of forming a wiring groove or a connection hole in an insulating film having an SiC film as an etching stopper film by etching. is there.

【0009】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

【0010】[0010]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
Among the inventions disclosed in the present application, a brief description will be given to the outline of typical ones.
It is as follows.

【0011】すなわち、本発明は、半導体基板上に下層
から酸化シリコンを主成分とする第1絶縁膜、炭化シリ
コンを主成分とする第2絶縁膜および酸化シリコンを主
成分とする第3絶縁膜を積層する工程と、前記第3絶縁
膜上に感光性のマスキング層を形成する工程と、前記マ
スキング層をマスクとし、酸素系ガスを含む第1エッチ
ングガスを用いて前記第3絶縁膜をエッチングする工程
と、前記マスキング層をマスクとし、酸素系ガスを含む
第2エッチングガスを用いて前記第2絶縁膜をエッチン
グする工程と、前記マスキング層をマスクとし、酸素系
ガスを含む第1エッチングガスを用いて前記第1絶縁膜
をエッチングする工程とを含み、前記第1エッチングガ
スが含む酸素系ガスの流量は、前記第2エッチングガス
が含む酸素系ガスの流量より相対的に小さく、前記第1
絶縁膜をエッチングする工程工程後に前記マスキング層
を残す流量とするものである。
That is, according to the present invention, a first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component, and a third insulating film containing silicon oxide as a main component are formed on a semiconductor substrate from the lower layer. And a step of forming a photosensitive masking layer on the third insulating film, and etching the third insulating film using the masking layer as a mask and using a first etching gas containing an oxygen-based gas. And a step of etching the second insulating film using a second etching gas containing an oxygen-based gas with the masking layer as a mask, and a first etching gas containing an oxygen-based gas with the masking layer as a mask. Is used to etch the first insulating film, and the flow rate of the oxygen-based gas contained in the first etching gas is the oxygen-based gas contained in the second etching gas. Relatively smaller than the flow rate, the first
The flow rate is such that the masking layer remains after the step of etching the insulating film.

【0012】また、本発明は、(a)半導体基板の主面
上において、下層から炭化シリコンを主成分とする第4
絶縁膜、酸化シリコンを主成分とする第1絶縁膜、炭化
シリコンを主成分とする第2絶縁膜および酸化シリコン
を主成分とする第3絶縁膜が形成され、(b)前記第3
絶縁膜および前記第2絶縁膜には配線形成用の配線溝が
形成され、(c)前記配線溝の底部から、その下部に形
成された配線に達する接続孔が形成されているものであ
る。
Further, according to the present invention, (a) a fourth layer containing silicon carbide as a main component from the lower layer on the main surface of the semiconductor substrate.
An insulating film, a first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component and a third insulating film containing silicon oxide as a main component are formed, and (b) the third insulating film.
A wiring groove for forming a wiring is formed in the insulating film and the second insulating film, and (c) a connection hole is formed from the bottom of the wiring groove to the wiring formed therebelow.

【0013】[0013]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の機能を有する部材には同
一の符号を付し、その繰り返しの説明は省略する。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described in detail below with reference to the drawings. In all the drawings for explaining the embodiments, members having the same function are designated by the same reference numerals, and the repeated description thereof will be omitted.

【0014】(実施の形態1)本実施の形態1の半導体
集積回路装置は、たとえばCMOSロジックLSIであ
る。このCMOSロジックLSIの製造方法について、
図1〜図13に従って説明する。
(First Embodiment) A semiconductor integrated circuit device according to the first embodiment is, for example, a CMOS logic LSI. Regarding the manufacturing method of this CMOS logic LSI,
This will be described with reference to FIGS.

【0015】まず、図1に示すように、比抵抗が10Ω
cm程度の単結晶シリコンからなる半導体基板1を85
0℃程度で熱処理して、その主面に膜厚10nm程度の
薄い酸化シリコン膜(パッド酸化膜)を形成する。次い
でこの酸化シリコン膜の上に膜厚120nm程度の窒化
シリコン膜をCVD(Chemical Vapor Deposition)法
で堆積した後、フォトレジスト膜をマスクにしたドライ
エッチングで素子分離領域の窒化シリコン膜と酸化シリ
コン膜とを除去する。酸化シリコン膜は、後の工程で素
子分離溝の内部に埋め込まれる酸化シリコン膜をデンシ
ファイ(焼き締め)するときなどに基板に加わるストレ
スを緩和する目的で形成される。また、窒化シリコン膜
は酸化されにくい性質を持つので、その下部(活性領
域)の基板表面の酸化を防止するマスクとして利用され
る。
First, as shown in FIG. 1, the specific resistance is 10Ω.
The semiconductor substrate 1 made of single crystal silicon having a size of about
Heat treatment is performed at about 0 ° C. to form a thin silicon oxide film (pad oxide film) with a film thickness of about 10 nm on the main surface. Then, a silicon nitride film having a thickness of about 120 nm is deposited on the silicon oxide film by a CVD (Chemical Vapor Deposition) method, and then the silicon nitride film and the silicon oxide film in the element isolation region are dry-etched using the photoresist film as a mask. And are removed. The silicon oxide film is formed for the purpose of relieving stress applied to the substrate when the silicon oxide film embedded in the element isolation trench is densified (baked up) in a later step. In addition, since the silicon nitride film has a property of being hard to be oxidized, it is used as a mask for preventing the oxidation of the substrate surface below it (active region).

【0016】続いて、窒化シリコン膜をマスクにしたド
ライエッチングで素子分離領域の半導体基板1に深さ3
50nm程度の溝を形成した後、エッチングで溝の内壁
に生じたダメージ層を除去するために、半導体基板1を
1000℃程度で熱処理して溝の内壁に膜厚10nm程
度の薄い酸化シリコン膜を形成する。
Subsequently, by dry etching using a silicon nitride film as a mask, a depth of 3 is formed in the semiconductor substrate 1 in the element isolation region.
After forming the groove of about 50 nm, in order to remove the damage layer generated on the inner wall of the groove by etching, the semiconductor substrate 1 is heat-treated at about 1000 ° C. to form a thin silicon oxide film of about 10 nm on the inner wall of the groove. Form.

【0017】続いて、CVD法にて半導体基板1上に酸
化シリコン膜を堆積した後、この酸化シリコン膜の膜質
を改善するために、半導体基板1を熱処理して酸化シリ
コン膜をデンシファイ(焼き締め)する。その後、窒化
シリコン膜をストッパに用いた化学的機械研磨(Chemic
al Mechanical Polishing;CMP)法でその酸化シリ
コン膜を研磨して溝の内部に残すことにより、表面が平
坦化された素子分離溝2を形成する。
Then, after depositing a silicon oxide film on the semiconductor substrate 1 by the CVD method, the semiconductor substrate 1 is heat-treated to densify (baking) it in order to improve the quality of the silicon oxide film. ) Do. After that, chemical mechanical polishing (Chemic
The silicon oxide film is polished by an al mechanical polishing (CMP) method and left inside the groove to form the element isolation groove 2 whose surface is flattened.

【0018】続いて、熱リン酸を用いたウェットエッチ
ングで半導体基板1の活性領域上に残った窒化シリコン
膜を除去した後、半導体基板1のnチャネル型MISF
ETを形成する領域にB(ホウ素)をイオン注入してp
型ウエル3を形成する。次いで、半導体基板1のpチャ
ネル型MISFETを形成する領域にP(リン)をイオ
ン注入してn型ウエル4を形成する。
Then, after removing the silicon nitride film remaining on the active region of the semiconductor substrate 1 by wet etching using hot phosphoric acid, the n-channel MISF of the semiconductor substrate 1 is removed.
B (boron) is ion-implanted into the region where ET is formed, and p
A mold well 3 is formed. Then, P (phosphorus) is ion-implanted into the region of the semiconductor substrate 1 where the p-channel type MISFET is to be formed to form the n-type well 4.

【0019】続いて、半導体基板1を熱処理することに
よって、p型ウェル3およびn型ウェル4の表面にゲー
ト酸化膜5を形成した後、ゲート酸化膜5の上部にゲー
ト電極6を形成する。ゲート電極6は、たとえばPをド
ープした低抵抗多結晶シリコン膜、WN(窒化タングス
テン)膜、およびW(タングステン)膜をこの順で積層
した3層の導電性膜によって構成する。
Subsequently, the semiconductor substrate 1 is heat-treated to form the gate oxide film 5 on the surfaces of the p-type well 3 and the n-type well 4, and then the gate electrode 6 is formed on the gate oxide film 5. Gate electrode 6 is formed of, for example, a three-layer conductive film in which a low-resistance polycrystalline silicon film doped with P, a WN (tungsten nitride) film, and a W (tungsten) film are stacked in this order.

【0020】次いで、p型ウェル3にPまたはAs(ヒ
素)をイオン注入することよってn型半導体領域(ソー
ス、ドレイン)7を形成し、n型ウェル4にBをイオン
注入することによってp型半導体領域(ソース、ドレイ
ン)8を形成する。ここまでの工程によって、p型ウェ
ル3にnチャネル型MISFETQnが形成され、n型
ウェル4にpチャネル型MISFETQpが形成され
る。
Next, P or As (arsenic) is ion-implanted into the p-type well 3 to form an n-type semiconductor region (source, drain) 7, and B is ion-implanted into the n-type well 4 to form the p-type. A semiconductor region (source, drain) 8 is formed. Through the steps up to this point, the n-channel type MISFETQn is formed in the p-type well 3 and the p-channel type MISFETQp is formed in the n-type well 4.

【0021】続いて、nチャネル型MISFETQnお
よびpチャネル型MISFETQpの上部に酸化シリコ
ンからなる層間絶縁膜9を形成する。
Then, an interlayer insulating film 9 made of silicon oxide is formed on the n-channel type MISFET Qn and the p-channel type MISFET Qp.

【0022】次に、図2に示すように、フォトリソグラ
フィ技術によりパターニングされたフォトレジスト膜
(図示は省略)をマスクにして層間絶縁膜9をドライエ
ッチングすることにより、n型半導体領域(ソース、ド
レイン)7およびp型半導体領域(ソース、ドレイン)
8の上部にコンタクトホール10を形成する。続いて、
コンタクトホール10内を含む半導体基板1上に、スパ
ッタリング法により、たとえば窒化チタン膜を堆積した
後、さらにCVD法により、たとえばW(タングステ
ン)膜を堆積し、コンタクトホール10をそのW膜で埋
め込む。その後、コンタクトホール10以外の絶縁膜9
上の窒化チタン膜およびW膜を、たとえばCMP法によ
り除去し、プラグ11を形成する。
Next, as shown in FIG. 2, the interlayer insulating film 9 is dry-etched using a photoresist film (not shown) patterned by a photolithography technique as a mask, to thereby form an n-type semiconductor region (source, Drain) 7 and p-type semiconductor region (source, drain)
A contact hole 10 is formed on the upper portion of the contact hole 8. continue,
For example, a titanium nitride film is deposited on the semiconductor substrate 1 including the inside of the contact hole 10 by a sputtering method, and then a W (tungsten) film is further deposited by a CVD method to fill the contact hole 10 with the W film. After that, the insulating film 9 other than the contact hole 10
The upper titanium nitride film and the W film are removed by, for example, the CMP method to form the plug 11.

【0023】続いて、半導体基板1上に、たとえばプラ
ズマCVD法にてSiC膜を堆積し、膜厚が約100n
mのエッチングストッパ膜12を形成する。エッチング
ストッパ膜12は、その上層の絶縁膜に配線形成用の溝
や孔を形成する際に、その掘り過ぎにより下層に損傷を
与えたり、加工寸法精度が劣化したりすることを回避す
るためのものである。また、SiC膜は、たとえば窒化
シリコン膜より相対的に比誘電率が低いので、エッチン
グストッパ膜12としてSiC膜を用いることにより、
本実施の形態1のCMOSロジックLSIにおける配線
遅延を改善することができる。
Then, a SiC film is deposited on the semiconductor substrate 1 by, for example, a plasma CVD method to obtain a film thickness of about 100 n.
An etching stopper film 12 of m is formed. The etching stopper film 12 is for avoiding damage to the lower layer or deterioration of processing dimensional accuracy due to over-digging when forming a groove or hole for forming a wiring in the upper insulating film. It is a thing. Further, since the SiC film has a relative dielectric constant relatively lower than that of, for example, a silicon nitride film, by using the SiC film as the etching stopper film 12,
The wiring delay in the CMOS logic LSI according to the first embodiment can be improved.

【0024】次に、たとえばエッチングストッパ膜12
の表面にCVD法で酸化シリコン膜を堆積し、膜厚が約
200nmの層間絶縁膜13を堆積する。この層間絶縁
膜13を形成する際に、酸化シリコンにF(フッ素)を
添加しても良い。Fを添加することにより、層間絶縁膜
13の誘電率を下げることができるので、半導体集積回
路装置の配線の総合的な誘電率を下げることが可能であ
り、配線遅延を改善できる。
Next, for example, the etching stopper film 12
A silicon oxide film is deposited on the surface of the substrate by the CVD method, and an interlayer insulating film 13 having a thickness of about 200 nm is deposited. F (fluorine) may be added to silicon oxide when forming the interlayer insulating film 13. By adding F, the dielectric constant of the interlayer insulating film 13 can be lowered, so that the overall dielectric constant of the wiring of the semiconductor integrated circuit device can be lowered, and the wiring delay can be improved.

【0025】続いて、エッチングストッパ膜12および
層間絶縁膜13を、フォトリソグラフィ技術およびドラ
イエッチング技術を用いて加工し、配線溝14を形成す
る。次いで、配線溝14の底部に露出したプラグ11の
表面の反応層を除去するために、Ar(アルゴン)雰囲
気中にてスパッタエッチングによる半導体基板1の表面
処理を行う。
Subsequently, the etching stopper film 12 and the interlayer insulating film 13 are processed by using a photolithography technique and a dry etching technique to form a wiring groove 14. Next, in order to remove the reaction layer on the surface of the plug 11 exposed at the bottom of the wiring groove 14, the surface treatment of the semiconductor substrate 1 is performed by sputter etching in an Ar (argon) atmosphere.

【0026】続いて、半導体基板1の全面に、バリア導
体膜15Aとなる、たとえば窒化タンタル膜を、タンタ
ルターゲットをアルゴン/窒素混合雰囲気中にて反応性
スパッタリングを行なうことで堆積する。この窒化タン
タル膜の堆積は、この後の工程において堆積する銅膜の
密着性の向上および銅の拡散防止のために行うもので、
その膜厚は約30nmとすることを例示できる。なお、
本実施の形態1においてはバリア導体膜15Aとして窒
化タンタル膜を例示するが、タンタル等の金属膜、窒化
チタン膜あるいは金属膜と窒化チタン膜との積層膜等で
あってもよい。バリア導体膜15Aがタンタルまたは窒
化タンタルの場合には、窒化チタンを用いた場合より銅
膜との密着性がよい。また、バリア導体膜15Aが窒化
チタン膜の場合、この後の工程である銅膜の堆積直前に
窒化チタン膜の表面をスパッタエッチングすることも可
能である。このようなスパッタエッチングにより、窒化
チタン膜の表面に吸着した水、酸素分子等を除去し、銅
膜の接着性を改善することができる。この技術は、特
に、窒化チタン膜の堆積後、真空破壊して表面を大気に
曝し、銅膜を堆積する場合に効果が大きい。なお、この
技術は窒化チタン膜に限られず、窒化タンタル膜におい
ても、効果の差こそあるが有効である。
Then, a tantalum nitride film, for example, a barrier conductor film 15A is deposited on the entire surface of the semiconductor substrate 1 by reactive sputtering of a tantalum target in an argon / nitrogen mixed atmosphere. This tantalum nitride film is deposited to improve the adhesion of the copper film deposited in the subsequent steps and to prevent the diffusion of copper.
The film thickness can be exemplified to be about 30 nm. In addition,
Although the tantalum nitride film is illustrated as the barrier conductor film 15A in the first embodiment, it may be a metal film of tantalum or the like, a titanium nitride film, or a laminated film of a metal film and a titanium nitride film. When the barrier conductor film 15A is tantalum or tantalum nitride, the adhesion with the copper film is better than when titanium nitride is used. When the barrier conductor film 15A is a titanium nitride film, it is possible to sputter-etch the surface of the titanium nitride film immediately after the copper film is deposited in the subsequent step. By such sputter etching, water, oxygen molecules, etc. adsorbed on the surface of the titanium nitride film can be removed, and the adhesiveness of the copper film can be improved. This technique is particularly effective in the case where the titanium nitride film is deposited, the surface is exposed to the atmosphere by breaking the vacuum, and the copper film is deposited. It should be noted that this technique is effective not only for the titanium nitride film but also for the tantalum nitride film although there is a difference in effect.

【0027】続いて、バリア導体膜15Aが堆積された
半導体基板1の全面に、シード膜となる、たとえば銅膜
または銅合金膜を堆積する。このシード膜を銅合金膜と
する場合には、その合金中にCu(銅)を80重量パー
セント程度以上含むようにする。シード膜は、長距離ス
パッタリング法によって堆積し、その膜厚は、配線溝1
4の内部を除いたバリア導体膜15Aの表面において1
000Å〜2000Å程度、好ましくは1500Å程度
となるようにする。本実施の形態1においては、シード
膜の堆積に長距離スパッタリング法を用いる場合を例示
するが、Cuスパッタリング原子をイオン化することで
スパッタリングの指向性を高めるイオン化スパッタリン
グ法を用いてもよい。また、シード膜の堆積はCVD法
によって行ってもよく、CVD成膜ユニットがバリア導
体膜15Aの形成室と結合していれば高真空状態を維持
できるので、堆積したバリア導体膜15Aの表面が酸化
することを防ぐことができる。
Then, a seed film, for example, a copper film or a copper alloy film is deposited on the entire surface of the semiconductor substrate 1 on which the barrier conductor film 15A is deposited. When the seed film is a copper alloy film, Cu (copper) is contained in the alloy in an amount of about 80% by weight or more. The seed film is deposited by the long-distance sputtering method, and the thickness of the seed film depends on the wiring groove 1.
4 on the surface of the barrier conductor film 15A excluding the inside of 4
It is set to about 000Å to 2000Å, preferably about 1500Å. In the first embodiment, the case of using the long-distance sputtering method for depositing the seed film is illustrated, but an ionization sputtering method in which the directivity of sputtering is increased by ionizing Cu sputtering atoms may be used. Further, the seed film may be deposited by the CVD method, and if the CVD film forming unit is connected to the chamber for forming the barrier conductor film 15A, a high vacuum state can be maintained, so that the surface of the deposited barrier conductor film 15A is Oxidation can be prevented.

【0028】次に、シード膜が堆積された半導体基板1
の全面に、たとえば銅膜からなる導電性膜を配線溝14
を埋め込むように形成し、この導電性膜と上記したシー
ド膜とを合わせて導電性膜15Bとする。この配線溝1
4を埋め込む導電性膜は、たとえば電解めっき法にて形
成し、めっき液としては、たとえばH2SO4(硫酸)に
10%のCuSO4(硫酸銅)および銅膜のカバレージ
向上用の添加剤を加えたものを用いる。配線溝14を埋
め込む導電性膜の形成に電解めっき法を用いた場合、そ
の導電性膜の成長速度を電気的に制御できるので、配線
溝14の内部におけるその導電性膜のカバレージを向上
することができる。なお、本実施の形態1においては、
配線溝14を埋め込む導電性膜の堆積に電解めっき法を
用いる場合を例示しているが、無電解めっき法を用いて
もよい。無電解めっき法を用いた場合、電圧印加を必要
としないので、電圧印加に起因する半導体基板1のダメ
ージを、電解めっき法を用いた場合よりも低減すること
ができる。
Next, the semiconductor substrate 1 on which the seed film is deposited
A conductive film made of, for example, a copper film is formed on the entire surface of the wiring groove 14
And the seed film described above are combined to form a conductive film 15B. This wiring groove 1
4 is formed by, for example, an electrolytic plating method, and the plating solution is, for example, H 2 SO 4 (sulfuric acid) containing 10% CuSO 4 (copper sulfate) and an additive for improving the coverage of the copper film. Is used. When the electroplating method is used to form the conductive film filling the wiring groove 14, the growth rate of the conductive film can be electrically controlled, so that the coverage of the conductive film inside the wiring groove 14 is improved. You can In the first embodiment,
Although the case where the electroplating method is used for depositing the conductive film filling the wiring groove 14 is illustrated, the electroless plating method may be used. When the electroless plating method is used, since voltage application is not required, damage to the semiconductor substrate 1 due to voltage application can be reduced as compared with the case where the electrolytic plating method is used.

【0029】また、導電性膜15Bを形成する工程に続
けて、アニール処理によってその銅膜を流動化させるこ
とにより、導電性膜15Bの配線溝14への埋め込み性
をさらに向上させることもできる。
Further, subsequent to the step of forming the conductive film 15B, the copper film is fluidized by an annealing process, whereby the filling property of the conductive film 15B in the wiring groove 14 can be further improved.

【0030】次に、層間絶縁膜13上の余分なバリア導
体膜15Aおよび導電性膜15Bを除去し、配線溝14
内にバリア導体膜15Aおよび導電性膜15Bを残すこ
とにより、埋め込み配線15を形成する。バリア導体膜
15Aおよび導電性膜15Bの除去は、CMP法を用い
た研磨により行う。
Next, the excess barrier conductor film 15A and conductive film 15B on the interlayer insulating film 13 are removed, and the wiring groove 14 is formed.
The buried conductor 15 is formed by leaving the barrier conductor film 15A and the conductive film 15B inside. The barrier conductor film 15A and the conductive film 15B are removed by polishing using the CMP method.

【0031】続いて、たとえば0.1%アンモニア水溶
液と純水とを用いた2段階のブラシスクラブ洗浄によ
り、半導体基板1の表面に付着した研磨砥粒および銅を
除去した後、図3に示すように、埋め込み配線15およ
び絶縁膜13上にSiC膜を堆積してエッチングストッ
パ膜(第4絶縁膜)16を形成する。このSiC膜の堆
積には、たとえばプラズマCVD法を用いることがで
き、その膜厚は約50nmとする。エッチングストッパ
膜16は、後の工程において、エッチングを行なう際の
エッチングストッパ層として機能させることができる。
また、エッチングストッパ膜16は、埋め込み配線15
の導電性膜15BをなすCuの拡散を抑制する機能も有
し、バリア導体膜15A(図2参照)とともに層間絶縁
膜9、13および後でエッチングストッパ膜16上に形
成する層間絶縁膜への銅の拡散を防止してそれらの絶縁
性を保持する。
Then, after polishing abrasive grains and copper adhering to the surface of the semiconductor substrate 1 are removed by two-step brush scrub cleaning using, for example, a 0.1% aqueous ammonia solution and pure water, the result is shown in FIG. Thus, a SiC film is deposited on the embedded wiring 15 and the insulating film 13 to form an etching stopper film (fourth insulating film) 16. For depositing this SiC film, for example, a plasma CVD method can be used, and its film thickness is about 50 nm. The etching stopper film 16 can function as an etching stopper layer when etching is performed in a later step.
In addition, the etching stopper film 16 is formed in the embedded wiring 15.
Also has a function of suppressing the diffusion of Cu that forms the conductive film 15B, and the barrier conductor film 15A (see FIG. 2) is formed on the interlayer insulating films 9 and 13 and on the interlayer insulating film formed later on the etching stopper film 16. Prevents copper diffusion and retains their insulating properties.

【0032】次に、エッチングストッパ膜16の表面
に、膜厚が約100nmの絶縁膜(第1絶縁膜)17を
堆積する。この絶縁膜17として、フッ素を添加したC
VD酸化膜などの低誘電率膜(SiOF膜)を例示する
ことができる。この低誘電率膜を用いた場合には、半導
体集積回路装置の配線の総合的な誘電率を下げることが
可能であり、配線遅延を改善することができる。
Next, an insulating film (first insulating film) 17 having a film thickness of about 100 nm is deposited on the surface of the etching stopper film 16. As the insulating film 17, C with fluorine added
A low dielectric constant film (SiOF film) such as a VD oxide film can be exemplified. When this low dielectric constant film is used, it is possible to reduce the overall dielectric constant of the wiring of the semiconductor integrated circuit device, and it is possible to improve the wiring delay.

【0033】続いて、上記絶縁膜17の表面に膜厚10
0nm程度の酸化シリコン膜を堆積することにより、キ
ャップ絶縁膜(第1絶縁膜)18を形成する。
Then, a film thickness of 10 is formed on the surface of the insulating film 17.
A cap insulating film (first insulating film) 18 is formed by depositing a silicon oxide film of about 0 nm.

【0034】次に、キャップ絶縁膜18の表面に、たと
えばプラズマCVD法にてSiC膜を堆積し、膜厚が約
25nmのエッチングストッパ(第2絶縁膜)膜19を
形成する。このエッチングストッパ膜19は、後の工程
においてエッチングストッパ膜19上に絶縁膜を形成
し、その絶縁膜に配線形成用の溝部や孔を形成する際
に、その掘り過ぎにより下層に損傷を与えたり加工寸法
精度が劣化したりすることを回避するためのものであ
る。
Then, a SiC film is deposited on the surface of the cap insulating film 18 by, for example, a plasma CVD method to form an etching stopper (second insulating film) film 19 having a film thickness of about 25 nm. This etching stopper film 19 may damage an underlying layer due to over-digging when an insulating film is formed on the etching stopper film 19 in a later step and a groove or hole for forming a wiring is formed in the insulating film. This is for avoiding deterioration of processing dimensional accuracy.

【0035】次に、エッチングストッパ膜19の表面
に、たとえばCVD法にてフッ素を添加した酸化シリコ
ン(SiOF)膜を堆積し、膜厚が約100nmの絶縁
膜(第3絶縁膜)20を形成する。続いて、その絶縁膜
20の表面に膜厚125nm程度の酸化シリコン膜を堆
積することにより、キャップ絶縁膜(第3絶縁膜)21
を形成する。次いで、キャップ絶縁膜21の表面に、た
とえばプラズマCVD法にて膜厚が約50nmのSiC
膜を堆積することにより、エッチングストッパ膜(第5
絶縁膜)22を形成する。
Next, a silicon oxide (SiOF) film containing fluorine is deposited on the surface of the etching stopper film 19 by, for example, a CVD method to form an insulating film (third insulating film) 20 having a thickness of about 100 nm. To do. Subsequently, a cap oxide film (third insulating film) 21 is formed by depositing a silicon oxide film having a film thickness of about 125 nm on the surface of the insulating film 20.
To form. Then, on the surface of the cap insulating film 21, a SiC film having a thickness of about 50 nm is formed by, for example, a plasma CVD method.
By depositing the film, the etching stopper film (the fifth
An insulating film) 22 is formed.

【0036】次に、図4に示すように、エッチングスト
ッパ膜22の表面に膜厚120nm程度の反射防止膜2
3を形成する。続いて、その反射防止膜23の表面に、
たとえばポリヒドロキシスチレンと酸発生剤からなり、
膜厚が480nm程度のフォトレジスト膜(第1マスキ
ング層)24を形成する。次いで、そのフォトレジスト
膜24に対して加熱処理、露光処理および現像処理を施
すことにより、フォトレジスト膜24をパターニングす
る。このフォトレジスト膜24のパターンは、たとえば
平面帯状または長方形状の配線溝を形成するためのマス
クパターンであって、配線溝形成領域が露出され、それ
以外の領域が覆われるように形成されている。
Next, as shown in FIG. 4, the antireflection film 2 having a film thickness of about 120 nm is formed on the surface of the etching stopper film 22.
3 is formed. Then, on the surface of the antireflection film 23,
For example, it consists of polyhydroxystyrene and acid generator,
A photoresist film (first masking layer) 24 having a film thickness of about 480 nm is formed. Then, the photoresist film 24 is subjected to heat treatment, exposure treatment, and development treatment to pattern the photoresist film 24. The pattern of the photoresist film 24 is, for example, a mask pattern for forming a flat band-shaped or rectangular wiring groove, and is formed so that the wiring groove formation region is exposed and the other regions are covered. .

【0037】次に、上記フォトレジスト膜24をマスク
とし、たとえばCHF3/O2/Arを成分に含むエッチ
ングガスを用い、反射防止膜23をエッチングする。
Next, using the photoresist film 24 as a mask, the antireflection film 23 is etched by using, for example, an etching gas containing CHF 3 / O 2 / Ar as a component.

【0038】続いて、上記フォトレジスト膜24をマス
クとし、たとえばCHF3/O2/Arを成分に含むエッ
チングガスを用い、エッチングストッパ膜22をエッチ
ングする。この時、エッチングガスとして、CHF3
スの代わりにCH22ガス、CF4ガスまたはCF4とC
HF3との混合ガスを成分として含むものを用いてもよ
い。
Then, the etching stopper film 22 is etched using the photoresist film 24 as a mask and an etching gas containing, for example, CHF 3 / O 2 / Ar as a component. At this time, instead of CHF 3 gas, CH 2 F 2 gas, CF 4 gas or CF 4 and C is used as an etching gas.
It may also be used containing a mixed gas of HF 3 as a component.

【0039】次に、アッシング法により上記フォトレジ
スト膜24および反射防止膜23を除去した後、図5に
示すように、半導体基板1上に膜厚120nm程度の反
射防止膜25を形成する。この反射防止膜25は、エッ
チングストッパ膜22間の溝内を埋め込むように形成さ
れる。
Next, after removing the photoresist film 24 and the antireflection film 23 by the ashing method, as shown in FIG. 5, an antireflection film 25 having a thickness of about 120 nm is formed on the semiconductor substrate 1. The antireflection film 25 is formed so as to fill the groove between the etching stopper films 22.

【0040】続いて、その反射防止膜25の表面に、ポ
リヒドロキシスチレンと酸発生剤からなる膜厚が480
nm程度のフォトレジスト膜(マスキング層、第2マス
キング層)26を形成する。次いで、そのフォトレジス
ト膜26に対して加熱処理、露光処理および現像処理を
施すことにより、フォトレジスト膜26をパターニング
する。
Subsequently, a film of polyhydroxystyrene and an acid generator having a thickness of 480 is formed on the surface of the antireflection film 25.
A photoresist film (masking layer, second masking layer) 26 having a thickness of about nm is formed. Then, the photoresist film 26 is patterned by subjecting the photoresist film 26 to heat treatment, exposure treatment, and development treatment.

【0041】次に、図6に示すように、パターニングさ
れたフォトレジスト膜26をマスクとし、たとえばCH
3/O2/Arを成分に含むエッチングガスを用い、反
射防止膜25をエッチングする。ここで、そのエッチン
グガスに含まれる各ガスの流量は、CHF3ガスを30
ml/min程度、O2ガスを20ml/min程度お
よびArガスを200ml/min程度とすることを例
示できる。この時、オーバーエッチングにより反射防止
膜25の下部のキャップ絶縁膜21も所定量エッチング
される。
Next, as shown in FIG. 6, using the patterned photoresist film 26 as a mask, for example, CH
The antireflection film 25 is etched by using an etching gas containing F 3 / O 2 / Ar as a component. Here, the flow rate of each gas contained in the etching gas is 30 times as much as CHF 3 gas.
For example, about 20 ml / min of O 2 gas and about 200 ml / min of Ar gas can be exemplified. At this time, the cap insulating film 21 below the antireflection film 25 is also etched by a predetermined amount by over-etching.

【0042】次に、図7に示すように、フォトレジスト
膜26をマスクとし、C58/O2/Arを成分に含む
エッチングガス(第1エッチングガス)を用い、キャッ
プ絶縁膜21および絶縁膜20を選択的にエッチングす
る。この時、エッチングガスに含まれる各ガスの流量
は、C58ガスを0ml/min〜30ml/min程
度とし、O2ガスを0ml/min〜30ml/min
程度とし、Arガスを0ml/min〜1500ml/
min程度とする。さらに、本実施の形態1において
は、C58ガスとO2ガスとの流量比(O2ガスの流量値
をC58ガスの流量値で割った値)が1.5程度以下と
なるようにする。すなわち、本実施の形態1において
は、C58ガス、O2ガスおよびArガスの各ガスの流
量を、それぞれ15ml/min程度、20ml/mi
n程度および1500ml/min程度とすることを例
示できる。また、半導体基板1が約20.32cm(約
8インチ)の径を有する半導体ウェハであった場合、ド
ライエッチング装置より供給される高周波電力を0.2
kW〜2kW程度とすることを例示できる。
Next, as shown in FIG. 7, with the photoresist film 26 as a mask, an etching gas (first etching gas) containing C 5 F 8 / O 2 / Ar as a component is used, and the cap insulating film 21 and The insulating film 20 is selectively etched. At this time, the flow rate of each gas contained in the etching gas is about 0 ml / min to 30 ml / min for C 5 F 8 gas and 0 ml / min to 30 ml / min for O 2 gas.
And the Ar gas is 0 ml / min to 1500 ml /
It is about min. Further, in the first embodiment, the flow rate ratio between the C 5 F 8 gas and the O 2 gas (the value obtained by dividing the flow rate value of the O 2 gas by the flow rate value of the C 5 F 8 gas) is about 1.5 or less. So that That is, in the first embodiment, the flow rates of the C 5 F 8 gas, the O 2 gas, and the Ar gas are about 15 ml / min and 20 ml / mi, respectively.
It is possible to exemplify, for example, about n and 1500 ml / min. When the semiconductor substrate 1 is a semiconductor wafer having a diameter of about 20.32 cm (about 8 inches), the high frequency power supplied from the dry etching device is 0.2.
For example, it can be set to about kW to 2 kW.

【0043】このようなエッチング条件とすることによ
り、キャップ絶縁膜21および絶縁膜20のエッチング
工程後において、フォトレジスト膜26を残すことが可
能となる。すなわち、次工程以降において、このフォト
レジスト膜26をマスクとしてエッチングストッパ膜1
9、キャップ絶縁膜18および絶縁膜17をエッチング
加工することが可能となる。
Under such etching conditions, the photoresist film 26 can be left after the cap insulating film 21 and the insulating film 20 are etched. That is, in the subsequent steps and thereafter, the etching stopper film 1 is formed using the photoresist film 26 as a mask.
9. The cap insulating film 18 and the insulating film 17 can be etched.

【0044】上記の例では、キャップ絶縁膜18および
絶縁膜17をエッチング加工する際のエッチングガス中
に、C58ガスが含まれることを示したが、C58ガス
の代わりにC48ガスが含まれていてもよい。
In the above example, it was shown that the etching gas for etching the cap insulating film 18 and the insulating film 17 contains C 5 F 8 gas, but C 5 F 8 gas is used instead of C 5 F 8 gas. 4 F 8 gas may be included.

【0045】次に、図8に示すように、上記フォトレジ
スト膜26をマスクとし、CHF3/O2/Arを成分に
含むエッチングガス(第2エッチングガス)を用い、エ
ッチングストッパ膜19を選択的にエッチングする。こ
の時、エッチングガスに含まれる各ガスの流量は、CH
3ガスを0ml/min〜30ml/min程度と
し、O2ガスを5ml/min〜60ml/min程度
とし、Arガスを0ml/min〜1000ml/mi
n程度とする。さらに、本実施の形態1においては、C
HF3ガスとO2ガスとの流量比(O2ガスの流量値をC
HF3ガスの流量値で割った値)が0.5程度以上とな
るようにする。すなわち、本実施の形態1においては、
CHF3ガス、O2ガスおよびArガスの各ガスの流量
を、それぞれ40ml/min程度、25ml/min
程度および200ml/min程度とすることを例示で
きる。エッチングストッパ膜19は、SiC膜より形成
されているので、上記キャップ絶縁膜21および絶縁膜
20をエッチング加工する際に比べてO2ガスの流量を
増加することにより、そのエッチング反応を促進するこ
とができる。また、半導体基板1が約20.32cm
(約8インチ)の径を有する半導体ウェハであった場
合、ドライエッチング装置より供給される高周波電力を
0.2kW〜2kW程度とすることを例示できる。
Next, as shown in FIG. 8, the etching stopper film 19 is selected by using the photoresist film 26 as a mask and using an etching gas (second etching gas) containing CHF 3 / O 2 / Ar as a component. Etching. At this time, the flow rate of each gas contained in the etching gas is CH
F 3 gas was set to about 0 ml / min to 30 ml / min, O 2 gas was set to about 5 ml / min to 60 ml / min, and Ar gas was set to 0 ml / min to 1000 ml / mi.
It is about n. Furthermore, in the first embodiment, C
Flow rate ratio of HF 3 gas and O 2 gas (flow rate value of O 2 gas is C
The value obtained by dividing the flow rate of the HF 3 gas) is about 0.5 or more. That is, in the first embodiment,
The flow rates of the CHF 3 gas, O 2 gas, and Ar gas are about 40 ml / min and 25 ml / min, respectively.
And about 200 ml / min. Since the etching stopper film 19 is formed of a SiC film, the etching reaction is promoted by increasing the flow rate of O 2 gas as compared with the case of etching the cap insulating film 21 and the insulating film 20. You can In addition, the semiconductor substrate 1 is about 20.32 cm
In the case of a semiconductor wafer having a diameter of (about 8 inches), it can be exemplified that the high frequency power supplied from the dry etching device is set to about 0.2 kW to 2 kW.

【0046】上記の例では、エッチングストッパ膜19
をエッチング加工する際のエッチングガス中に、CHF
3ガスが含まれることを示したが、CHF3ガスの代わり
にCH22ガス、CF4ガスまたはCF4とCHF3との
混合ガスが含まれていてもよい。
In the above example, the etching stopper film 19
CHF is added to the etching gas when etching
Although it is shown that 3 gas is contained, CH 2 F 2 gas, CF 4 gas or a mixed gas of CF 4 and CHF 3 may be contained instead of CHF 3 gas.

【0047】次に、図9に示すように、フォトレジスト
膜26をマスクとし、上記キャップ絶縁膜21および絶
縁膜20をエッチングした際のエッチング条件と同様の
エッチング条件にてキャップ絶縁膜18および絶縁膜1
7をエッチングすることにより、開孔部(第1開孔部)
27を形成する。キャップ絶縁膜21および絶縁膜20
をエッチングした際のエッチング条件と同様のエッチン
グ条件とすることにより、キャップ絶縁膜18および絶
縁膜17のエッチング工程後において、フォトレジスト
膜26を残すことが可能となる。すなわち、キャップ絶
縁膜18および絶縁膜17のエッチング工程中におい
て、フォトレジスト膜26により確実にマスキングする
ことができる。それにより、開孔部27を高い加工精度
で形成することが可能となる。
Next, as shown in FIG. 9, using the photoresist film 26 as a mask, the cap insulating film 18 and the insulating film are insulated under the same etching conditions as those used for etching the cap insulating film 21 and the insulating film 20. Membrane 1
By etching 7 the opening (first opening)
27 is formed. Cap insulating film 21 and insulating film 20
By making the etching conditions similar to the etching conditions used for etching, the photoresist film 26 can be left after the step of etching the cap insulating film 18 and the insulating film 17. That is, during the etching process of the cap insulating film 18 and the insulating film 17, the masking can be surely performed by the photoresist film 26. Thereby, it becomes possible to form the opening 27 with high processing accuracy.

【0048】上記したように、エッチングストッパ膜1
9はSiC膜であることから、エッチングガス中のO2
ガスの流量を増加することにより、エッチングストッパ
膜19のエッチング反応を促進させることができる。一
方、上記開孔部27を形成する工程において、エッチン
グガス中のO2ガスの流量を増加すると、フォトレジス
ト膜26のエッチング反応も促進してしまう。本発明者
らの行った実験によれば、図10に示すように、窒化シ
リコン(SiN)膜および酸化シリコン(SiO)膜
は、エッチングガス中のO2ガスの流量が増加してもそ
のエッチングレートに急激な増加はなく、酸化シリコン
膜においてはむしろエッチングレートが低下することが
わかった。それに対して、SiC膜およびフォトレジス
ト膜においては、エッチングガス中のO2ガスの流量が
増加すると、そのエッチングレートは急激に増加するこ
とがわかった。
As described above, the etching stopper film 1
Since 9 is a SiC film, O 2 in the etching gas
By increasing the flow rate of the gas, the etching reaction of the etching stopper film 19 can be promoted. On the other hand, when the flow rate of O 2 gas in the etching gas is increased in the step of forming the opening 27, the etching reaction of the photoresist film 26 is also accelerated. According to the experiment conducted by the present inventors, as shown in FIG. 10, the silicon nitride (SiN) film and the silicon oxide (SiO) film are etched even if the flow rate of O 2 gas in the etching gas is increased. It was found that there was no rapid increase in the rate, and the etching rate was rather lowered in the silicon oxide film. On the other hand, in the SiC film and the photoresist film, it was found that the etching rate of the O 2 gas in the etching gas increased rapidly as the flow rate of the O 2 gas increased.

【0049】そこで、上記したように、開孔部27を形
成する工程において、エッチングストッパ膜19のエッ
チング工程時には相対的にエッチングガス中のO2ガス
の流量を増加させ、続くキャップ絶縁膜21、絶縁膜2
0、キャップ絶縁膜18および絶縁膜17のエッチング
工程時には相対的にエッチングガス中のO2ガスの流量
を減少させる。これにより、開孔部27を形成する工程
後においても、フォトレジスト膜26を残すことができ
る。すなわち、開孔部27を形成する工程中において、
フォトレジスト膜26によるマスキングを確実なものと
することができる。
Therefore, as described above, in the step of forming the opening 27, the flow rate of the O 2 gas in the etching gas is relatively increased during the etching step of the etching stopper film 19, and the subsequent cap insulating film 21, Insulation film 2
0, during the etching process of the cap insulating film 18 and the insulating film 17, the flow rate of O 2 gas in the etching gas is relatively reduced. Thereby, the photoresist film 26 can be left even after the step of forming the opening 27. That is, during the process of forming the opening 27,
Masking with the photoresist film 26 can be ensured.

【0050】次に、アッシング法により上記フォトレジ
スト膜26および反射防止膜25を除去した後、図11
に示すように、エッチングストッパ膜22をマスクとし
てキャップ絶縁膜21および絶縁膜20をドライエッチ
ングすることにより、開口部(第1開口部)28を形成
する。
Next, after the photoresist film 26 and the antireflection film 25 are removed by the ashing method, FIG.
As shown in FIG. 5, the opening (first opening) 28 is formed by dry etching the cap insulating film 21 and the insulating film 20 using the etching stopper film 22 as a mask.

【0051】次に、図12に示すように、キャップ絶縁
膜21上のエッチングストッパ膜22、開口部28の底
部のエッチングストッパ膜19および開孔部27の底部
のエッチングストッパ膜16をドライエッチング法によ
り除去する。これにより、接続孔29および配線溝30
を形成することができる。
Next, as shown in FIG. 12, the etching stopper film 22 on the cap insulating film 21, the etching stopper film 19 at the bottom of the opening 28 and the etching stopper film 16 at the bottom of the opening 27 are dry-etched. To remove. As a result, the connection hole 29 and the wiring groove 30 are formed.
Can be formed.

【0052】次に、図13に示すように、バリア導体膜
15Aを堆積した工程と同様の工程により、バリア導体
膜31Aを堆積する。このバリア導体膜31Aとして
は、たとえば窒化タンタル膜を用いることができる。な
お、本実施の形態1においてはバリア導体膜31Aとし
て窒化タンタル膜を例示するが、タンタル等の金属膜、
窒化チタン膜あるいは金属膜と窒化膜との積層膜等であ
ってもよい。また、バリア導体膜31Aが窒化チタン膜
の場合、この後の工程である銅膜の堆積直前に窒化チタ
ン膜の表面をスパッタエッチングすることも可能であ
る。
Next, as shown in FIG. 13, a barrier conductor film 31A is deposited by the same process as the process of depositing the barrier conductor film 15A. As the barrier conductor film 31A, for example, a tantalum nitride film can be used. In the first embodiment, a tantalum nitride film is exemplified as the barrier conductor film 31A, but a metal film of tantalum or the like,
It may be a titanium nitride film or a laminated film of a metal film and a nitride film. When the barrier conductor film 31A is a titanium nitride film, it is possible to sputter-etch the surface of the titanium nitride film immediately after the copper film is deposited in the subsequent step.

【0053】続いて、バリア導体膜31Aが堆積された
半導体基板1の全面に、シード膜となる、たとえば銅膜
または銅合金膜を堆積する。このシード膜を銅合金膜と
する場合には、その合金中に銅(Cu)を約80重量パ
ーセント程度以上含むようにする。シード膜は、長距離
スパッタリング法によって堆積することを例示できる。
本実施の形態1においては、シード膜の堆積に長距離ス
パッタリング法を用いる場合を例示するが、Cuスパッ
タリング原子をイオン化することで、スパッタリングの
指向性を高めるイオン化スパッタリング法を用いてもよ
い。また、シード膜の堆積はCVD法によって行っても
よい。
Then, a seed film, for example, a copper film or a copper alloy film is deposited on the entire surface of the semiconductor substrate 1 on which the barrier conductor film 31A is deposited. When the seed film is a copper alloy film, the alloy contains copper (Cu) in an amount of about 80% by weight or more. The seed film can be exemplified to be deposited by a long distance sputtering method.
In the first embodiment, the case where the long-distance sputtering method is used for depositing the seed film is illustrated, but an ionization sputtering method that increases the directivity of sputtering by ionizing Cu sputtering atoms may be used. The seed film may be deposited by the CVD method.

【0054】次に、シード膜が堆積された半導体基板1
の全面に、たとえば銅膜からなる導電性膜を接続孔29
および配線溝30を埋め込むように堆積し、この導電性
膜と上記したシード膜とを合わせて導電性膜31Bとす
る。この接続孔29および配線溝30を埋め込む導電性
膜は、たとえば電解めっき法にて形成することができ
る。なお、本実施の形態1においては、接続孔29およ
び配線溝30を埋め込む導電性膜の堆積に電解メッキ法
を用いる場合を例示するが、無電解めっき法を用いても
よい。無電解めっき法を用いた場合、電界印加を必要と
しないので、電界印加に起因する半導体基板1のダメー
ジを、電解めっき法を用いた場合よりも低減することが
できる。
Next, the semiconductor substrate 1 on which the seed film is deposited
A conductive film made of, for example, a copper film is formed on the entire surface of the contact hole 29.
Then, the wiring groove 30 is deposited so as to be buried, and this conductive film and the above-mentioned seed film are combined to form a conductive film 31B. The conductive film filling the connection hole 29 and the wiring groove 30 can be formed by, for example, an electrolytic plating method. In the first embodiment, the case where the electroplating method is used for depositing the conductive film filling the connection hole 29 and the wiring groove 30 is illustrated, but the electroless plating method may be used. When the electroless plating method is used, it is not necessary to apply an electric field, and therefore damage to the semiconductor substrate 1 due to the electric field application can be reduced as compared with the case where the electrolytic plating method is used.

【0055】また、導電性膜31Bを形成する工程に続
けて、アニール処理によってその導電性膜31Bを流動
化させることにより、導電性膜31Bの接続孔29およ
び配線溝30への埋め込み性を向上させることもでき
る。
Further, subsequent to the step of forming the conductive film 31B, the conductive film 31B is fluidized by an annealing treatment, so that the filling property of the conductive film 31B in the connection hole 29 and the wiring groove 30 is improved. You can also let it.

【0056】次に、絶縁膜21上の余分なバリア導体膜
31Aおよび導電性膜31Bを除去し、接続孔29およ
び配線溝30内にバリア導体膜31Aおよび導電性膜3
1Bを残すことで、埋め込み配線31を形成する。バリ
ア導体膜31Aおよび導電性膜31Bの除去は、CMP
法を用いた研磨により行うことを例示できる。
Then, the excess barrier conductor film 31A and the conductive film 31B on the insulating film 21 are removed, and the barrier conductor film 31A and the conductive film 3 are formed in the connection hole 29 and the wiring groove 30.
By leaving 1B, the embedded wiring 31 is formed. The removal of the barrier conductor film 31A and the conductive film 31B is performed by CMP.
It is possible to exemplify performing by polishing using the method.

【0057】続いて、たとえば0.1%アンモニア水溶
液と純水とを用いた2段階のブラシスクラブ洗浄によ
り、半導体基板1の表面に付着した研磨砥粒および銅を
除去し、本実施の形態1の半導体集積回路装置を製造す
る。
Subsequently, the abrasive grains and the copper adhering to the surface of the semiconductor substrate 1 are removed by a two-step brush scrub cleaning using, for example, a 0.1% aqueous ammonia solution and pure water. To manufacture the semiconductor integrated circuit device.

【0058】ここで、図14は、本実施の形態1の半導
体集積回路装置におけるエッチングストッパ膜12、1
6、19として窒化シリコン膜を用いた場合を想定し、
その際のエッチングストッパ膜12、16、19が有す
る静電容量を100%として、エッチングストッパ膜1
2、16、19の比誘電率と静電容量(単位は%)との
関係を、本発明者らがシミュレーションにより求めて示
したものである。図14に示すように、比誘電率が約
7.2の窒化シリコン膜を用いた場合に比べ、比誘電率
が約4.7のSiC膜を用いた場合においては、エッチ
ングストッパ膜12、16、19の静電容量を約10%
低減できることがわかった。すなわち、エッチングスト
ッパ膜12、16、19としてSiC膜を用いている本
実施の形態1の半導体集積回路装置においては、配線を
流れる信号の速度を高速化することが可能となる。
Here, FIG. 14 shows the etching stopper films 12 and 1 in the semiconductor integrated circuit device according to the first embodiment.
Assuming that a silicon nitride film is used as 6, 19,
At that time, the electrostatic capacity of the etching stopper films 12, 16 and 19 is set to 100%, and the etching stopper film 1
The relationship between the relative permittivity of 2, 16, and 19 and the electrostatic capacity (unit:%) was obtained by simulation by the present inventors and shown. As shown in FIG. 14, as compared with the case where the silicon nitride film having a relative permittivity of about 7.2 is used, the etching stopper films 12 and 16 using the SiC film having a relative permittivity of about 4.7 are used. , The capacitance of 19 is about 10%
It turned out that it can be reduced. That is, in the semiconductor integrated circuit device according to the first embodiment in which the SiC films are used as the etching stopper films 12, 16 and 19, the speed of the signal flowing through the wiring can be increased.

【0059】(実施の形態2)本実施の形態2は、前記
実施の形態1の半導体集積回路装置を他の製造工程にて
製造するものである。この本実施の形態2の製造工程に
ついて、図15〜図23を用いて説明する。
(Embodiment 2) In Embodiment 2, the semiconductor integrated circuit device of Embodiment 1 is manufactured by another manufacturing process. The manufacturing process of the second embodiment will be described with reference to FIGS.

【0060】本実施の形態2の半導体集積回路装置の製
造工程は、前記実施の形態1において説明したキャップ
絶縁膜21を堆積する工程(図3参照)までは同様であ
る。
The manufacturing process of the semiconductor integrated circuit device of the second embodiment is the same as the process of depositing the cap insulating film 21 (see FIG. 3) described in the first embodiment.

【0061】その後、図15に示すように、半導体基板
1上に膜厚120nm程度の反射防止膜25を形成す
る。続いて、その反射防止膜25の表面に、ポリヒドロ
キシスチレンと酸発生剤からなる膜厚が480nm程度
のフォトレジスト膜26を形成する。次いで、そのフォ
トレジスト膜26に対して加熱処理、露光処理および現
像処理を施すことにより、フォトレジスト膜26をパタ
ーニングする。
After that, as shown in FIG. 15, an antireflection film 25 having a film thickness of about 120 nm is formed on the semiconductor substrate 1. Subsequently, a photoresist film 26 made of polyhydroxystyrene and an acid generator and having a film thickness of about 480 nm is formed on the surface of the antireflection film 25. Then, the photoresist film 26 is patterned by subjecting the photoresist film 26 to heat treatment, exposure treatment, and development treatment.

【0062】次に、図16に示すように、前記実施の形
態1においてフォトレジスト膜26をマスクとして反射
防止膜25をエッチングした工程(図6参照)と同様の
エッチング条件により、反射防止膜25をエッチングす
る。
Next, as shown in FIG. 16, the antireflection film 25 is formed under the same etching conditions as the step (see FIG. 6) of etching the antireflection film 25 using the photoresist film 26 as a mask in the first embodiment. To etch.

【0063】続いて、図17に示すように、前記実施の
形態1においてフォトレジスト膜26をマスクとしてキ
ャップ絶縁膜21および絶縁膜20をエッチングした工
程(図7参照)と同様のエッチング条件により、キャッ
プ絶縁膜21および絶縁膜20をエッチングする。これ
により、本実施の形態2においても、キャップ絶縁膜2
1および絶縁膜20のエッチング工程後において、フォ
トレジスト膜26を残すことが可能となる。すなわち、
次工程以降において、このフォトレジスト膜26をマス
クとしてエッチングストッパ膜19、キャップ絶縁膜1
8および絶縁膜17をエッチング加工することが可能と
なる。
Subsequently, as shown in FIG. 17, under the same etching conditions as the step (see FIG. 7) of etching the cap insulating film 21 and the insulating film 20 using the photoresist film 26 as a mask in the first embodiment, The cap insulating film 21 and the insulating film 20 are etched. As a result, also in the second embodiment, the cap insulating film 2
After the etching process of 1 and the insulating film 20, the photoresist film 26 can be left. That is,
After the next step, the etching stopper film 19 and the cap insulating film 1 are formed by using the photoresist film 26 as a mask.
8 and the insulating film 17 can be etched.

【0064】続いて、図18に示すように、前記実施の
形態1においてフォトレジスト膜26をマスクとしてエ
ッチングストッパ膜19をエッチングした工程(図8参
照)と同様のエッチング条件により、エッチングストッ
パ膜19をエッチングする。これにより、本実施の形態
2においても、上記キャップ絶縁膜18および絶縁膜1
7をエッチング加工する際に比べてO2ガスの流量を増
加することになるので、SiC膜からなるエッチングス
トッパ膜19のエッチング反応を促進することができ
る。
Then, as shown in FIG. 18, the etching stopper film 19 is formed under the same etching conditions as in the step (see FIG. 8) of etching the etching stopper film 19 using the photoresist film 26 as a mask in the first embodiment. To etch. As a result, also in the second embodiment, the cap insulating film 18 and the insulating film 1 are formed.
Since the flow rate of the O 2 gas is increased as compared with the case where the etching processing of 7 is performed, the etching reaction of the etching stopper film 19 made of the SiC film can be promoted.

【0065】続いて、図19に示すように、前記実施の
形態1においてフォトレジスト膜26をマスクとしてキ
ャップ絶縁膜18および絶縁膜17をエッチングした工
程(図9参照)と同様のエッチング条件により、キャッ
プ絶縁膜18および絶縁膜17をエッチングし、開孔部
27を形成する。これにより、本実施の形態2において
も、キャップ絶縁膜18および絶縁膜17のエッチング
工程後において、フォトレジスト膜26を残すことが可
能となる。すなわち、キャップ絶縁膜18および絶縁膜
17のエッチング工程中において、フォトレジスト膜2
6により確実にマスキングすることができる。
Then, as shown in FIG. 19, under the same etching conditions as the step (see FIG. 9) of etching the cap insulating film 18 and the insulating film 17 using the photoresist film 26 as a mask in the first embodiment, The cap insulating film 18 and the insulating film 17 are etched to form the opening 27. As a result, also in the second embodiment, the photoresist film 26 can be left after the step of etching the cap insulating film 18 and the insulating film 17. That is, during the etching process of the cap insulating film 18 and the insulating film 17, the photoresist film 2
6 makes it possible to reliably mask.

【0066】本実施の形態2においても、前記実施の形
態1の場合と同様に、開孔部27を形成する工程におい
て、エッチングストッパ膜19のエッチング工程時には
相対的にエッチングガス中のO2ガスの流量を増加さ
せ、キャップ絶縁膜21、絶縁膜20、キャップ絶縁膜
18および絶縁膜17のエッチング工程時には相対的に
エッチングガス中のO2ガスの流量を減少させる。これ
により、開孔部27を形成する工程後においても、フォ
トレジスト膜26を残すことができる。すなわち、開孔
部27を形成する工程中において、フォトレジスト膜2
6によるマスキングを確実なものとすることができる。
Also in the second embodiment, as in the case of the first embodiment, in the step of forming the opening 27, the O 2 gas in the etching gas is relatively used during the etching step of the etching stopper film 19. The flow rate of O 2 gas in the etching gas is relatively decreased during the etching process of the cap insulating film 21, the insulating film 20, the cap insulating film 18, and the insulating film 17. Thereby, the photoresist film 26 can be left even after the step of forming the opening 27. That is, during the process of forming the opening 27, the photoresist film 2
Masking by 6 can be ensured.

【0067】次に、アッシング法により上記フォトレジ
スト膜26および反射防止膜25を除去した後、図20
に示すように、半導体基板1上に膜厚120nm程度の
反射防止膜(第6絶縁膜)25Aを形成する。この反射
防止膜25Aは、開孔部27を埋め込むように形成され
る。
Next, after removing the photoresist film 26 and the antireflection film 25 by the ashing method, FIG.
As shown in FIG. 3, an antireflection film (sixth insulating film) 25A having a film thickness of about 120 nm is formed on the semiconductor substrate 1. The antireflection film 25A is formed so as to fill the opening 27.

【0068】続いて、その反射防止膜25Aの表面に、
ポリヒドロキシスチレンと酸発生剤からなる膜厚が48
0nm程度のフォトレジスト膜(第3マスキング層)2
6Aを形成する。次いで、そのフォトレジスト膜26A
に対して加熱処理、露光処理および現像処理を施すこと
により、フォトレジスト膜26Aをパターニングする。
Then, on the surface of the antireflection film 25A,
The film thickness consisting of polyhydroxystyrene and acid generator is 48
About 0 nm photoresist film (third masking layer) 2
6A is formed. Then, the photoresist film 26A
By subjecting the photoresist film to heat treatment, exposure treatment, and development treatment, the photoresist film 26A is patterned.

【0069】次に、図21に示すように、そのパターニ
ングされたフォトレジスト膜26Aをマスクとし、たと
えばCHF3/O2/Arを成分に含むエッチングガスを
用い、キャップ絶縁膜21上の反射防止膜25Aをエッ
チングする。
Next, as shown in FIG. 21, the patterned photoresist film 26A is used as a mask and an etching gas containing, for example, CHF 3 / O 2 / Ar as a component is used to prevent reflection on the cap insulating film 21. The film 25A is etched.

【0070】次に、図22に示すように、上記フォトレ
ジスト膜26Aをマスクとし、たとえばC58/O2
Arを成分に含むエッチングガスを用い、キャップ絶縁
膜21および絶縁膜20をエッチングすることにより、
開口部28を形成する。
Next, as shown in FIG. 22, using the photoresist film 26A as a mask, for example, C 5 F 8 / O 2 /
By etching the cap insulating film 21 and the insulating film 20 using an etching gas containing Ar as a component,
The opening 28 is formed.

【0071】次に、図23に示すように、アッシング法
により上記フォトレジスト膜26および反射防止膜25
を除去する。続いて、開口部28の底部のエッチングス
トッパ膜19および開孔部27の底部のエッチングスト
ッパ膜16をドライエッチング法により除去する。これ
により、接続孔29および配線溝30を形成することが
できる(図12参照)。
Next, as shown in FIG. 23, the photoresist film 26 and the antireflection film 25 are ashed.
To remove. Then, the etching stopper film 19 at the bottom of the opening 28 and the etching stopper film 16 at the bottom of the opening 27 are removed by a dry etching method. Thereby, the connection hole 29 and the wiring groove 30 can be formed (see FIG. 12).

【0072】その後、前記実施の形態1において図13
を用いて説明した工程と同様の工程により、接続孔29
および配線溝30内に埋め込み配線31を形成し(図1
3参照)、本実施の形態2の半導体集積回路装置を製造
する。
Then, in the first embodiment, as shown in FIG.
The connection hole 29 is subjected to the same steps as those described with reference to FIG.
And the embedded wiring 31 is formed in the wiring groove 30 (see FIG.
3), the semiconductor integrated circuit device according to the second embodiment is manufactured.

【0073】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることは言うまでも
ない。
Although the invention made by the present inventor has been specifically described based on the embodiments of the present invention, the present invention is not limited to the above-mentioned embodiments, and various modifications can be made without departing from the scope of the invention. It goes without saying that it can be changed.

【0074】たとえば、前記実施の形態においては、エ
ッチングストッパ膜としてSiC膜を用いる場合につい
て示したが、その成分中にN(窒素)を所定量含むSi
CN(炭窒化シリコン)膜を用いてもよい。
For example, in the above-described embodiment, the case where the SiC film is used as the etching stopper film has been described, but Si containing a predetermined amount of N (nitrogen) in its component is used.
A CN (silicon carbonitride) film may be used.

【0075】また、前記実施の形態においては、本発明
の半導体集積回路装置の製造方法をCMOSロジックL
SIを製造する場合について例示したが、ダマシン法を
用いた埋め込み配線形成プロセスにより製造する各種L
SIなどへの適用も可能である。
In the above embodiment, the method for manufacturing the semiconductor integrated circuit device according to the present invention is applied to the CMOS logic L.
Although the case of manufacturing the SI has been illustrated, various Ls manufactured by the embedded wiring forming process using the damascene method.
Application to SI and the like is also possible.

【0076】[0076]

【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下の通りである。 (1)酸化シリコンを主成分とする第1絶縁膜、SiC
を主成分とする第2絶縁膜および酸化シリコンを主成分
とする第3絶縁膜を積層してなる絶縁膜を、フォトレジ
スト膜からなるマスキング層をマスクとしてエッチング
する場合において、第1絶縁膜および第3絶縁膜をエッ
チングする際のエッチングガスに含まれるO2ガスの流
量を相対的に減少させ、第2絶縁膜をエッチングする際
のエッチングガスに含まれるO2ガスの流量を相対的に
増加させることにより、エッチング工程後にマスキング
層を残すことができる。すなわち、エッチング工程中に
おいて、そのマスキング層によるマスキングを確実なも
のとすることができる。 (2)酸化シリコンを主成分とする第1絶縁膜、SiC
を主成分とする第2絶縁膜および酸化シリコンを主成分
とする第3絶縁膜を積層してなる絶縁膜に形成された埋
め込み配線を有する半導体集積回路装置において、エッ
チングストッパ層としての機能を有する第2絶縁膜を窒
化シリコン膜から形成した場合に比べて、相対的にその
静電容量を低減することができるので、配線を流れる信
号の速度を高速化することができる。
The effects obtained by the typical ones of the inventions disclosed by the present application will be briefly described as follows. (1) First insulating film containing silicon oxide as a main component, SiC
In the case of etching an insulating film formed by laminating a second insulating film containing silicon as a main component and a third insulating film containing silicon oxide as a main component using a masking layer made of a photoresist film as a mask, The flow rate of O 2 gas contained in the etching gas when etching the third insulating film is relatively decreased, and the flow rate of O 2 gas contained in the etching gas when etching the second insulating film is relatively increased. This allows the masking layer to remain after the etching step. That is, masking by the masking layer can be ensured during the etching process. (2) First insulating film mainly composed of silicon oxide, SiC
In a semiconductor integrated circuit device having a buried wiring formed in an insulating film formed by stacking a second insulating film containing silicon as a main component and a third insulating film containing silicon oxide as a main component, the semiconductor integrated circuit device has a function as an etching stopper layer. As compared with the case where the second insulating film is formed of a silicon nitride film, its capacitance can be relatively reduced, so that the speed of the signal flowing through the wiring can be increased.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施の形態である半導体集積回路装
置の製造方法を示す要部断面図である。
FIG. 1 is a main-portion cross-sectional view showing a method for manufacturing a semiconductor integrated circuit device which is an embodiment of the present invention.

【図2】図1に続く半導体集積回路装置の製造工程中の
要部断面図である。
FIG. 2 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG.

【図3】図2に続く半導体集積回路装置の製造工程中の
要部断面図である。
FIG. 3 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG. 2;

【図4】図3に続く半導体集積回路装置の製造工程中の
要部断面図である。
FIG. 4 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG. 3;

【図5】図4に続く半導体集積回路装置の製造工程中の
要部断面図である。
5 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG.

【図6】図5に続く半導体集積回路装置の製造工程中の
要部断面図である。
FIG. 6 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG. 5;

【図7】図6に続く半導体集積回路装置の製造工程中の
要部断面図である。
FIG. 7 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG. 6;

【図8】図7に続く半導体集積回路装置の製造工程中の
要部断面図である。
8 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG.

【図9】図8に続く半導体集積回路装置の製造工程中の
要部断面図である。
9 is a main-portion cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 8;

【図10】エッチングガス中に含まれるO2ガスの流量
とSiC膜、SiN膜、SiO膜およびフォトレジスト
膜のエッチングレートとの関係を示した説明図である。
FIG. 10 is an explanatory diagram showing the relationship between the flow rate of O 2 gas contained in the etching gas and the etching rates of the SiC film, SiN film, SiO film, and photoresist film.

【図11】図9に続く半導体集積回路装置の製造工程中
の要部断面図である。
FIG. 11 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 9;

【図12】図11に続く半導体集積回路装置の製造工程
中の要部断面図である。
12 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG.

【図13】図12に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 13 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 12;

【図14】本発明の一実施の形態である半導体集積回路
装置におけるエッチングストッパ膜の比誘電率と静電容
量との関係を示した説明図である。
FIG. 14 is an explanatory diagram showing the relationship between the relative permittivity of the etching stopper film and the capacitance in the semiconductor integrated circuit device according to the embodiment of the present invention.

【図15】本発明の他の実施の形態である半導体集積回
路装置の製造方法を示す要部断面図である。
FIG. 15 is a fragmentary cross-sectional view showing the method of manufacturing a semiconductor integrated circuit device which is another embodiment of the present invention.

【図16】図15に続く半導体集積回路装置の製造工程
中の要部断面図である。
16 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG.

【図17】図16に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 17 is a main-portion cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 16;

【図18】図17に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 18 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 17;

【図19】図18に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 19 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 18;

【図20】図19に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 20 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 19;

【図21】図20に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 21 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 20;

【図22】図21に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 22 is a cross-sectional view of essential parts in the process of manufacturing a semiconductor integrated circuit device, following FIG. 21.

【図23】図22に続く半導体集積回路装置の製造工程
中の要部断面図である。
FIG. 23 is a fragmentary cross-sectional view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 22;

【符号の説明】 1 半導体基板 2 素子分離溝 3 p型ウエル 4 n型ウェル 5 ゲート絶縁膜 6 ゲート電極 7 n型半導体領域(ソース、ドレイン) 8 p型半導体領域(ソース、ドレイン) 9 層間絶縁膜 10 コンタクトホール 11 プラグ 12 エッチングストッパ膜 13 層間絶縁膜 14 配線溝 15 埋め込み配線 15A バリア導体膜 15B 導電性膜 16 エッチングストッパ膜(第4絶縁膜) 17 絶縁膜(第1絶縁膜) 18 キャップ絶縁膜(第1絶縁膜) 19 エッチングストッパ膜(第2絶縁膜) 20 絶縁膜(第3絶縁膜) 21 キャップ絶縁膜(第3絶縁膜) 22 エッチングストッパ膜(第5絶縁膜) 23 反射防止膜 24 フォトレジスト膜(第1マスキング層) 25 反射防止膜 25A 反射防止膜(第6絶縁膜) 26 フォトレジスト膜(マスキング層、第2マスキン
グ層) 26A フォトレジスト膜(第3マスキング層) 27 開孔部(第1開孔部) 28 開口部(第1開口部) 29 接続孔 30 配線溝 31 埋め込み配線 31A バリア導体膜 31B 導電性膜 Qn nチャネル型MISFET Qp pチャネル型MISFET
[Description of Reference Signs] 1 semiconductor substrate 2 element isolation groove 3 p-type well 4 n-type well 5 gate insulating film 6 gate electrode 7 n-type semiconductor region (source, drain) 8 p-type semiconductor region (source, drain) 9 interlayer insulation Film 10 Contact hole 11 Plug 12 Etching stopper film 13 Interlayer insulating film 14 Wiring groove 15 Embedded wiring 15A Barrier conductor film 15B Conductive film 16 Etching stopper film (fourth insulating film) 17 Insulating film (first insulating film) 18 Cap insulation Film (first insulating film) 19 Etching stopper film (second insulating film) 20 Insulating film (third insulating film) 21 Cap insulating film (third insulating film) 22 Etching stopper film (fifth insulating film) 23 Antireflection film 24 Photoresist Film (First Masking Layer) 25 Antireflection Film 25A Antireflection Film (Sixth Insulation Film) 26 Photoresist Film (masking layer, second masking layer) 26A Photoresist film (third masking layer) 27 Opening part (first opening part) 28 Opening part (first opening part) 29 Connection hole 30 Wiring groove 31 Embedded wiring 31A Barrier conductor film 31B Conductive film Qn n-channel type MISFET Qp p-channel type MISFET

───────────────────────────────────────────────────── フロントページの続き (72)発明者 榎本 裕之 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 4M104 AA01 BB01 BB04 BB17 BB30 BB31 BB40 CC01 DD08 DD15 DD16 DD22 DD37 DD38 DD43 DD52 DD53 DD72 DD75 DD78 EE08 EE12 EE14 FF13 FF17 FF18 FF22 GG09 GG10 GG14 HH05 HH08 HH13 HH20 5F004 CA02 DA01 DA02 DA03 DA15 DA16 DA22 DA23 DA25 DA26 DA30 DB03 DB12 EA22 EA23 EB01 EB03 5F033 HH04 HH11 HH12 HH19 HH21 HH32 HH33 HH34 JJ01 JJ11 JJ12 JJ19 JJ21 JJ32 JJ33 KK01 KK11 KK12 KK21 KK32 KK33 LL04 MM01 MM02 MM08 MM12 MM13 NN06 NN07 PP06 PP15 PP16 PP17 PP21 PP27 PP28 PP33 QQ03 QQ04 QQ09 QQ11 QQ14 QQ15 QQ25 QQ37 QQ48 QQ58 QQ65 QQ73 QQ75 QQ98 RR01 RR04 RR11 SS11 SS15 TT02 WW06 XX02 XX13 XX24 XX27 XX28    ─────────────────────────────────────────────────── ─── Continued front page    (72) Inventor Hiroyuki Enomoto             3 shares at 6-16 Shinmachi, Ome City, Tokyo             Hitachi Device Development Center F-term (reference) 4M104 AA01 BB01 BB04 BB17 BB30                       BB31 BB40 CC01 DD08 DD15                       DD16 DD22 DD37 DD38 DD43                       DD52 DD53 DD72 DD75 DD78                       EE08 EE12 EE14 FF13 FF17                       FF18 FF22 GG09 GG10 GG14                       HH05 HH08 HH13 HH20                 5F004 CA02 DA01 DA02 DA03 DA15                       DA16 DA22 DA23 DA25 DA26                       DA30 DB03 DB12 EA22 EA23                       EB01 EB03                 5F033 HH04 HH11 HH12 HH19 HH21                       HH32 HH33 HH34 JJ01 JJ11                       JJ12 JJ19 JJ21 JJ32 JJ33                       KK01 KK11 KK12 KK21 KK32                       KK33 LL04 MM01 MM02 MM08                       MM12 MM13 NN06 NN07 PP06                       PP15 PP16 PP17 PP21 PP27                       PP28 PP33 QQ03 QQ04 QQ09                       QQ11 QQ14 QQ15 QQ25 QQ37                       QQ48 QQ58 QQ65 QQ73 QQ75                       QQ98 RR01 RR04 RR11 SS11                       SS15 TT02 WW06 XX02 XX13                       XX24 XX27 XX28

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 (a)半導体基板上に下層から酸化シリ
コンを主成分とする第1絶縁膜、炭化シリコンを主成分
とする第2絶縁膜および酸化シリコンを主成分とする第
3絶縁膜を積層する工程、(b)前記第3絶縁膜上に感
光性のマスキング層を形成する工程、(c)前記マスキ
ング層をマスクとし、フロロカーボン系ガス、酸素系ガ
スおよび不活性ガスを含む第1エッチングガスを用いて
前記第3絶縁膜をエッチングする工程、(d)前記マス
キング層をマスクとし、フロロカーボン系ガス、酸素系
ガスおよび不活性ガスを含む第2エッチングガスを用い
て前記第2絶縁膜をエッチングする工程、(e)前記マ
スキング層をマスクとし、フロロカーボン系ガス、酸素
系ガスおよび不活性ガスを含む第1エッチングガスを用
いて前記第1絶縁膜をエッチングする工程、を含み、前
記第1エッチングガスが含む酸素系ガスの流量は、前記
第2エッチングガスが含む酸素系ガスの流量より相対的
に小さく、前記(e)工程後に前記マスキング層を残す
流量とすることを特徴とする半導体集積回路装置の製造
方法。
(A) A first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component, and a third insulating film containing silicon oxide as a main component are formed on a semiconductor substrate from the lower layer. Stacking step, (b) forming a photosensitive masking layer on the third insulating film, and (c) using the masking layer as a mask, a first etching containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas. Etching the third insulating film with a gas, (d) using the masking layer as a mask, and etching the second insulating film with a second etching gas containing a fluorocarbon-based gas, an oxygen-based gas, and an inert gas. Etching step, (e) the first insulating film using the masking layer as a mask and a first etching gas containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas And a flow rate of the oxygen-based gas included in the first etching gas is relatively smaller than a flow rate of the oxygen-based gas included in the second etching gas, and the masking layer is formed after the step (e). A method of manufacturing a semiconductor integrated circuit device, characterized in that the flow rate is left.
【請求項2】 (a)半導体基板上に下層から酸化シリ
コンを主成分とする第1絶縁膜、炭化シリコンを主成分
とする第2絶縁膜および酸化シリコンを主成分とする第
3絶縁膜を積層する工程、(b)前記第3絶縁膜上に感
光性のマスキング層を形成する工程、(c)前記マスキ
ング層をマスクとし、フロロカーボン系ガス、酸素系ガ
スおよび不活性ガスを含む第1エッチングガスを用いて
前記第3絶縁膜をエッチングする工程、(d)前記マス
キング層をマスクとし、フロロカーボン系ガス、酸素系
ガスおよび不活性ガスを含む第2エッチングガスを用い
て前記第2絶縁膜をエッチングする工程、(e)前記マ
スキング層をマスクとし、フロロカーボン系ガス、酸素
系ガスおよび不活性ガスを含む第1エッチングガスを用
いて前記第1絶縁膜をエッチングする工程、を含み、前
記第1エッチングガスにおいて前記酸素系ガスの流量は
前記フロロカーボン系ガスの流量の1.5倍以下とし、
前記第2エッチングガスにおいて前記酸素系ガスの流量
は前記フロロカーボン系ガスの流量の0.5倍以上とす
ることを特徴とする半導体集積回路装置の製造方法。
2. (a) On a semiconductor substrate, a first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component, and a third insulating film containing silicon oxide as a main component are formed from a lower layer on the semiconductor substrate. Stacking step, (b) forming a photosensitive masking layer on the third insulating film, and (c) using the masking layer as a mask, a first etching containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas. Etching the third insulating film with a gas, (d) using the masking layer as a mask, and etching the second insulating film with a second etching gas containing a fluorocarbon-based gas, an oxygen-based gas, and an inert gas. Etching step, (e) the first insulating film using the masking layer as a mask and a first etching gas containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas And a flow rate of the oxygen-based gas in the first etching gas is not more than 1.5 times the flow rate of the fluorocarbon-based gas,
The method for manufacturing a semiconductor integrated circuit device, wherein the flow rate of the oxygen-based gas in the second etching gas is 0.5 times or more the flow rate of the fluorocarbon-based gas.
【請求項3】 (a)半導体基板上に下層から炭化シリ
コンを主成分とする第4絶縁膜、酸化シリコンを主成分
とする第1絶縁膜、炭化シリコンを主成分とする第2絶
縁膜、酸化シリコンを主成分とする第3絶縁膜および炭
化シリコンを主成分とする第5絶縁膜を積層する工程、
(b)前記第5絶縁膜上に感光性の第1マスキング層を
形成する工程、(c)前記第1マスキング層をマスクと
して前記第5絶縁膜をエッチングする工程、(d)前記
第1マスキング層を除去後、前記第3絶縁膜および前記
第5絶縁膜上に感光性の第2マスキング層を形成する工
程、(e)前記第2マスキング層をマスクとし、フロロ
カーボン系ガス、酸素系ガスおよび不活性ガスを含む第
1エッチングガスを用いて前記第3絶縁膜をエッチング
する工程、(f)前記第2マスキング層をマスクとし、
フロロカーボン系ガス、酸素系ガスおよび不活性ガスを
含む第2エッチングガスを用いて前記第2絶縁膜をエッ
チングする工程、(g)前記第2マスキング層をマスク
とし、フロロカーボン系ガス、酸素系ガスおよび不活性
ガスを含む第1エッチングガスを用いて前記第1絶縁膜
をエッチングし、第1開孔部を形成する工程、(h)前
記第2マスキング層を除去後、残った前記第5絶縁膜を
マスクとして前記第3絶縁膜をエッチングし、第1開口
部を形成する工程、(i)前記第1開口部の底部の前記
第2絶縁膜および前記第1開孔部の底部の前記第4絶縁
膜を除去し、配線溝および接続孔を形成する工程、を含
み、前記第1エッチングガスが含む酸素系ガスの流量
は、前記第2エッチングガスが含む酸素系ガスの流量よ
り相対的に小さく、前記(g)工程後に前記マスキング
層を残す流量とすることを特徴とする半導体集積回路装
置の製造方法。
3. (a) A fourth insulating film containing silicon carbide as a main component, a first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component, from the lower layer on a semiconductor substrate. Stacking a third insulating film containing silicon oxide as a main component and a fifth insulating film containing silicon carbide as a main component,
(B) forming a photosensitive first masking layer on the fifth insulating film, (c) etching the fifth insulating film using the first masking layer as a mask, (d) the first masking A step of forming a photosensitive second masking layer on the third insulating film and the fifth insulating film after removing the layer; (e) using the second masking layer as a mask, a fluorocarbon-based gas, an oxygen-based gas, and Etching the third insulating film using a first etching gas containing an inert gas, (f) using the second masking layer as a mask,
A step of etching the second insulating film with a second etching gas containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas; (g) using the second masking layer as a mask, the fluorocarbon-based gas, the oxygen-based gas and A step of etching the first insulating film using a first etching gas containing an inert gas to form a first opening, (h) the fifth insulating film remaining after removing the second masking layer Etching the third insulating film using the as a mask to form a first opening, (i) the second insulating film at the bottom of the first opening and the fourth opening at the bottom of the first opening. Removing the insulating film and forming a wiring groove and a connection hole, the flow rate of the oxygen-based gas included in the first etching gas is relatively smaller than the flow rate of the oxygen-based gas included in the second etching gas. , Serial (g) A method of manufacturing a semiconductor integrated circuit device, characterized in that said the flow leaving the masking layer after the step.
【請求項4】 (a)半導体基板上に下層から炭化シリ
コンを主成分とする第4絶縁膜、酸化シリコンを主成分
とする第1絶縁膜、炭化シリコンを主成分とする第2絶
縁膜および酸化シリコンを主成分とする第3絶縁膜を積
層する工程、(b)前記第3絶縁膜上に感光性の第2マ
スキング層を形成する工程、(c)前記第2マスキング
層をマスクとし、フロロカーボン系ガス、酸素系ガスお
よび不活性ガスを含む第1エッチングガスを用いて前記
第3絶縁膜をエッチングする工程、(d)前記第2マス
キング層をマスクとし、フロロカーボン系ガス、酸素系
ガスおよび不活性ガスを含む第2エッチングガスを用い
て前記第2絶縁膜をエッチングする工程、(e)前記第
2マスキング層をマスクとし、フロロカーボン系ガス、
酸素系ガスおよび不活性ガスを含む第1エッチングガス
を用いて前記第1絶縁膜をエッチングし、第1開孔部を
形成する工程、(f)前記第2マスキング層を除去後、
前記第1開孔部を埋め込む第6絶縁膜を前記第3絶縁膜
上に形成する工程、(g)前記第6絶縁膜上に感光性の
第3マスキング層を形成する工程、(h)前記第3マス
キング層をマスクとして前記第3絶縁膜上の前記第6絶
縁膜をエッチングする工程、(i)前記第3マスキング
層をマスクとして、前記第3絶縁膜をエッチングし、第
1開口部を形成する工程、(j)前記第3マスキング層
および前記第1開孔部を埋め込んでいる前記第3絶縁膜
を除去する工程、(k)前記第1開口部の底部の前記第
2絶縁膜および前記第1開孔部の底部の前記第4絶縁膜
を除去し、配線溝および接続孔を形成する工程、を含
み、前記第1エッチングガスが含む酸素系ガスの流量
は、前記第2エッチングガスが含む酸素系ガスの流量よ
り相対的に小さく、前記(e)工程後に前記マスキング
層を残す流量とすることを特徴とする半導体集積回路装
置の製造方法。
4. (a) A fourth insulating film containing silicon carbide as a main component, a first insulating film containing silicon oxide as a main component, a second insulating film containing silicon carbide as a main component, and Stacking a third insulating film containing silicon oxide as a main component, (b) forming a photosensitive second masking layer on the third insulating film, (c) using the second masking layer as a mask, A step of etching the third insulating film using a first etching gas containing a fluorocarbon-based gas, an oxygen-based gas and an inert gas; (d) using the second masking layer as a mask, a fluorocarbon-based gas, an oxygen-based gas and A step of etching the second insulating film using a second etching gas containing an inert gas; (e) a fluorocarbon-based gas using the second masking layer as a mask;
Etching the first insulating film with a first etching gas containing an oxygen-based gas and an inert gas to form a first opening, (f) after removing the second masking layer,
Forming a sixth insulating film filling the first opening on the third insulating film, (g) forming a photosensitive third masking layer on the sixth insulating film, (h) the Etching the sixth insulating film on the third insulating film using the third masking layer as a mask, (i) etching the third insulating film using the third masking layer as a mask, and opening the first opening. Forming step; (j) removing the third masking layer and the third insulating film filling the first opening; (k) the second insulating film at the bottom of the first opening; Removing the fourth insulating film at the bottom of the first opening to form a wiring groove and a connection hole, and the flow rate of the oxygen-based gas contained in the first etching gas is the second etching gas. Is relatively smaller than the flow rate of oxygen-containing gas contained in (E) The method of manufacturing a semiconductor integrated circuit device, characterized in that said the flow leaving the masking layer after the step.
【請求項5】 半導体基板の主面上に形成された配線を
有し、前記配線上には下層から炭化シリコンを主成分と
する第4絶縁膜、酸化シリコンを主成分とする第1絶縁
膜、炭化シリコンを主成分とする第2絶縁膜および酸化
シリコンを主成分とする第3絶縁膜が形成され、前記第
3絶縁膜および前記第2絶縁膜には配線形成用の配線溝
が形成され、前記配線溝の底部から前記配線に達する接
続孔が形成されていることを特徴とする半導体集積回路
装置。
5. A wiring formed on a main surface of a semiconductor substrate, and a fourth insulating film containing silicon carbide as a main component and a first insulating film containing silicon oxide as a main component from the lower layer on the wiring. A second insulating film containing silicon carbide as a main component and a third insulating film containing silicon oxide as a main component are formed, and wiring grooves for forming wiring are formed in the third insulating film and the second insulating film. A semiconductor integrated circuit device, wherein a connection hole reaching from the bottom of the wiring groove to the wiring is formed.
JP2001195987A 2001-06-28 2001-06-28 Semiconductor integrated circuit device and manufacturing method therefor Pending JP2003017467A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001195987A JP2003017467A (en) 2001-06-28 2001-06-28 Semiconductor integrated circuit device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001195987A JP2003017467A (en) 2001-06-28 2001-06-28 Semiconductor integrated circuit device and manufacturing method therefor

Publications (1)

Publication Number Publication Date
JP2003017467A true JP2003017467A (en) 2003-01-17

Family

ID=19033873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001195987A Pending JP2003017467A (en) 2001-06-28 2001-06-28 Semiconductor integrated circuit device and manufacturing method therefor

Country Status (1)

Country Link
JP (1) JP2003017467A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005109465A (en) * 2003-09-12 2005-04-21 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
CN102270600A (en) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 Forming method of through hole
KR20150112750A (en) * 2014-03-28 2015-10-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor structure with anti-etch structure in via and method for manufacturing the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005109465A (en) * 2003-09-12 2005-04-21 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
JP4689218B2 (en) * 2003-09-12 2011-05-25 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
CN102270600A (en) * 2010-06-04 2011-12-07 中芯国际集成电路制造(上海)有限公司 Forming method of through hole
KR20150112750A (en) * 2014-03-28 2015-10-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor structure with anti-etch structure in via and method for manufacturing the same
KR101697831B1 (en) 2014-03-28 2017-01-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor structure with anti-etch structure in via and method for manufacturing the same

Similar Documents

Publication Publication Date Title
JP4198906B2 (en) Semiconductor device and manufacturing method of semiconductor device
US7727888B2 (en) Interconnect structure and method for forming the same
US6627539B1 (en) Method of forming dual-damascene interconnect structures employing low-k dielectric materials
US6355555B1 (en) Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6706626B2 (en) Method of fabricating contact plug
JP2003520448A (en) Dielectric formation to seal holes in etched low dielectric constant materials
JP2011014904A (en) Via gouged interconnect structure, and method of fabricating the same
TWI662569B (en) Structure and formation method of semiconductor device with capacitors
US10396032B2 (en) Semiconductor structures
JP2003100870A (en) Damascene cap layer method for integrated circuit wiring
US6610594B2 (en) Locally increasing sidewall density by ion implantation
US6541863B1 (en) Semiconductor device having a reduced signal processing time and a method of fabricating the same
JP4340729B2 (en) Semiconductor device and manufacturing method thereof
JP2006324584A (en) Semiconductor device and manufacturing method thereof
JP2000164707A (en) Semiconductor device and manufacture thereof
CN116130411A (en) Semiconductor manufacturing method with copper diffusion preventing structure
JP2000174019A (en) Semiconductor device and manufacture thereof
JP2003017467A (en) Semiconductor integrated circuit device and manufacturing method therefor
JP2006135363A (en) Semiconductor device and method of manufacturing the semiconductor device
US6995085B2 (en) Underlayer protection for the dual damascene etching
JP2009027048A (en) Manufacturing method of semiconductor device
JP2004179297A (en) Semiconductor integrated circuit device
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2005203568A (en) Fabrication process of semiconductor device, and semiconductor device
JP2004289009A (en) Method for manufacturing semiconductor device