JP4523995B2 - Method for manufacturing field effect transistor - Google Patents

Method for manufacturing field effect transistor Download PDF

Info

Publication number
JP4523995B2
JP4523995B2 JP2009268467A JP2009268467A JP4523995B2 JP 4523995 B2 JP4523995 B2 JP 4523995B2 JP 2009268467 A JP2009268467 A JP 2009268467A JP 2009268467 A JP2009268467 A JP 2009268467A JP 4523995 B2 JP4523995 B2 JP 4523995B2
Authority
JP
Japan
Prior art keywords
module
substrate
film
metal
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009268467A
Other languages
Japanese (ja)
Other versions
JP2010093276A (en
Inventor
ウィクラマナヤカ スニル
求 小須田
直樹 山田
尚武 北野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to JP2009268467A priority Critical patent/JP4523995B2/en
Publication of JP2010093276A publication Critical patent/JP2010093276A/en
Application granted granted Critical
Publication of JP4523995B2 publication Critical patent/JP4523995B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、金属酸化膜半導体電界効果トランジスタ(MOSFET)の製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法に関する。特に、本発明は、MOSFETの製造においてhigh−K誘電膜と金属ゲートとの界面を向上させるための方法に関する。また、本発明は、前記方法での使用に適した基板処理システムに関する。   The present invention relates to a method for depositing a metal gate on a high-K dielectric film in the manufacture of a metal oxide semiconductor field effect transistor (MOSFET). In particular, the present invention relates to a method for improving the interface between a high-K dielectric film and a metal gate in the manufacture of MOSFETs. The present invention also relates to a substrate processing system suitable for use in the method.

半導体基板上に形成される殆どの複合集積回路(IC)の基本的デバイスは、金属酸化膜半導体(MOS)トランジスタである。これらのトランジスタは、一般に、金属酸化膜半導体電界効果トランジスタ(以下、MOSFETと称する)と呼ばれている。   The basic device of most composite integrated circuits (ICs) formed on a semiconductor substrate is a metal oxide semiconductor (MOS) transistor. These transistors are generally called metal oxide semiconductor field effect transistors (hereinafter referred to as MOSFETs).

図15は、参照符号100で示されるMOSFETの簡単な図の一例を示している。図15において、MOSFET100は、半導体101と、ゲート誘電体(ゲート酸化膜)104と、ゲート電極105と、ソース領域102と、ドレイン領域103とから成る。動作中、ゲート誘電体104の下側のチャンネル領域107に電界が加えられることにより、トランジスタがONおよびOFFに切り換えられる。   FIG. 15 shows an example of a simple diagram of a MOSFET denoted by reference numeral 100. In FIG. 15, the MOSFET 100 includes a semiconductor 101, a gate dielectric (gate oxide film) 104, a gate electrode 105, a source region 102, and a drain region 103. In operation, an electric field is applied to the channel region 107 below the gate dielectric 104 to switch the transistor on and off.

集積回路(IC)の性能を向上させるため、ICのデザインルールまたは最小特徴サイズが徐々に減少されている。デザインルールの縮小に伴って、新たな材料および蒸着技術が重要となる。例えば、ゲート長(G)(参照符号106で示されている)の減少に伴ってゲート酸化膜の厚さ(tox)が減少する。この場合、tox=0.018Gという関係を持つ。これは、半導体101とゲート電極105との間を高いキャパシタンスに維持するために重要である。 In order to improve integrated circuit (IC) performance, IC design rules or minimum feature sizes are gradually reduced. As design rules shrink, new materials and vapor deposition techniques become important. For example, the gate oxide thickness (t ox ) decreases with decreasing gate length (G L ) (indicated by reference numeral 106). In this case, with the relationship of t ox = 0.018G L. This is important for maintaining a high capacitance between the semiconductor 101 and the gate electrode 105.

ゲート酸化膜104の薄肉化においては、従来の誘電材料(SiO,SiON)をもはや適用できない。これは、これらの材料から成る非常に薄い膜が異なる電気的特性(例えば漏れ電流が大きい)を示すからである。 In thinning the gate oxide film 104, conventional dielectric materials (SiO 2 , SiON) can no longer be applied. This is because very thin films of these materials exhibit different electrical characteristics (eg, high leakage current).

そのため、ゲート誘電体(ゲート酸化膜)を、その誘電率がSiOの誘電率よりも高い新たな誘電材料に取って代えなければならない。これにより、キャパシタンスを補償することなく更に厚い膜を使用することが容易になる。 Therefore, the gate dielectric (gate oxide) must be replaced with a new dielectric material whose dielectric constant is higher than that of SiO 2 . This makes it easier to use thicker films without compensating capacitance.

これらの高誘電率材料はhigh−K誘電体と呼ばれている。例えば、HfO、HfSiO、HfAlOはhigh−K誘電体と見なされている。 These high dielectric constant materials are called high-K dielectrics. For example, HfO 2 , HfSiO, HfAlO are considered high-K dielectrics.

high−K誘電体を使用すると、ポリシリコン等の従来のゲート電極材料を異なる材料に取って代えなければならない。これは2つの理由による。すなわち、第1の理由は、ポリシリコンが殆どのhigh−K誘電体に適合しないからである。第2の理由は、ポリシリコンを使用すると、ポリシリコンとhigh−Kとの界面に空乏領域が形成され、それにより、等価酸化膜厚(EOT)が大きくなり、キャパシタンスが低下する。   If high-K dielectrics are used, conventional gate electrode materials such as polysilicon must be replaced with different materials. This is due to two reasons. That is, the first reason is that polysilicon is not compatible with most high-K dielectrics. Second, when polysilicon is used, a depletion region is formed at the interface between polysilicon and high-K, thereby increasing the equivalent oxide thickness (EOT) and lowering the capacitance.

純金属、金属合金、金属窒化物、または、金属合金窒化物は、通常、high−K誘電体と共に使用されるゲート電極において考慮される。   Pure metals, metal alloys, metal nitrides, or metal alloy nitrides are typically considered in gate electrodes used with high-K dielectrics.

現在、high−Kおよび金属ゲートは、例えば以下のチャートに示される手順にしたがって製造される。   Currently, high-K and metal gates are manufactured, for example, according to the procedure shown in the following chart.

1.希釈されたHF溶液を用いてSi基板を洗浄する
2.窒素中でウエハを乾燥させる
3.熱SiOを蒸着する(〜1nm)
4.Hf(またはHfO)を蒸着する
5.熱アニーリングを行なう
6.金属ゲートを蒸着する
7.熱アニーリングを行なう。
1. 1. Wash the Si substrate with diluted HF solution. 2. Dry the wafer in nitrogen. Evaporate thermal SiO 2 (~ 1nm)
4). 4. Deposit Hf (or HfO 2 ) 5. Perform thermal annealing. 6. Deposit metal gate. Perform thermal annealing.

前記手順に記載されたステップ3を削除しても良く、その代わり、表面処理されたSi上にHfまたはHfOが直接に蒸着される。また、前述した手順は、high−K誘電体としてHfOを使用して説明される。しかしながら、任意の他のhigh−K材料、例えばHfSiO,HfSiON,HfAlOを誘電体として選択することができる。 Step 3 described in the procedure may be omitted, instead Hf or HfO 2 is directly deposited on the surface treated Si. Also, the procedure described above is described using HfO 2 as a high-K dielectric. However, any other high-K material, such as HfSiO, HfSiON, HfAlO, can be selected as the dielectric.

図14は、中央ウエハ処理台3に取り付けられたCVDモジュール40およびウエハ搬入/搬出装置フロントエンドモジュール13を示す概略図である。CVDモジュール40は、有機金属化学気相成長法(MOCVD)モジュールまたは原子層蒸着(ALD)モジュールであっても良い。   FIG. 14 is a schematic view showing the CVD module 40 and the wafer loading / unloading device front end module 13 attached to the central wafer processing table 3. The CVD module 40 may be a metal organic chemical vapor deposition (MOCVD) module or an atomic layer deposition (ALD) module.

MOCVDプロセスにおいては有機金属ガスが使用される。有機金属ガスには2つの塩基性基が存在する。すなわち、例えばHf系誘電体を蒸着する際、i)HfCl等のハロゲン化物系ガスを使用することができ、または、ii)C1640Hf(テトラキス・ジエチルアミノ・ハフニウム)等のカーボン系ガスを使用することができる。 An organometallic gas is used in the MOCVD process. There are two basic groups in the organometallic gas. That is, for example, when depositing a Hf-based dielectric, i) a halide-based gas such as HfCl 4 can be used, or ii) carbon such as C 16 H 40 N 4 Hf (tetrakis, diethylamino, hafnium). System gases can be used.

ALD蒸着においては、2つのガスがCVDモジュール40内に交互に導入される。一般的に前駆ガスと呼ばれている第1のガスがCVDモジュール40内に導入されると、前駆分子が基板表面に付着する。第2のガスがCVDモジュール40内に導入されると、この第2のガスが表面に付着した前駆分子と反応し、誘電膜を形成する。この処理は、所望の厚さを有する膜が形成されるまで続く。   In ALD deposition, two gases are alternately introduced into the CVD module 40. When a first gas, generally called a precursor gas, is introduced into the CVD module 40, the precursor molecules adhere to the substrate surface. When the second gas is introduced into the CVD module 40, the second gas reacts with the precursor molecules attached to the surface to form a dielectric film. This process continues until a film having the desired thickness is formed.

任意のCVD(ALDまたはMOCVD)プロセスにおいては、不純汚染物が最も大きな問題である。   In any CVD (ALD or MOCVD) process, impurity contamination is the biggest problem.

例えば、第1に、MOCVDにおいては、ハロゲン化物またはカーボンがウエハを汚染する。ALDプロセスにおいても、前駆ガスからのカーボンが膜を汚染する。誘電膜中の不純物濃度が高いと、漏れ電流およびスレショルド電圧シフトが大きくなり、MOSFETデバイスにおけるチャンネル領域107(図15)内での電子の移動性が高まる。   For example, first, in MOCVD, halides or carbon contaminate the wafer. Also in the ALD process, carbon from the precursor gas contaminates the film. When the impurity concentration in the dielectric film is high, leakage current and threshold voltage shift increase, and the mobility of electrons in the channel region 107 (FIG. 15) in the MOSFET device increases.

第2に、任意のCVD(MOCVDまたはALD)プロセスにおいては、ウエハを400℃等の高温まで加熱しなければならない。基板表面上における温度の均一性は、膜の均一性に対して直接に影響を与える。温度が不均一になると、誘電膜が不均一になり、それにより、MOSFETデバイスに欠陥が生じ、すなわち、ウエハ毎の歩留りが低下する(良好なMOSFETの数が少なくなる)。   Second, in any CVD (MOCVD or ALD) process, the wafer must be heated to a high temperature, such as 400 ° C. The temperature uniformity on the substrate surface directly affects the film uniformity. If the temperature becomes non-uniform, the dielectric film becomes non-uniform, thereby causing defects in the MOSFET device, i.e., reducing the yield per wafer (reducing the number of good MOSFETs).

第3に、特にALD方法においてスループットが低下して、経済的な実現可能性が限られてくる。ALDプロセスにおいては、2つのガスの切り換えに伴って膜が成長し、そのため、蒸着速度が遅い。High−K誘電材料の必要な膜厚は、通常、10〜40オングストロームである。これらの蒸着速度および膜厚が考慮されると、スループットは1時間当たりのウエハが10個未満となる。   Third, especially in the ALD method, the throughput is reduced and the economical feasibility is limited. In the ALD process, the film grows with the switching of the two gases, so the deposition rate is slow. The required film thickness of the High-K dielectric material is typically 10-40 angstroms. Considering these deposition rates and film thicknesses, the throughput is less than 10 wafers per hour.

第4に、前駆物質が高価であり、前駆物質の利用効率が低いため、CVD法のランニングコストが高い。これによってもCVD法の経済的実現可能性が限られてしまう。   Fourthly, since the precursor is expensive and the utilization efficiency of the precursor is low, the running cost of the CVD method is high. This also limits the economic feasibility of the CVD method.

特開平6−29248号公報JP-A-6-29248 特開平4−225223号公報JP-A-4-225223 特開平9−148246号公報JP-A-9-148246 特開2000−232077号公報JP 2000-232077 A

ポリシリコン/金属酸化膜界面でのフェルミ準位ピンニング(C. Hobbs, L. Fonseca, V. Dhanadapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hedge, D. Gilmer, R. Garcia, D. Raon, L. Lovejoy, R. Rai, L. Herbert, h. Tseng, B. White, P. Tobin VLSI技術のシンポジウム、2003年、9〜10頁)Fermi level pinning at the polysilicon / metal oxide interface (C. Hobbs, L. Fonseca, V. Danapadani, S. Samavedam, B. Taylor, J. Grant, L. Dip, R. Triedo, R. Triedo. D. Gilmer, R. Garcia, D. Raon, L. Lovejoy, R. Rai, L. Herbert, h. Tseng, B. White, P. Tobin VLSI Technology Symposium, 2003, pages 9-10) 電気用途におけるランタンアルミニウム酸化物ナノ積層体の原子層蒸着、Booyong S. Lim, Antti Rahtu, Philippe de Rouffignac, Roy G. Gordon 応用物理学の学術誌レター、第84巻、3957〜59頁Atomic layer deposition of lanthanum aluminum oxide nanolaminates for electrical applications, Boyong S. Lim, Anti Rahtu, Philippe de Rouffignac, Roy G. Gordon Journal of Applied Physics, Letter 84, pages 3957-59 45nmノードにおけるカッパチャレンジ、ピーターシンガー半導体インターナショナルKappa Challenge at 45nm Node, Peter Singer Semiconductor International

high−K誘電膜および金属ゲートの製造においては、Siとhigh−K誘電膜との間の下側界面およびhigh−K誘電膜と金属ゲートとの間の上側界面の品質が重要である。   In manufacturing a high-K dielectric film and a metal gate, the quality of the lower interface between Si and the high-K dielectric film and the upper interface between the high-K dielectric film and the metal gate is important.

特に上側界面の品質は、ピンニング効果に起因して、電子の移動性およびスレショルド電圧(Vth)シフトに影響を与える。   In particular, the quality of the upper interface affects the mobility of electrons and the threshold voltage (Vth) shift due to the pinning effect.

電子の移動性を高め且つVthシフトを最小限に抑えるためには、界面トラップ密度を低くしなければならない。 In order to increase electron mobility and minimize Vth shift, the interface trap density must be reduced.

界面トラップ密度は、high−K誘電体および金属ゲートの材料の品質および製造プロセスによって決まる。   The interface trap density depends on the quality of the high-K dielectric and metal gate material and the manufacturing process.

従来、一般に別個のアニーリングシステム中で行なわれるhigh−K誘電体の熱アニーリング後、ウエハは、金属ゲート蒸着システム内に配置されるまで通常の大気に晒される。   Conventionally, after thermal annealing of a high-K dielectric, typically performed in a separate annealing system, the wafer is exposed to normal atmosphere until placed in a metal gate deposition system.

通常、high−K誘電体は、良好な熱安定性を示すが、通常の大気に晒された後、誘電材料に応じて異なる化学的特性を示す。   High-K dielectrics typically exhibit good thermal stability, but exhibit different chemical properties depending on the dielectric material after exposure to normal air.

例えば、HfOがhigh−Kとして選択される場合、Siとhigh−Kとの界面でSiO層を成長させることができる。これは、酸素がHfO膜にわたって拡散するからである。この界面SiOの厚さは、通常の大気に晒される時間に応じて変化するため、信頼性の問題が生じる。 For example, when HfO 2 is selected as high-K, a SiO 2 layer can be grown at the interface between Si and high-K. This is because oxygen diffuses across the HfO 2 film. Since the thickness of the interface SiO 2 changes according to the time of exposure to normal air, a problem of reliability arises.

LaOまたはその合金がhigh−Kとして使用される場合、大気に晒された際に水分が膜中に吸収される。したがって、これにより、膜中および界面のトラップ密度が変化する。大気に晒された後におけるこれらの全ての変化により膜質が低下し、それにより、最終製品となる半導体デバイスの性能が低下する。   When LaO or an alloy thereof is used as high-K, moisture is absorbed into the film when exposed to the atmosphere. Therefore, this changes the trap density in the film and at the interface. All of these changes after exposure to air degrade the film quality, thereby reducing the performance of the final semiconductor device.

したがって、本発明の目的は、金属酸化膜半導体電界効果トランジスタ(MOSFET)の製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法を提供することにより、high−K誘電膜および金属ゲート材料の品質を向上させ、それにより、電子の移動性を向上させてVthシフトを最小限に抑えることである。   Accordingly, it is an object of the present invention to provide a method for depositing a metal gate on a high-K dielectric film in the manufacture of a metal oxide semiconductor field effect transistor (MOSFET), thereby providing a high-K dielectric film and a metal gate. It is to improve the quality of the material, thereby improving electron mobility and minimizing the Vth shift.

また、本発明の他の目的は、MOSFETの製造においてhigh−K誘電膜と金属ゲートとの界面を向上させる方法を提供することにより、界面トラップ密度を低くでき、それにより、電子の移動性を向上させてVthシフトを最小限に抑えることである。 Another object of the present invention is to provide a method for improving the interface between a high-K dielectric film and a metal gate in the manufacture of a MOSFET, thereby reducing the interface trap density, thereby reducing the mobility of electrons. The improvement is to minimize the Vth shift.

本発明の更なる目的は、前記方法での使用に適した基板処理システムを提供することである。   It is a further object of the present invention to provide a substrate processing system suitable for use in the method.

前記目的を達成するため、本発明の第1の態様は、MOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法であって、熱アニーリングモジュール内で、その上にhigh−K誘電膜が蒸着された基板をアニールするアニーリングステップと、金属ゲート蒸着モジュール内で、前記アニールされた基板上に金属ゲート材料を蒸着させる蒸着ステップとを含み、真空を破ることなく、前記アニーリングステップおよび前記蒸着ステップが連続的に行なわれることを特徴とする方法を提供する。   In order to achieve the above object, a first aspect of the present invention is a method for depositing a metal gate on a high-K dielectric film in the manufacture of a MOSFET, in a thermal annealing module, on which high- An annealing step of annealing a substrate having a K dielectric film deposited thereon and a deposition step of depositing a metal gate material on the annealed substrate in a metal gate deposition module without breaking the vacuum. And providing a method characterized in that the deposition step is performed continuously.

本発明のこの方法は本発明の基板処理システムによって行なわれる。この基板処理システムは、基板を搬送するための搬送手段を有するウエハ処理台と、前記ウエハ処理台に接続された処理モジュールとを備え、前記処理モジュールは、少なくとも熱アニーリングモジュールと、金属ゲート蒸着モジュールとを有し、前記搬送手段は、真空を破ることなく前記ウエハ処理台と前記処理モジュールとの間で基板を搬送する。   This method of the present invention is performed by the substrate processing system of the present invention. The substrate processing system includes a wafer processing table having a transfer means for transferring a substrate, and a processing module connected to the wafer processing table. The processing module includes at least a thermal annealing module and a metal gate deposition module. The transfer means transfers the substrate between the wafer processing table and the processing module without breaking the vacuum.

本発明の第2の態様は、MOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法であって、熱アニーリングモジュール内で、その上にhigh−K誘電膜が蒸着された基板をアニールするアニーリングステップと、冷却モジュール内で、前記アニールされた基板を冷却する冷却ステップと、金属ゲート蒸着モジュール内で、前記冷却された基板上に金属ゲート材料を蒸着させる蒸着ステップとを含み、真空を破るこすことなく、前記アニーリングステップ、前記冷却ステップおよび前記蒸着ステップが連続的に行なわれることを特徴とする方法を提供する。   A second aspect of the present invention is a method for depositing a metal gate on a high-K dielectric film in the manufacture of a MOSFET, wherein the high-K dielectric film is deposited thereon in a thermal annealing module. An annealing step for annealing the substrate; a cooling step for cooling the annealed substrate in a cooling module; and a deposition step for depositing a metal gate material on the cooled substrate in a metal gate deposition module. The method is characterized in that the annealing step, the cooling step, and the deposition step are performed continuously without breaking a vacuum.

本発明のこの方法は本発明の基板処理システムによって行なわれる。この基板処理システムは、基板を搬送するための搬送手段を有するウエハ処理台と、前記ウエハ処理台に接続された処理モジュールとを備え、前記処理モジュールは、少なくとも熱アニーリングモジュールと、冷却モジュールと、金属ゲート蒸着モジュールとを有し、前記搬送手段は、真空を破ることなく前記ウエハ処理台と前記処理モジュールとの間で基板を搬送する。   This method of the present invention is performed by the substrate processing system of the present invention. The substrate processing system includes a wafer processing table having a transfer means for transferring a substrate, and a processing module connected to the wafer processing table, the processing module including at least a thermal annealing module, a cooling module, A metal gate deposition module, and the transfer means transfers the substrate between the wafer processing table and the processing module without breaking a vacuum.

本発明の第3の態様は、MOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法であって、high−K蒸着モジュール内で、基板上にhigh−K誘電膜を蒸着する第1の蒸着ステップと、熱アニーリングモジュール内で、その上にhigh−K誘電膜が蒸着された前記基板をアニールするアニーリングステップと、冷却モジュール内で、前記アニールされた基板を冷却する冷却ステップと、金属ゲート蒸着モジュール内で、前記冷却された基板上に金属ゲート材料を蒸着させる第2の蒸着ステップとを含み、真空を破ることなく、前記第1の蒸着ステップ、前記アニーリングステップ、前記冷却ステップおよび前記第2の蒸着ステップが連続的に行なわれることを特徴とする方法を提供する。   A third aspect of the present invention is a method for depositing a metal gate on a high-K dielectric film in the manufacture of a MOSFET, wherein the high-K dielectric film is deposited on a substrate in a high-K deposition module. First annealing step, annealing step of annealing the substrate on which a high-K dielectric film is deposited in a thermal annealing module, and cooling step of cooling the annealed substrate in a cooling module And a second deposition step for depositing a metal gate material on the cooled substrate in a metal gate deposition module, and without breaking a vacuum, the first deposition step, the annealing step, the cooling step A method is provided wherein the step and the second deposition step are performed sequentially.

本発明のこの方法は本発明の基板処理システムによって行なわれる。この基板処理システムは、基板を搬送するための搬送手段を有するウエハ処理台と、前記ウエハ処理台に接続された処理モジュールとを備え、前記処理モジュールは、少なくとも熱アニーリングモジュールと、冷却モジュールと、high−K蒸着モジュールと、金属ゲート蒸着モジュールとを有し、前記搬送手段は、真空を破ることなく前記ウエハ処理台と前記処理モジュールとの間で基板を搬送する。   This method of the present invention is performed by the substrate processing system of the present invention. The substrate processing system includes a wafer processing table having a transfer means for transferring a substrate, and a processing module connected to the wafer processing table, the processing module including at least a thermal annealing module, a cooling module, It has a high-K vapor deposition module and a metal gate vapor deposition module, and the transfer means transfers the substrate between the wafer processing table and the processing module without breaking the vacuum.

本発明の第4の態様は、MOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法であって、熱アニーリングモジュール内で、基板上に薄い熱SiO膜を蒸着させる第1の蒸着ステップと、冷却モジュール内で、前記基板を冷却する第1の冷却ステップと、high−K蒸着モジュール内で、前記基板上にhigh−K誘電膜を蒸着する第2の蒸着ステップと、熱アニーリングモジュール内で、前記基板をアニールするアニーリングステップと、冷却モジュール内で、前記アニールされた基板を冷却する第2の冷却ステップと、金属ゲート蒸着モジュール内で、前記冷却された基板上に金属ゲート材料を蒸着させる第3の蒸着ステップとを含み、真空を破ることなく、前記第1の蒸着ステップ、前記第1の冷却ステップ、前記第2の蒸着ステップ、前記アニーリングステップ、前記第2の冷却ステップおよび前記第3の蒸着ステップが連続的に行なわれることを特徴とする方法を提供する。 A fourth aspect of the present invention is a method for depositing a metal gate on a high-K dielectric film in the manufacture of a MOSFET, wherein a thin thermal SiO 2 film is deposited on a substrate in a thermal annealing module. 1 vapor deposition step; a first cooling step for cooling the substrate in a cooling module; a second vapor deposition step for depositing a high-K dielectric film on the substrate in a high-K vapor deposition module; An annealing step for annealing the substrate in a thermal annealing module; a second cooling step for cooling the annealed substrate in a cooling module; and a metal on the cooled substrate in a metal gate deposition module. A third deposition step for depositing a gate material, and without breaking a vacuum, the first deposition step, the first cooling step The method is characterized in that the step, the second vapor deposition step, the annealing step, the second cooling step, and the third vapor deposition step are performed continuously.

本発明のこの方法は本発明の基板処理システムによって行なわれる。この基板処理システムは、基板を搬送するための搬送手段を有するウエハ処理台と、前記ウエハ処理台に接続された処理モジュールとを備え、前記処理モジュールは、少なくとも熱アニーリングモジュールと、冷却モジュールと、high−K蒸着モジュールと、金属ゲート蒸着モジュールとを有し、前記搬送手段は、真空を破ることなく前記ウエハ処理台と前記処理モジュールとの間で基板を搬送する。   This method of the present invention is performed by the substrate processing system of the present invention. The substrate processing system includes a wafer processing table having a transfer means for transferring a substrate, and a processing module connected to the wafer processing table, the processing module including at least a thermal annealing module, a cooling module, It has a high-K vapor deposition module and a metal gate vapor deposition module, and the transfer means transfers the substrate between the wafer processing table and the processing module without breaking the vacuum.

本発明の第5の態様は、本発明の前述した第1ないし第4の態様のいずれかに係るMOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための方法であって、金属ゲート材料を蒸着する前記蒸着ステップにより形成される金属ゲートが複合膜積層体を備え、前記金属ゲートが形成された後、真空を破ることなく前記熱アニーリングモジュール内で基板が更に連続的にアニールされる方法を提供する。   According to a fifth aspect of the present invention, there is provided a method for depositing a metal gate on a high-K dielectric film in the manufacture of a MOSFET according to any of the first to fourth aspects of the present invention. The metal gate formed by the vapor deposition step of depositing a gate material includes a composite film stack, and after the metal gate is formed, the substrate is further continuously annealed in the thermal annealing module without breaking a vacuum. Provide a method.

この蒸着方法においては、様々な膜を含む前述した複合膜積層体、例えば、異なる膜を含む複合膜積層体が積層される。また、複合膜積層体から成る前記金属ゲート材料が形成された後に連続的に行なわれるアニーリングステップにより、金属積層材料が互いに混合される。   In this vapor deposition method, the above-described composite film stack including various films, for example, a composite film stack including different films is stacked. Further, the metal laminate materials are mixed with each other by an annealing step which is continuously performed after the metal gate material made of the composite film laminate is formed.

本発明の第6の態様は、本発明の前述した第1ないし第5の態様のいずれかの方法にしたがってhigh−K誘電膜上に金属ゲートを蒸着させることによりMOSFETの製造においてhigh−K誘電膜と金属ゲートとの間の界面を向上させる方法を提供する。   A sixth aspect of the present invention is a high-K dielectric in the manufacture of a MOSFET by depositing a metal gate on a high-K dielectric film according to the method of any of the first to fifth aspects of the present invention. A method is provided for improving the interface between a film and a metal gate.

本発明の第7の態様は、基板を搬送するための搬送手段を有するウエハ処理台と、前記ウエハ処理台に接続された処理モジュールとを備える基板処理システムであって、前記処理モジュールは、少なくとも熱アニーリングモジュールと、金属ゲート蒸着モジュールとを有し、前記搬送手段は、真空を破ることなく前記ウエハ処理台と前記処理モジュールとの間で基板を搬送する基板処理システムを提供する。   A seventh aspect of the present invention is a substrate processing system comprising a wafer processing table having a transfer means for transferring a substrate, and a processing module connected to the wafer processing table, wherein the processing module includes at least The substrate processing system includes a thermal annealing module and a metal gate deposition module, and the transfer unit transfers the substrate between the wafer processing table and the processing module without breaking a vacuum.

本発明の第8の態様は、本発明の第7の態様に係る基板処理システムであって、前記処理モジュールが冷却モジュール及び/又はhigh−K誘電体蒸着モジュールを更に有している基板処理システムを提供する。   An eighth aspect of the present invention is the substrate processing system according to the seventh aspect of the present invention, wherein the processing module further includes a cooling module and / or a high-K dielectric deposition module. I will provide a.

本発明においては、MOSFETの製造においてhigh−K誘電膜上に金属ゲートを蒸着するための改良された方法を提供することにより、high−K誘電膜および金属ゲート材料の品質が向上され、それにより、電子の移動性が向上されてVthシフトが最小限に抑えられる。 In the present invention, by providing an improved method for depositing a metal gate on a high-K dielectric film in the manufacture of MOSFETs, the quality of the high-K dielectric film and the metal gate material is improved, thereby Electron mobility is improved and Vth shift is minimized.

また、MOSFETの製造においてhigh−K誘電膜と金属ゲートとの界面を向上させる改良された方法を提供することにより、界面トラップ密度を低くすることができ、それにより、電子の移動性が向上されてVthシフトが最小限に抑えられる。 Also, by providing an improved method for improving the interface between a high-K dielectric film and a metal gate in the manufacture of MOSFETs, the interface trap density can be lowered, thereby improving electron mobility. Thus, the Vth shift can be minimized.

更に、本発明においては、前述された本発明の方法が使用されるのに適している基板処理システムが提供される。   Furthermore, the present invention provides a substrate processing system suitable for use with the inventive method described above.

本発明においては、熱アニーリングシステムおよび金属ゲート蒸着システムを1つのウエハ処理台と一体化させることにより、high−K誘電膜と金属ゲートとの界面特性が向上し、それにより、電気的特性およびデバイス性能が向上する。   In the present invention, the thermal annealing system and the metal gate deposition system are integrated with one wafer processing platform to improve the interface characteristics between the high-K dielectric film and the metal gate, thereby improving the electrical characteristics and devices. Performance is improved.

実施例1で使用される一体型システムの概略図Schematic of integrated system used in Example 1 実施例1における他の構成を示す図The figure which shows the other structure in Example 1. FIG. 実施例2の概略図を示す図The figure which shows the schematic of Example 2. 他の一体型システムの概略図Schematic diagram of another integrated system 図4に示される一体型システム内に設けられる斜角PVDモジュールの断面図Sectional view of the beveled PVD module provided in the integrated system shown in FIG. 図1に示される一体側システム内に設けられる熱アニーリングモジュールの断面図Sectional drawing of the thermal annealing module provided in the one side system shown by FIG. (a)〜(d)は蒸着・熱アニーリングプロセスの手順を示す図(A)-(d) is a figure which shows the procedure of a vapor deposition and a thermal annealing process. 他の一体型システムの概略図を示す図Diagram showing a schematic of another integrated system 他の一体型システムの概略図を示す図Diagram showing a schematic of another integrated system (a)は300mmウエハ上に蒸着されたHf膜の等均一線を示し、(b)はHf膜の断面均一性を示す図(A) shows the uniform line of the Hf film deposited on the 300 mm wafer, and (b) shows the cross-sectional uniformity of the Hf film. 加えられたDC電力に応じたHfSi膜組成の変化を示す図The figure which shows the change of the HfSi film | membrane composition according to the applied DC electric power (a)は200mmウエハ上に蒸着されたTaN膜の等均一線を示し、(b)はTaN膜の断面均一性を示す図(A) shows an iso-uniform line of a TaN film deposited on a 200 mm wafer, and (b) shows a cross-sectional uniformity of the TaN film. HfSiON膜において得られたRBSデータを示す図The figure which shows the RBS data obtained in the HfSiON film CVD技術を使用してhigh−K誘電体を蒸着するためのCVDチャンバが中央ウエハ処理台に接続されているウエハ処理システムの概略図Schematic of a wafer processing system in which a CVD chamber for depositing a high-K dielectric using CVD technology is connected to a central wafer processing platform. MOSFETの概略図を示す図Schematic diagram of MOSFET

以下の実施例では、添付図面を用いて本発明の好ましい実施形態を詳細に説明する。   In the following examples, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

図1において、熱アニーリングモジュール1および金属ゲート蒸着モジュール2は中央ウエハ処理台3に接続されている。すなわち、熱アニーリングモジュール1および金属ゲート蒸着モジュール2は中央ウエハ処理台3と一体を成している。   In FIG. 1, a thermal annealing module 1 and a metal gate deposition module 2 are connected to a central wafer processing table 3. That is, the thermal annealing module 1 and the metal gate deposition module 2 are integrated with the central wafer processing table 3.

熱アニーリングモジュール1の断面図が図6に示されている。熱アニーリングモジュール1は急速熱アニーリングモジュールであることが好ましい。図6に示されるRTPモジュール等の熱アニーリングモジュール1は、図6に示されるように、基板ホルダ19と、基板ホルダ19上に配置された基板4を加熱するウエハ加熱機構20と、ガス吸気口21と、ガス排気口22と、基板搬入/搬出ポート33とから成る。   A cross-sectional view of the thermal annealing module 1 is shown in FIG. The thermal annealing module 1 is preferably a rapid thermal annealing module. As shown in FIG. 6, the thermal annealing module 1 such as the RTP module shown in FIG. 6 includes a substrate holder 19, a wafer heating mechanism 20 that heats the substrate 4 disposed on the substrate holder 19, and a gas inlet. 21, a gas exhaust port 22, and a substrate loading / unloading port 33.

一般に、加熱機構20は、赤外線(IR)ランプを用いた赤外線(IR)加熱プロセスである。通常、RTPモジュール等の熱アニーリングモジュール1は、基板4を数秒内で約1000℃の温度まで加熱することができる。基板加熱中、基板ホルダ19が回転されても良く或いは回転されなくても良い。RTPモジュール等の熱アニーリングモジュール1は、不活性ガスまたは不活性ガスと反応ガスとの混合物を用いた低圧下で基板を加熱する。   In general, the heating mechanism 20 is an infrared (IR) heating process using an infrared (IR) lamp. Usually, the thermal annealing module 1 such as an RTP module can heat the substrate 4 to a temperature of about 1000 ° C. within a few seconds. During substrate heating, the substrate holder 19 may or may not be rotated. A thermal annealing module 1 such as an RTP module heats a substrate under a low pressure using an inert gas or a mixture of an inert gas and a reactive gas.

熱アニーリングモジュール1は、任意の適当な技術を使用して、例えばIRランプ、炉内アニーリング、または、RF加熱を使用して、基板4を高温まで加熱しても良い。アニーリング温度は100℃から1200℃まで変化しても良い。実際のアニーリング温度はhigh−K(高誘電率)材料に応じて変わり得る。また、アニーリング圧力は重大ではない。圧力は10−7Paから大気圧まで変化しても良い。 The thermal annealing module 1 may heat the substrate 4 to a high temperature using any suitable technique, for example using an IR lamp, in-furnace annealing, or RF heating. The annealing temperature may vary from 100 ° C to 1200 ° C. The actual annealing temperature may vary depending on the high-K (high dielectric constant) material. Also, the annealing pressure is not critical. The pressure may vary from 10 −7 Pa to atmospheric pressure.

金属ゲート蒸着モジュール2内で行なわれる金属ゲート蒸着技術も重要ではない。この技術は、PVD、熱CVD、プラズマCVDまたは原子層蒸着であっても良い。蒸着圧力、前駆ガス、混合ガスは、金属ゲートのタイプによって決まる。   The metal gate deposition technique performed in the metal gate deposition module 2 is also not important. This technique may be PVD, thermal CVD, plasma CVD or atomic layer deposition. The deposition pressure, precursor gas, and mixed gas depend on the type of metal gate.

熱アニーリングモジュール1および金属ゲート蒸着モジュール2以外に、中央台3にはウエハ搬入/搬出装置フロントエンドモジュール13が取り付けられている。したがって、熱アニーリングモジュール1、金属ゲート蒸着モジュール2、ウエハ搬入/搬出装置フロントエンドモジュール13は中央ウエハ処理台3と一体を成している。ウエハ搬入/搬出装置フロントエンドモジュール13は、ウエハアライナ5と、ウエハ搬入ポート6と、ウエハ搬出ポート7とを備えている。   In addition to the thermal annealing module 1 and the metal gate vapor deposition module 2, a wafer loading / unloading device front end module 13 is attached to the central table 3. Therefore, the thermal annealing module 1, the metal gate deposition module 2, and the wafer loading / unloading device front end module 13 are integrated with the central wafer processing table 3. The wafer carry-in / out device front-end module 13 includes a wafer aligner 5, a wafer carry-in port 6, and a wafer carry-out port 7.

その上にhigh−K誘電膜が蒸着された基板4が図1の熱アニーリングモジュール1内に配置される。基板4は、熱アニーリングモジュール1内において所望の温度で熱アニーリングプロセスに晒される。熱アニーリングは、1段階プロセスであっても良く、あるいは、異なるガス雰囲気を用いた2段階プロセスであっても良い。その後、基板4は、ロボットアーム9等の搬送手段により、中央ウエハ処理台3を介して金属ゲート蒸着モジュール2内へと搬送される。金属ゲート蒸着モジュール2内においては、金属ゲート材料が蒸着される。金属ゲート材料は、TaN,HfSi,RuTa,Ir,W等の任意の適当な材料であっても良い。   A substrate 4 on which a high-K dielectric film is deposited is disposed in the thermal annealing module 1 of FIG. The substrate 4 is subjected to a thermal annealing process at a desired temperature in the thermal annealing module 1. Thermal annealing may be a one-step process or a two-step process using different gas atmospheres. Thereafter, the substrate 4 is transferred into the metal gate deposition module 2 via the central wafer processing table 3 by transfer means such as a robot arm 9. In the metal gate deposition module 2, a metal gate material is deposited. The metal gate material may be any suitable material such as TaN, HfSi, RuTa, Ir, or W.

前述したように、その上にhigh−K誘電膜が蒸着された基板を熱アニーリングモジュール内でアニールするアニーリングステップおよび金属ゲート蒸着モジュール内で前記アニールされた基板上に金属ゲート材料を蒸着する蒸着ステップは、真空を破ることなく連続的に行なわれる。   As described above, an annealing step for annealing a substrate having a high-K dielectric film deposited thereon in a thermal annealing module and a deposition step for depositing a metal gate material on the annealed substrate in a metal gate deposition module. Is performed continuously without breaking the vacuum.

図2は、図1に示される構成に加えて冷却モジュール8が中央ウエハ処理台3に接続されている状態を示している。すなわち、図2において、熱アニーリングモジュール1、金属ゲート蒸着モジュール2、冷却モジュール8、ウエハ搬入/搬出装置フロントエンドモジュール13は中央ウエハ処理台3と一体を成している。   FIG. 2 shows a state in which the cooling module 8 is connected to the central wafer processing table 3 in addition to the configuration shown in FIG. That is, in FIG. 2, the thermal annealing module 1, the metal gate deposition module 2, the cooling module 8, and the wafer loading / unloading device front end module 13 are integrated with the central wafer processing table 3.

図2に示される一体型システムを使用すると、前述したhigh−K誘電体の熱アニーリング後、基板4を金属ゲートモジュール2内に配置する前に、基板4を冷却することができる。   Using the integrated system shown in FIG. 2, the substrate 4 can be cooled after the thermal annealing of the high-K dielectric described above and before the substrate 4 is placed in the metal gate module 2.

すなわち、図2に示される一体型システムを使用すると、最初に、その上にhigh−K誘電膜が蒸着された基板を熱アニーリングモジュール内でアニールするアニーリングステップが行なわれた後、前記アニールされた基板が冷却モジュール内で冷却され、その後、金属ゲート蒸着モジュール内で前記冷却された基板上に金属ゲート材料が蒸着される。この場合、前記アニーリングステップ、冷却ステップ、蒸着ステップは、真空を破ることなく連続的に行なうことができる。   That is, when the integrated system shown in FIG. 2 is used, an annealing step is first performed in which a substrate having a high-K dielectric film deposited thereon is annealed in a thermal annealing module, and then the annealing is performed. The substrate is cooled in a cooling module, and then a metal gate material is deposited on the cooled substrate in a metal gate deposition module. In this case, the annealing step, the cooling step, and the vapor deposition step can be performed continuously without breaking the vacuum.

前述したように、熱アニーリングモジュールおよび金属ゲート蒸着モジュールは1つの中央ウエハ処理台と一体を成しており、それにより、high−Kアニーリングプロセス直後に、真空を破ることなくウエハを金属ゲート蒸着モジュール内に搬送して金属ゲートを蒸着することができる。   As described above, the thermal annealing module and the metal gate deposition module are integrated with one central wafer processing platform, so that the metal gate deposition module can be used immediately after the high-K annealing process without breaking the vacuum. The metal gate can be deposited by being conveyed inside.

また、熱アニーリングモジュール、冷却モジュール、金属ゲート蒸着モジュールは1つの中央ウエハ処理台と一体を成しており、それにより、high−Kアニーリングプロセスおよび冷却プロセス直後に、真空を破ることなくウエハを金属ゲート蒸着モジュール内に搬送して金属ゲートを蒸着することができる。   In addition, the thermal annealing module, cooling module, and metal gate deposition module are integrated with one central wafer processing stand, so that the wafer can be metalized without breaking the vacuum immediately after the high-K annealing process and cooling process. The metal gate can be deposited by being transferred into a gate deposition module.

熱アニーリングシステムおよび金属ゲート蒸着システムを1つの中央ウエハ処理台に一体化させ、あるいは、熱アニーリングシステム、冷却システムおよび金属ゲート蒸着システムを1つの中央ウエハ処理台に一体化させると、high−K誘電膜と金属ゲートとの界面特性を向上させることができ、それにより、電気的特性およびデバイス性能を向上させることができる。   When a thermal annealing system and a metal gate deposition system are integrated into one central wafer processing table, or a thermal annealing system, a cooling system and a metal gate deposition system are integrated into one central wafer processing table, a high-K dielectric Interfacial characteristics between the film and the metal gate can be improved, thereby improving electrical characteristics and device performance.

図3は、実施例1で説明した中央ウエハ処理台3に対してhigh−K誘電体蒸着モジュール10が更に取り付けられた実施例1の拡張例を示している。   FIG. 3 shows an expanded example of the first embodiment in which the high-K dielectric deposition module 10 is further attached to the central wafer processing table 3 described in the first embodiment.

図3に示される実施例においては、図2に示される構成に加えて、high−K誘電体蒸着モジュール10が中央ウエハ処理台3に対して接続されている。すなわち、図3において、熱アニーリングモジュール1、金属ゲート蒸着モジュール2、冷却モジュール8、high−K誘電体蒸着モジュール10、ウエハ搬入/搬出装置フロントエンドモジュール13は中央ウエハ処理台3と一体を成している。   In the embodiment shown in FIG. 3, in addition to the configuration shown in FIG. 2, a high-K dielectric deposition module 10 is connected to the central wafer processing table 3. That is, in FIG. 3, the thermal annealing module 1, the metal gate deposition module 2, the cooling module 8, the high-K dielectric deposition module 10, and the wafer loading / unloading device front end module 13 are integrated with the central wafer processing table 3. ing.

冷却モジュール8は図3に示される構成から除去されても良い。High−K誘電体蒸着技術は、任意の所望の技術、例えばPVD,CVD,MOCVDまたはALDであっても良い。蒸着圧力、前駆ガス、温度等のパラメータは、溶着技術およびhigh−K材料のタイプによって決まる。   The cooling module 8 may be removed from the configuration shown in FIG. The High-K dielectric deposition technique may be any desired technique, such as PVD, CVD, MOCVD or ALD. Parameters such as deposition pressure, precursor gas, temperature, etc. depend on the deposition technique and the type of high-K material.

まず最初に、high−K誘電体蒸着モジュール10内にウエハを配置することにより、例えばHfO等のhigh−K材料が基板4上に蒸着される。また、熱アニーリングモジュール1内で酸化される例えばHf,HfSi,HfAl等の金属または金属合金をhigh−K誘電体蒸着モジュール10内で蒸着することもできる。その後、基板4が熱アニーリングモジュール1内に搬送され、アニーリングプロセスが行なわれる。アニーリングは、通常、酸素または不活性ガス雰囲気中における1つのステップである。しかしながら、2ステップアニーリングプロセスを行なうこともできる。この場合、第1のステップでは、酸素雰囲気中において比較的低い温度でアニーリングが行なわれ、第2のステップでは、不活性ガス雰囲気中において比較的高い温度でアニーリングが行なわれる。 First, a high-K material such as HfO 2 is deposited on the substrate 4 by placing a wafer in the high-K dielectric deposition module 10. Further, a metal or metal alloy such as Hf, HfSi, HfAl, etc., which is oxidized in the thermal annealing module 1, can be deposited in the high-K dielectric deposition module 10. Thereafter, the substrate 4 is transferred into the thermal annealing module 1 and an annealing process is performed. Annealing is usually a step in an oxygen or inert gas atmosphere. However, a two-step annealing process can also be performed. In this case, in the first step, annealing is performed at a relatively low temperature in an oxygen atmosphere, and in the second step, annealing is performed at a relatively high temperature in an inert gas atmosphere.

その後、冷却モジュール8を使用することにより、ウエハが冷却される。その後、ウエハが金属ゲート蒸着モジュール2内に搬送され、金属が蒸着される。   Thereafter, the wafer is cooled by using the cooling module 8. Thereafter, the wafer is transferred into the metal gate deposition module 2 to deposit metal.

図3に示される構成が使用される場合には、真空を破ることなく、high−K蒸着、熱アニーリング、金属ゲート蒸着を行なうことができる。これにより膜質が更に向上し、結果的に半導体デバイスの品質が向上する。   When the configuration shown in FIG. 3 is used, high-K vapor deposition, thermal annealing, and metal gate vapor deposition can be performed without breaking the vacuum. Thereby, the film quality is further improved, and as a result, the quality of the semiconductor device is improved.

図3に示される一体型システムを使用すると、以下のプロセスを行なうことができる。   Using the integrated system shown in FIG. 3, the following process can be performed.

まず最初に、high−K蒸着モジュール内で基板上にhigh−K誘電膜を蒸着し、その上にhigh−K誘電膜が蒸着された前記基板を熱アニーリングモジュール内でアニールし、前記アニールされた基板を冷却モジュール内で冷却し、その後、金属ゲート蒸着モジュール内で前記冷却された基板上に金属ゲート材料を蒸着する。この場合、前記第1の蒸着ステップ、アニーリングステップ、冷却ステップ、第2の蒸着ステップは、真空を破ることなく連続的に行なわれる。   First, a high-K dielectric film is deposited on a substrate in a high-K deposition module, and the substrate on which the high-K dielectric film is deposited is annealed in a thermal annealing module, and the annealed. The substrate is cooled in a cooling module, and then a metal gate material is deposited on the cooled substrate in a metal gate deposition module. In this case, the first vapor deposition step, the annealing step, the cooling step, and the second vapor deposition step are continuously performed without breaking the vacuum.

また、以下のプロセスを行なうことができる。熱アニーリングモジュール内で基板上に薄い熱SiO膜を蒸着する第1の蒸着ステップの後、前記基板を冷却モジュール内で冷却し、high−K蒸着モジュール内で前記基板上にhigh−K誘電膜を蒸着し、熱アニーリングモジュール内で前記基板をアニールし、前記アニールされた基板を冷却モジュール内で冷却し、その後、金属ゲート蒸着モジュール内で前記冷却された基板上に金属ゲート材料を蒸着する。この場合、前記第1の蒸着ステップ、第1の冷却ステップ、第2の蒸着ステップ、アニーリングステップ、第2の冷却ステップ、第3の蒸着ステップは、真空を破ることなく連続的に行なうことができる。 In addition, the following process can be performed. After a first deposition step of depositing a thin thermal SiO 2 film on the substrate in a thermal annealing module, the substrate is cooled in a cooling module and a high-K dielectric film on the substrate in a high-K deposition module. And annealing the substrate in a thermal annealing module, cooling the annealed substrate in a cooling module, and then depositing a metal gate material on the cooled substrate in a metal gate deposition module. In this case, the first vapor deposition step, the first cooling step, the second vapor deposition step, the annealing step, the second cooling step, and the third vapor deposition step can be continuously performed without breaking the vacuum. .

前述したように、熱アニーリングモジュール、冷却モジュール、high−K蒸着モジュールおよび金属ゲート蒸着モジュールは1つの中央ウエハ処理台と一体を成しており、それにより、high−Kアニーリングプロセスおよび冷却プロセスの直後に、真空を破ることなくウエハを金属ゲート蒸着モジュール内に搬送して金属ゲートを蒸着することができる。   As described above, the thermal annealing module, the cooling module, the high-K deposition module, and the metal gate deposition module are integrated with one central wafer processing table, so that immediately after the high-K annealing process and the cooling process. In addition, the metal gate can be deposited by transporting the wafer into the metal gate deposition module without breaking the vacuum.

熱アニーリングシステム、冷却モジュール、high−K蒸着モジュールおよび金属ゲート蒸着モジュールを1つの中央ウエハ処理台と一体化させると、high−K誘電膜と金属ゲートとの界面特性を向上させることができ、それにより、電気的特性およびデバイス性能を向上させることができる。   When the thermal annealing system, the cooling module, the high-K deposition module and the metal gate deposition module are integrated with one central wafer processing platform, the interface characteristics between the high-K dielectric film and the metal gate can be improved. As a result, electrical characteristics and device performance can be improved.

図4は、2つの斜角PVDモジュール11,12と、1つの熱アニーリングモジュール1と、冷却モジュール8と、中央ウエハ処理台3と、ウエハ搬入/搬出装置フロントエンドモジュール13とから成る一体型システムの概略図を示している。   FIG. 4 shows an integrated system comprising two oblique angle PVD modules 11, 12, one thermal annealing module 1, a cooling module 8, a central wafer processing table 3, and a wafer loading / unloading device front end module 13. FIG.

両方の斜角PVDモジュール11,12のハードウェア構成は、各陰極に固定されたターゲット材料を除き同じである。本発明の基板処理システムにおいて採用できる斜角PVDモジュールの一例の断面図が図5に示されている。   The hardware configuration of both oblique angle PVD modules 11 and 12 is the same except for the target material fixed to each cathode. A cross-sectional view of an example of an oblique PVD module that can be employed in the substrate processing system of the present invention is shown in FIG.

斜角PVDモジュール11,12は、チャンバ壁27を有するチャンバと、真空引きポート28と、ウエハ搬入/搬出ポート29とから成る。図5に示されるように、チャンバ内には基板ホルダ17が設けられている。   The oblique PVD modules 11 and 12 include a chamber having a chamber wall 27, a vacuuming port 28, and a wafer loading / unloading port 29. As shown in FIG. 5, a substrate holder 17 is provided in the chamber.

斜角PVDモジュール11,12は、従来のPVDシステムの場合と同様に基板4およびターゲット(対陰極)14の表面が平行ではない軸外スパッタリング技術を使用し、図5に示されるように、これらの2つの表面は角度α(参照符号15で示されている)を成している。しかしながら、この角度α(15)は、重要ではなく、10°〜90°の範囲にあれば良いが、一般的には約45°である。各斜角PVDシステムは、1または複数の傾斜したターゲットを有していても良い。例えば、図5には1つの陰極16が示されているが、図4に示される各PVDシステムは、5個の陰極(16a,16b,16c,16d,16e)を収容し、したがって5個のターゲット14を収容している。   The beveled PVD modules 11 and 12 use off-axis sputtering techniques in which the surfaces of the substrate 4 and the target (anti-cathode) 14 are not parallel as in the case of a conventional PVD system, and as shown in FIG. These two surfaces form an angle α (indicated by reference numeral 15). However, this angle α (15) is not critical and may be in the range of 10 ° to 90 °, but is generally about 45 °. Each beveled PVD system may have one or more inclined targets. For example, although one cathode 16 is shown in FIG. 5, each PVD system shown in FIG. 4 accommodates five cathodes (16a, 16b, 16c, 16d, 16e) and thus five The target 14 is accommodated.

各陰極においては、図5に示されるように、陰極16の開口に絶縁体31によりバッキングプレート30が設けられている。ターゲット14はバッキングプレート30の前面によって支持され、バッキングプレート30の背面にはマグネット32が設けられている。マグネット32は膜蒸着中に回転される。   In each cathode, as shown in FIG. 5, a backing plate 30 is provided by an insulator 31 in the opening of the cathode 16. The target 14 is supported by the front surface of the backing plate 30, and a magnet 32 is provided on the back surface of the backing plate 30. The magnet 32 is rotated during film deposition.

金属、金属窒化物、金属酸化物または半導体から成るターゲット14は各陰極16a〜16eに対して固定されている。プラズマを点火して維持するため、各陰極には図5に示されるようにDCが供給され或いはRF電力が供給される。プラズマ中のイオンがターゲット材料をスパッタし、これらのスパッタ原子が基板ホルダ17上に配置された基板4上に蒸着される。   A target 14 made of metal, metal nitride, metal oxide or semiconductor is fixed to each of the cathodes 16a to 16e. To ignite and maintain the plasma, each cathode is supplied with DC or RF power as shown in FIG. Ions in the plasma sputter the target material, and these sputtered atoms are deposited on the substrate 4 disposed on the substrate holder 17.

膜蒸着のために基板4が配置された基板ホルダ17は、膜蒸着中に、その中心軸18を中心に回転する。スパッタ原子が所定の角度を成してやってくるため、基板ホルダ17の回転は、ウエハ表面上にわたって均一な膜厚を得るために重要である。   The substrate holder 17 on which the substrate 4 is disposed for film deposition rotates around its central axis 18 during film deposition. Since the sputtered atoms come at a predetermined angle, the rotation of the substrate holder 17 is important for obtaining a uniform film thickness over the wafer surface.

PVDモジュールは、5個の陰極(16a,16b,16c,16d,16e)を同時に収容することができる。これらの陰極16a〜16eは、基板4の表面に対して所定の角度α(15)を成して、斜角PVDモジュール11,12のそれぞれの天井に固定されている。この角度α(15)は、重大ではなく、10°〜90°の範囲で変えることができるが、一般的には約45°である。各陰極16には、陰極16の一体部分として金属ターゲットまたは誘電体ターゲット14が存在する。ターゲット14の上側には、膜蒸着中に回転されるマグネット32が存在する。しかしながら、マグネット32は必要不可欠なものではない。マグネット32を使用すると、プラズマ密度が増大するとともに、ターゲットの下側の領域にプラズマを閉じ込めてPVDモジュールのチャンバの壁27への拡散を抑えることができる。また、ターゲット14の直径も重要ではなく一般に約200mmである。ターゲット14は、バッキングプレート30に対して強固に固定された単なる平板である。バッキングプレート30は、通常、循環水または任意の他の適当な液体を使用して冷却される。図面を明確にするため、バッキングプレート30の冷却機構は図示されていない。   The PVD module can accommodate five cathodes (16a, 16b, 16c, 16d, 16e) simultaneously. These cathodes 16 a to 16 e form a predetermined angle α (15) with respect to the surface of the substrate 4 and are fixed to the ceilings of the oblique PVD modules 11 and 12. This angle α (15) is not critical and can vary from 10 ° to 90 °, but is generally about 45 °. Each cathode 16 has a metal target or dielectric target 14 as an integral part of the cathode 16. Above the target 14 is a magnet 32 that is rotated during film deposition. However, the magnet 32 is not indispensable. When the magnet 32 is used, the plasma density increases and the plasma can be confined in the lower region of the target to suppress diffusion to the wall 27 of the chamber of the PVD module. Further, the diameter of the target 14 is not important and is generally about 200 mm. The target 14 is a simple flat plate that is firmly fixed to the backing plate 30. The backing plate 30 is typically cooled using circulating water or any other suitable liquid. For clarity of illustration, the cooling mechanism of the backing plate 30 is not shown.

図5において、参照符号35はシャッタを示している。   In FIG. 5, reference numeral 35 denotes a shutter.

各陰極16は、ハードウェアの残りの部分から電気的に絶縁されており、DC電源ユニットまたはRF電源ユニットに接続されている。図5にはDC電源のみが示されている。ターゲット14に加えられるDC電力またはRF電力は、重要ではないが、一般に500Wよりも低い。その理由は、基板4上に蒸着しなければならないhigh−K材料が非常に薄いからである。したがって、高い精度で膜厚を制御するためには、膜蒸着速度を下げなければならない。そのため、蒸着時間を測定することにより膜厚を正確に制御できる。   Each cathode 16 is electrically isolated from the rest of the hardware and is connected to a DC power supply unit or an RF power supply unit. FIG. 5 shows only a DC power source. The DC or RF power applied to the target 14 is not critical, but is generally less than 500W. This is because the high-K material that must be deposited on the substrate 4 is very thin. Therefore, in order to control the film thickness with high accuracy, the film deposition rate must be reduced. Therefore, the film thickness can be accurately controlled by measuring the deposition time.

斜角PVDモジュール11,12は、低圧まで真空引きされるとともに、プラズマが点火される前後で低圧に維持される。斜角PVDモジュール11,12のチャンバ内の圧力は重要ではないが、通常、1Paよりも低い圧力で蒸着が行なわれる。   The oblique PVD modules 11 and 12 are evacuated to a low pressure and maintained at a low pressure before and after the plasma is ignited. Although the pressure in the chambers of the oblique PVD modules 11 and 12 is not important, the deposition is usually performed at a pressure lower than 1 Pa.

PVDモジュール11,12内でのガス原子の平均自由行程を基板−ターゲット間距離に対して考慮して比較することにより、非常に均一な蒸着膜を得ることができる。   By comparing the mean free path of gas atoms in the PVD modules 11 and 12 with respect to the substrate-target distance, a very uniform deposited film can be obtained.

Arプラズマ等の不活性ガスプラズマを用いて、あるいは、Ar+OまたはAr+N等の混合ガスを使用して、スパッタ蒸着を行なうことができる。反応混合ガスが使用される場合、スパッタ原子は、ガス種と反応して金属窒化物または金属酸化物等の異なる生成物を形成し、その後、ウエハの表面上に蒸着される。膜蒸着は一般に1Paよりも低い圧力で行なわれるが、これは重要ではなく、膜蒸着のために異なる圧力を使用できる。 Sputter deposition can be performed using an inert gas plasma such as Ar plasma or using a mixed gas such as Ar + O 2 or Ar + N 2 . When a reaction gas mixture is used, the sputtered atoms react with the gas species to form different products such as metal nitrides or metal oxides, which are then deposited on the surface of the wafer. Film deposition is generally performed at a pressure below 1 Pa, but this is not critical and different pressures can be used for film deposition.

1つのターゲット14を使用し、その適切なターゲットに対してDCまたはRF電力を供給することにより、膜蒸着を行なうことができる。あるいは、各陰極16a〜16eに設けられた2つ以上のターゲット14に対してRFまたはDC電力が同時に供給される同時スパッタリングプロセスにより膜蒸着を行なうことができる。この場合、各ターゲットに加えられるDCまたはRF電力を調整することにより、合金材料の原子組成が制御される。   Film deposition can be performed using a single target 14 and supplying DC or RF power to the appropriate target. Alternatively, film deposition can be performed by a co-sputtering process in which RF or DC power is simultaneously supplied to two or more targets 14 provided in each of the cathodes 16a to 16e. In this case, the atomic composition of the alloy material is controlled by adjusting the DC or RF power applied to each target.

High−K誘電体のために一方の斜角PVDシステムが使用され、ゲート電極蒸着のために他方の斜角PVDシステムが使用されても良い。   One beveled PVD system may be used for the High-K dielectric and the other beveled PVD system may be used for gate electrode deposition.

図4の構成で使用される熱アニーリングモジュール1は、図6に示され且つ実施例1で説明した熱アニーリングモジュールと同じである。   The thermal annealing module 1 used in the configuration of FIG. 4 is the same as the thermal annealing module shown in FIG. 6 and described in the first embodiment.

斜角PVDモジュール11内で膜が蒸着された基板4をRTPモジュール等の熱アニーリングモジュール1内に配置すると、基板は、反応混合ガス下で、好ましくはAr+O混合ガスを用いて、一般に400℃を超える高い温度まで加熱される。この加熱中、金属、金属合金または金属窒化物が酸化されて誘電体となる。 When the substrate 4 on which the film is deposited in the oblique PVD module 11 is placed in the thermal annealing module 1 such as an RTP module, the substrate is generally 400 ° C. under a reaction mixed gas, preferably using an Ar + O 2 mixed gas. It is heated to a high temperature exceeding During this heating, the metal, metal alloy or metal nitride is oxidized into a dielectric.

RTPモジュール等の熱アニーリングモジュール1による加熱は、同一のガス環境下または異なるガス環境下において1または複数のステップで行なうことができる。第1のステップにおいては、例えばウエハ表面上に蒸着された膜を酸化するだけのために加熱が行なわれ、第2のステップまたはその後のステップにおいては、ウエハが更に高い温度まで加熱されることにより、酸化膜と下側のSiまたは下側の任意の他の膜とが混合される。   Heating by the thermal annealing module 1 such as an RTP module can be performed in one or more steps in the same gas environment or in different gas environments. In the first step, for example, heating is performed only to oxidize the film deposited on the wafer surface, and in the second step or subsequent steps, the wafer is heated to a higher temperature. The oxide film and the lower Si or any other lower film are mixed.

冷却モジュール8は、低温まで冷却される少なくともウエハステージから成る。   The cooling module 8 includes at least a wafer stage that is cooled to a low temperature.

この場合も同様に、ウエハステージ上にウエハをクランプするため、静電チャック機構がウエハステージに一体に設けられている。これは、ウエハ冷却が高速で行なわれなければならない場合において重要である。冷却モジュール8内の圧力は、重要ではなく、大気圧から10−7Pa程度の低い圧力までの範囲であっても良い。 In this case as well, an electrostatic chuck mechanism is integrally provided on the wafer stage in order to clamp the wafer on the wafer stage. This is important when wafer cooling must be performed at high speed. The pressure in the cooling module 8 is not important and may be in a range from atmospheric pressure to a low pressure of about 10 −7 Pa.

中央ウエハ処理台3は、真空破壊を引き起こすことなく、斜角PVDモジュール11と中央ウエハ処理台3との間、熱アニーリングモジュール1と中央ウエハ処理台3との間、斜角PVDモジュール12と中央ウエハ処理台3との間、冷却モジュール8と中央ウエハ処理台3との間、ウエハ搬入/搬出装置フロントエンドモジュール13と中央ウエハ処理台3との間でそれぞれ基板4を搬送するロボットアーム9等の搬送手段を有している。   The central wafer processing table 3 is formed between the oblique PVD module 11 and the central wafer processing table 3, between the thermal annealing module 1 and the central wafer processing table 3, and between the oblique PVD module 12 and the center without causing vacuum breakage. A robot arm 9 for transferring the substrate 4 between the wafer processing table 3, between the cooling module 8 and the central wafer processing table 3, between the wafer loading / unloading device front-end module 13 and the central wafer processing table 3. Transport means.

また、ウエハ搬入/搬出装置フロントエンドモジュール13は、少なくとも1つのウエハハンドリングアームと、ウエハカセットを配置するための1または複数のステージとから成る。簡単のため、これらは図示されていない。   The wafer loading / unloading device front end module 13 includes at least one wafer handling arm and one or more stages for placing a wafer cassette. For simplicity, they are not shown.

High−Kおよび金属ゲートを形成する方法は、以下の通りであり、図7を参照しながら説明する。   A method of forming High-K and a metal gate is as follows, and will be described with reference to FIG.

ステップ−1 high−K誘電体のための予備的な膜を蒸着する
ステップ−2 酸素雰囲気下で熱アニール処理を行なってhigh−K誘電体を形成する
ステップ−3 ウエハを冷却する
ステップ−4 金属電極材料を蒸着する。
Step-1 Depositing a preliminary film for a high-K dielectric Step-2 Performing thermal annealing in an oxygen atmosphere to form a high-K dielectric Step-3 Cooling the wafer Step-4 Metal Electrode material is deposited.

蒸着方法の詳細な説明
ステップ−1
開始ウエハは、最初に蒸着された薄いSiOまたはSiON層23を有していてもいなくても良い。これが図7(a)に示されている。一方の斜角PVDモジュールを使用して、High−K誘電体のための開始材料24が基板4上に蒸着される(図7(b))。開始材料24は、金属であっても良く、Hf,Ta,Zr等の耐熱金属、HfN,TaN,TiN等の金属窒化物、HfTa,HfTi等の金属合金、HfSi等の金属半導体合金、TaSiN等の金属合金窒化物であることが好ましい。
Detailed description of vapor deposition method Step-1
The starting wafer may or may not have a thin SiO 2 or SiON layer 23 deposited first. This is shown in FIG. Using one bevel PVD module, the starting material 24 for the High-K dielectric is deposited on the substrate 4 (FIG. 7 (b)). The starting material 24 may be a metal, a refractory metal such as Hf, Ta, or Zr, a metal nitride such as HfN, TaN, or TiN, a metal alloy such as HfTa or HfTi, a metal semiconductor alloy such as HfSi, TaSiN, or the like The metal alloy nitride is preferable.

この場合も同様に、前述した2以上の膜を積層構造として蒸着することができる。例えば、Hf/SiN/Hf、HfN/AlN/、Hf。   In this case as well, two or more films described above can be deposited as a laminated structure. For example, Hf / SiN / Hf, HfN / AlN /, Hf.

通常、Hf,Zr,TiまたはTaが金属ターゲット14として使用される。しかしながら、他の金属ターゲットも使用できる。金属半導体合金が蒸着される場合、半導体材料はSiであることが好ましい。   Usually, Hf, Zr, Ti or Ta is used as the metal target 14. However, other metal targets can be used. When the metal semiconductor alloy is deposited, the semiconductor material is preferably Si.

重要ではないが、前述した開始材料の膜厚は、通常、5nm未満に維持され、一般的には約2nmに維持される。   Although not critical, the film thickness of the starting material described above is typically maintained below 5 nm, and is generally maintained at about 2 nm.

ステップ−2
前述したように開始膜24を蒸着した後、基板4が熱アニーリングモジュール1内に搬送される。酸素ガス雰囲気下で基板4が一般に400℃を超える高い温度まで加熱され、これにより、開始材料が酸化されて(図7(c))、high−K誘電体25が形成される。加熱プロセスは1段階または複数段階で行なうことができる。通常、アニーリングプロセス中に化学反応を制御するためには、2段階以上で加熱処理を行なうのが適当である。例えば、最初に、膜を400℃まで加熱して、開始材料中の金属元素を酸化する。膜が例えば800℃等の非常に高い温度まで一気に加熱される場合、膜中の金属元素は、安定で且つ金属性の特徴を示すそのケイ素化合物を形成する場合がある。膜が例えば400℃等の比較的低い温度で適切に酸化されると、好ましくは不活性ガス環境下で、温度が例えば900℃等の高い値まで上昇される。異なる金属から成る金属積層体が開始材料として使用される場合、高温アニーリングは、各材料間の拡散において、また、均一な膜組成を形成するために重要である。
Step-2
After depositing the starting film 24 as described above, the substrate 4 is transferred into the thermal annealing module 1. Under an oxygen gas atmosphere, the substrate 4 is heated to a high temperature, typically exceeding 400 ° C., which oxidizes the starting material (FIG. 7C) and forms a high-K dielectric 25. The heating process can be performed in one or more stages. Usually, in order to control the chemical reaction during the annealing process, it is appropriate to perform the heat treatment in two or more stages. For example, first, the film is heated to 400 ° C. to oxidize the metal elements in the starting material. When the film is heated at once to a very high temperature, such as 800 ° C., the metal element in the film may form its silicon compound which is stable and exhibits metallic characteristics. If the membrane is properly oxidized at a relatively low temperature, eg 400 ° C., the temperature is raised to a high value, eg 900 ° C., preferably in an inert gas environment. When a metal stack of different metals is used as the starting material, high temperature annealing is important in diffusion between each material and to form a uniform film composition.

ステップ−3
熱アニーリングプロセスが終了した後、基板4は、冷却モジュール8へと搬送され、所望の温度、好ましくは室温まで冷却される。
Step-3
After the thermal annealing process is finished, the substrate 4 is transferred to the cooling module 8 and cooled to a desired temperature, preferably room temperature.

ステップ−4
基板4が他方の斜角PVDモジュールへ搬送され、ゲート電極26が蒸着される(図7(d))。
Step-4
The substrate 4 is transferred to the other oblique angle PVD module, and the gate electrode 26 is deposited (FIG. 7D).

ゲート材料は、Ta,Ru,Hf等の金属、TiN,HfN,TaN等の金属窒化物、RuTa,HfTa等の金属合金、HfSi,TaSi等の金属半導体合金、TaSiN等の金属半導体合金窒化物、または、前述した膜から成る積層体、例えばHf/TaN/TiN,Ru/Ta/TaNであっても良い。   The gate material is a metal such as Ta, Ru or Hf, a metal nitride such as TiN, HfN or TaN, a metal alloy such as RuTa or HfTa, a metal semiconductor alloy such as HfSi or TaSi, a metal semiconductor alloy nitride such as TaSiN, Or the laminated body which consists of a film | membrane mentioned above, for example, Hf / TaN / TiN, Ru / Ta / TaN may be sufficient.

互いに上下に膜積層体を蒸着する際、それぞれの膜を蒸着するために基板を斜角PVDモジュールから除去する必要はない。このPVDモジュールは、5個の陰極16a〜16eを有するとともに、適切なターゲットを固定することにより最大で5個の異なるターゲットを支持しているため、同じ斜角PVDモジュール内で任意の所望の金属積層体を蒸着することができる。   When depositing film stacks on top of each other, it is not necessary to remove the substrate from the beveled PVD module in order to deposit the respective films. This PVD module has 5 cathodes 16a-16e and supports up to 5 different targets by fixing the appropriate target, so any desired metal within the same bevel PVD module A laminate can be deposited.

ゲート電極を蒸着した後、特に金属積層体が蒸着される場合には、基板が熱アニーリングプロセスに晒されても良い。この熱アニーリングプロセス中、金属積層体が相互拡散して新たな均一の組成を形成する。あるいは、ゲート電極蒸着後、図4に示される一体型システムから直接にウエハを取り出すことができる。   After depositing the gate electrode, the substrate may be subjected to a thermal annealing process, particularly when a metal stack is deposited. During this thermal annealing process, the metal stack interdiffuses to form a new uniform composition. Alternatively, the wafer can be removed directly from the integrated system shown in FIG. 4 after gate electrode deposition.

前述した一体型システム内にウエハを配置する前に、基板を以下のように処理して電気的特性を向上させることが好ましい。   Prior to placing the wafer in the integrated system described above, it is preferable to treat the substrate as follows to improve electrical characteristics.

1.希釈されたHF溶液を用いて基板を洗浄して、表面上の自然酸化物を除去する
2.基板を乾燥させる
3.熱SiOから成る非常に薄い(例えば1nm)層を蒸着する(例えば、図7(a)に示された最初に蒸着された膜23)。このプロセスは熱アニーリングモジュール1内で行なうことができる。
1. 1. Wash substrate with diluted HF solution to remove native oxide on the surface. 2. Dry the substrate. A very thin (eg 1 nm) layer of thermal SiO 2 is deposited (eg first deposited film 23 shown in FIG. 7 (a)). This process can take place in the thermal annealing module 1.

Si基板4上で薄い熱SiO層23を使用する理由は、前述した全ての膜蒸着形成プロセス後に、図7(c)および図7(d)に示されるように、最初に蒸着されたSiO層23の一部がSi基板4とhigh−K誘電体25との間の界面に残存するからである。これにより、漏れ電流が小さくなり、電圧ヒステリシスが低くなるとともに、MOSFETにおけるチャンネル領域内の電子の移動性が高まる。 The reason for using a thin thermal SiO 2 layer 23 on the Si substrate 4 is that after all the film deposition forming processes described above, the first deposited SiO 2 as shown in FIGS. 7 (c) and 7 (d). This is because part of the two layers 23 remains at the interface between the Si substrate 4 and the high-K dielectric 25. Thereby, the leakage current is reduced, the voltage hysteresis is lowered, and the mobility of electrons in the channel region in the MOSFET is increased.

図5に示され且つ図4の構成で使用されるこれらの斜角PVDモジュール11,12は、高い蒸着速度を達成することができる。蒸着速度が高い結果、本発明により経済的に実現可能なスループットを得ることができる。   These beveled PVD modules 11, 12 shown in FIG. 5 and used in the configuration of FIG. 4 can achieve high deposition rates. As a result of the high deposition rate, an economically realizable throughput can be obtained with the present invention.

図8においては、2つの斜角PVDモジュール11,12と、2つの熱アニーリングモジュール1a,1bと、1つの冷却モジュール8と、ウエハ搬入/搬出装置フロントエンドモジュール13とが中央ウエハ処理台3に対して取り付けられている。   In FIG. 8, two oblique angle PVD modules 11 and 12, two thermal annealing modules 1 a and 1 b, one cooling module 8, and a wafer loading / unloading device front end module 13 are provided in the central wafer processing table 3. It is attached to.

実施例3で説明した一体型システムと比べて、この実施例4では、更なる熱アニーリングモジュールが追加して設けられている。この追加を除き、他の全てのハードウェアは実施例3で説明したそれと同じである。この更なる熱アニーリングモジュールは、ゲート電極材料の高温アニーリングにおいて使用される。別個の熱アニーリングモジュールを使用して開始材料をアニールすることによりhigh−K誘電体およびゲート電極を形成すると、スループットが向上し、二次汚染を最小限に抑えられる。前述した違いを除き、他の全ての処理ステップおよび手続きは実施例3で説明したそれと同じである。   Compared to the integrated system described in the third embodiment, a further thermal annealing module is additionally provided in the fourth embodiment. Except for this addition, all other hardware is the same as that described in the third embodiment. This further thermal annealing module is used in high temperature annealing of the gate electrode material. Forming a high-K dielectric and gate electrode by annealing the starting material using a separate thermal annealing module increases throughput and minimizes secondary contamination. Except for the differences described above, all other processing steps and procedures are the same as those described in the third embodiment.

図9はhigh−K誘電体を蒸着するための一体型システムの概略図を示している。このシステムは、斜角PVDモジュール11と、RTPモジュール等の熱アニーリングモジュール1と、中央ウエハ処理台3と、ウエハ搬入/搬出装置フロントエンドモジュール(EFEM)13とから成る。   FIG. 9 shows a schematic diagram of an integrated system for depositing a high-K dielectric. This system includes an oblique PVD module 11, a thermal annealing module 1 such as an RTP module, a central wafer processing table 3, and a wafer loading / unloading device front end module (EFEM) 13.

斜角PVDモジュール11の構成および機構は実施例3において説明されており且つ図5に示されている。そのため、この実施例では説明を省略する。   The configuration and mechanism of the beveled PVD module 11 is described in Example 3 and shown in FIG. Therefore, the description is omitted in this embodiment.

図10(a)は、斜角PVDモジュール11を使用して0.015Paの圧力で300mmウエハ上に蒸着されたHf膜の均一性を示している。その蒸着のために使用された他のパラメータは以下の通りである。ターゲット−基板間の垂直距離=250mm、Hfターゲットに加えられたDC電力=300W、基板ホルダの回転速度=240rpm、プラズマガス=Ar。多数の膜厚測定値の標準偏差(σ)は一般に膜不均一性として与えられる。図10(a)に示されるHf膜の49点測定値の標準偏差(σ)は0.16%である。図10(a)に示されるラインは等均一線36である。各等均一線に示されている参照符号37は、正規化された均一性である。図10(b)は、直径ラインにわたる正規化された均一性を示している。   FIG. 10A shows the uniformity of the Hf film deposited on the 300 mm wafer using the oblique PVD module 11 at a pressure of 0.015 Pa. Other parameters used for the deposition are as follows: Target-substrate vertical distance = 250 mm, DC power applied to Hf target = 300 W, substrate holder rotation speed = 240 rpm, plasma gas = Ar. The standard deviation (σ) of multiple film thickness measurements is generally given as film non-uniformity. The standard deviation (σ) of the 49-point measurement value of the Hf film shown in FIG. 10 (a) is 0.16%. The line shown in FIG. 10A is the uniform line 36. The reference sign 37 shown in each iso-uniform line is normalized uniformity. FIG. 10 (b) shows the normalized uniformity across the diameter line.

バイメタル蒸着または金属合金蒸着の場合、2以上のターゲット(16a,16b...)に対してDCまたはRF電力が同時に与えられる。各陰極に加えられるDCまたはRF電力を調整することにより、金属合金の組成を変えることができる。例えば図11はHfSi組成の制御可能性を示している。図11における蒸着条件は以下の通りである。処理ガス=Ar、圧力=0.015Pa、HfターゲットDC電力=70W、SiターゲットDC電力=30W〜130W、基板−ターゲット間距離=250mm。Siターゲットに加えられるDC電力を制御することにより、HfSi膜のHf部分を55%〜82%(あるいは、Si部分を45%〜18%)に制御できる。図11においては、HfSi膜のHf部分の変化が参照符号38で示されており、また、HfSi膜のSi部分の変化が参照符号39で示されている。   In the case of bimetal deposition or metal alloy deposition, DC or RF power is simultaneously applied to two or more targets (16a, 16b ...). By adjusting the DC or RF power applied to each cathode, the composition of the metal alloy can be varied. For example, FIG. 11 shows the controllability of the HfSi composition. The vapor deposition conditions in FIG. 11 are as follows. Process gas = Ar, pressure = 0.015 Pa, Hf target DC power = 70 W, Si target DC power = 30 W to 130 W, substrate-target distance = 250 mm. By controlling the DC power applied to the Si target, the Hf portion of the HfSi film can be controlled to 55% to 82% (or the Si portion is 45% to 18%). In FIG. 11, the change of the Hf portion of the HfSi film is indicated by reference numeral 38, and the change of the Si portion of the HfSi film is indicated by reference numeral 39.

反応スパッタ蒸着の場合、PVDモジュールには、例えばAr等の不活性ガスの他に、反応ガス、例えば酸素または窒素が加えられる。反応ガスは、プラズマ中で分解してスパッタ原子と反応した後、ウエハ表面上に蒸着する。例えば、図12(a)は、以下の条件でAr+N混合ガスを使用する反応スパッタリング方法によって蒸着されたTaN膜の膜均一性を示している。 In the case of reactive sputter deposition, a reactive gas such as oxygen or nitrogen is added to the PVD module in addition to an inert gas such as Ar. The reactive gas decomposes in the plasma and reacts with the sputtered atoms, and is then deposited on the wafer surface. For example, FIG. 12A shows the film uniformity of a TaN film deposited by a reactive sputtering method using an Ar + N 2 mixed gas under the following conditions.

TaターゲットDC電力=300W、プラズマガス=Ar、圧力=0.015Pa、Ar流量=30sccm、N流量=10sccm、基板−ターゲット間距離=250mm。 Ta target DC power = 300 W, plasma gas = Ar, pressure = 0.015 Pa, Ar flow rate = 30 sccm, N 2 flow rate = 10 sccm, substrate-target distance = 250 mm.

図12(a)は等均一線36を示しており、一方、図12(b)は直径ラインにわたる正規化された均一性を示している。図12に示されるTaN膜の49−厚さ測定値の標準偏差は0.13%である。   FIG. 12 (a) shows the uniform line 36, while FIG. 12 (b) shows the normalized uniformity across the diameter line. The standard deviation of the 49-thickness measurement of the TaN film shown in FIG. 12 is 0.13%.

膜がPVDモジュール11内で蒸着された後、基板4は、真空破壊を引き起こすことなく熱アニーリングモジュール1へと搬送される。   After the film is deposited in the PVD module 11, the substrate 4 is transferred to the thermal annealing module 1 without causing a vacuum break.

熱アニーリングモジュール1は、図6に示されるRTPモジュールであり、前述した実施例1で説明されている。   The thermal annealing module 1 is the RTP module shown in FIG. 6 and has been described in the first embodiment.

RTPモジュール等の熱アニーリングモジュール1による加熱は、同じ或いは異なるガス環境下において2以上のステップで行なうことができる。例えば、第1のステップにおいては、ウエハ表面上に蒸着された膜を酸化するためだけに加熱が行なわれ、第2のステップまたはその後のステップにおいては、ウエハが更に高い温度まで加熱され、酸化された膜と下側のSiまたは任意の他の下側の膜とが混合される。   Heating by the thermal annealing module 1 such as an RTP module can be performed in two or more steps in the same or different gas environment. For example, in the first step, heating is performed only to oxidize the film deposited on the wafer surface, and in the second step or subsequent steps, the wafer is heated to a higher temperature and oxidized. And the lower Si or any other lower film is mixed.

例えば、以下の処理によりHfSiON膜が形成される。   For example, an HfSiON film is formed by the following process.

p型Siウエハを用いて開始する
HFを用いて洗浄することにより、自然酸化物を除去する
1nm熱SiOを蒸着する
PVDモジュール(11)内に配置することにより1nmHfNを蒸着する
ウエハをRTPモジュール(1)内に配置する
酸素雰囲気中において30秒間400℃でアニールする
不活性ガス雰囲気中において30秒間800℃でアニールする
ウエハが取り出されて膜が評価される。
Start with p-type Si wafer Remove native oxide by cleaning with HF Evaporate 1 nm thermal SiO 2 Deposit 1 nm HfN by placing in PVD module (11) Wafer RTP module (1) Arranged in Annealing at 400 ° C. for 30 seconds in an oxygen atmosphere Annealing at 800 ° C. for 30 seconds in an inert gas atmosphere The wafer is taken out and the film is evaluated.

第1のアニーリングステップ中にHfN膜が酸化されてHfONが形成される。第2のアニーリングステップ中にHfONおよび下側のSiO膜が相互に混合されてHfSiONが形成される。前記膜において得られるRBSスペクトルが図13に示されている。この図は膜がHfSiONであることは明確に示している。 During the first annealing step, the HfN film is oxidized to form HfON. During the second annealing step, HfON and the lower SiO 2 film are mixed together to form HfSiON. The RBS spectrum obtained in the film is shown in FIG. This figure clearly shows that the film is HfSiON.

なお、RTPプロセス後、形成されたhigh−K膜の更なる酸化を防止するため、キャッピング層としてTi膜が蒸着される。   Note that after the RTP process, a Ti film is deposited as a capping layer in order to prevent further oxidation of the formed high-K film.

第2のRTPプロセス中、最初に蒸着された熱SiO膜の一部だけが消費されてHfSiONが形成される。したがって、半導体の真上で且つHfSiON膜の下側に薄いSiO層が残存する。 During the second RTP process, only a portion of the initially deposited thermal SiO 2 film is consumed to form HfSiON. Therefore, a thin SiO 2 layer remains just above the semiconductor and below the HfSiON film.

チャンネル領域107内(図15)での電子の移動性を高めるためには、熱SiOから成る非常に薄い層をHfSiON膜の下側に残存させることが重要である。そのため、熱SiOの一部だけを消費するためのRTP温度および時間を制御しなければならない。したがって、この方法により、例えば5オングストロームの非常に薄い熱SiO層をHfSiON層の下側に残すことができる。このプロセスの重要性は、そのような薄いSiO層を直接に蒸着する信頼できる技術が存在しないということである。 In order to increase the mobility of electrons in the channel region 107 (FIG. 15), it is important to leave a very thin layer made of thermal SiO 2 below the HfSiON film. Therefore, the RTP temperature and time for consuming only part of the thermal SiO 2 must be controlled. Thus, this method allows a very thin thermal SiO 2 layer, for example 5 Å, to remain under the HfSiON layer. The importance of this process is that there is no reliable technique for directly depositing such a thin SiO 2 layer.

HfSiONは、膜組成に応じて相対誘電率が15〜24のhigh−K材料と見なされる。   HfSiON is regarded as a high-K material having a relative dielectric constant of 15 to 24 depending on the film composition.

前述した方法と同様に、真空を破ることなくPVDモジュールおよびRTPモジュールを使用して多くの他の異なるhigh−K材料を蒸着することができる。   Similar to the method described above, many other different high-K materials can be deposited using PVD and RTP modules without breaking the vacuum.

このプロセスでは真空が破られないため、プロセス全体の信頼性が非常に高く、また、プロセス全体が再現可能である。そのため、このプロセスを確信をもって実際のデバイス製造に適用することができる。   In this process, since the vacuum is not broken, the reliability of the entire process is very high, and the entire process is reproducible. Therefore, this process can be applied to actual device manufacturing with certainty.

前述したように、PVD(物理的気相成長法)モジュールおよびRTP(急速熱処理)モジュールは、ウエハ搬送モジュールおよびEFEM(装置フロントエンドモジュール)を用いて一体化される。この場合、第1に、PVDモジュール内に配置することにより金属、金属窒化物、または、金属酸化物が基板上に蒸着され、第2に、ウエハがRTPプロセスに晒されることにより金属膜が誘電体に変換され及び/又は誘電特性が向上される。膜蒸着ステップおよびRTPプロセスが真空を破ることなく行われるため、この処理によって蒸着された膜は、再現可能で且つ信頼性が高い特性を与える。   As described above, the PVD (physical vapor deposition) module and the RTP (rapid thermal processing) module are integrated using a wafer transfer module and an EFEM (apparatus front end module). In this case, first, a metal, metal nitride, or metal oxide is deposited on the substrate by placing it in the PVD module, and second, the metal film becomes dielectric by exposing the wafer to an RTP process. Converted to body and / or improved dielectric properties. Since the film deposition step and the RTP process are performed without breaking the vacuum, the film deposited by this process provides reproducible and reliable properties.

本発明は、前述した好ましい実施例に限定されず、添付の請求項によって規定される技術的範囲内及びそれと均等な範囲内で様々な実施形態に変更されても良い。   The present invention is not limited to the above-described preferred embodiments, but may be changed to various embodiments within the technical scope defined by the appended claims and the scope equivalent thereto.

1 熱アニーリングモジュール
2 金属ゲート蒸着モジュール
3 中央ウエハ処理台
4 基板
5 ウエハアライナ
6 ウエハ搬入ポート
7 ウエハ搬出ポート
8 冷却モジュール
9 ロボットアーム
10 high−K誘電体蒸着モジュール
11 斜角PVDモジュール
12 斜角PVDモジュール
13 ウエハ搬入/搬出装置フロントエンドモジュール
14 ターゲット
15 ターゲット角度α
16 陰極
16a,16b,16c,16d,16e 陰極
17 基板ホルダ
18 基板ホルダの中心軸
19 基板ホルダ
20 ウエハ加熱機構
21 ガス吸気口
22 ガス排気口
23 最初に蒸着された非常に薄いSiOまたはSiON層
24 開始材料(膜)
25 high−K誘電体
26 ゲート電極
27 チャンバ壁
28 真空引きポート
29 ウエハ搬入/搬出ポート
30 バッキングプレート
31 絶縁体
32 マグネット
33 基板搬入/搬出ポート
DESCRIPTION OF SYMBOLS 1 Thermal annealing module 2 Metal gate vapor deposition module 3 Central wafer processing stand 4 Substrate 5 Wafer aligner 6 Wafer carry-in port 7 Wafer carry-out port 8 Cooling module 9 Robot arm 10 high-K dielectric vapor deposition module 11 Oblique PVD module 12 Oblique PVD Module 13 Wafer loading / unloading device front end module 14 Target 15 Target angle α
16 Cathode 16a, 16b, 16c, 16d, 16e Cathode 17 Substrate holder 18 Center axis of substrate holder 19 Substrate holder 20 Wafer heating mechanism 21 Gas inlet 22 Gas outlet 23 Very thin SiO 2 or SiON layer deposited first 24 Starting material (membrane)
25 high-K dielectric 26 gate electrode 27 chamber wall 28 evacuation port 29 wafer loading / unloading port 30 backing plate 31 insulator 32 magnet 33 substrate loading / unloading port

Claims (18)

基板を搬送するための搬送手段を有するウエハ搬送モジュールと、前記ウエハ搬送モジュールに接続された熱アニーリングモジュール、冷却モジュール、第1のPVDモジュール及び第2のPVDモジュールとを有する基板処理システムを用いた高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法において、

前記基板を前記搬送手段を用いて前記熱アニーリングモジュールに搬入する第1のステップと、前記熱アニーリングモジュール内で前記基板上にSiO膜を形成する第2のステップと、前記SiO 膜の形成された基板を前記搬送手段を用いて前記第1のPVDモジュールに搬入する第3のステップと、前記基板のSiO膜上にHf/AlN/Hf積層体から構成される積層膜を前記第1のPVDモジュール内で形成する第4のステップと、前記積層膜の形成された基板を前記熱アニーリングモジュール内に搬入する第5のステップと、前記積層膜の形成された基板を前記熱アニーリングモジュール内で加熱し前記積層膜を酸化することにより前記高誘電体ゲート絶縁膜を形成する第6のステップと、前記高誘電体ゲート絶縁膜の形成された基板を前記搬送手段を用いて前記第2のPVDモジュールに搬入する第7のステップと、前記基板の前記高誘電体ゲート絶縁膜上に前記第2のPVDモジュールで前記金属ゲート電極膜を形成する第8ステップ、とを含み、

前記形成されたSiO膜、前記形成された積層膜及び前記形成された高誘電体膜を大気に曝すことなく前記基板を前記搬送手段により前記ウェハ搬送モジュール内を介して搬送して前記第1のステップから第8ステップの順序で行うことを特徴とする方法。
A substrate processing system having a wafer transfer module having transfer means for transferring a substrate, and a thermal annealing module, a cooling module, a first PVD module, and a second PVD module connected to the wafer transfer module was used. In a method of manufacturing a field effect transistor having a high dielectric gate insulating film and a metal gate electrode,

Formation of a first step and a second step of forming a SiO 2 film on the substrate in the thermal annealing module, the SiO 2 film for carrying the substrate to the thermal annealing module using said conveying means third step and, Hf / AlN / Hf claim 1 composed laminated film of a laminated body on the SiO 2 film of the substrate to be carried into the first PVD module using the transport means of the substrate that is a fourth step of forming in a PVD module, said a fifth step of a substrate formed of a laminated film loading into the thermal annealing module, in the multilayer film the thermal annealing module substrate formed of a sixth step of forming the high dielectric gate insulating film in by heating to oxidize the laminated film, formed of the high dielectric gate insulating film A seventh step of transferring the substrate to the second PVD module by using the conveyor means that, the metal gate electrode film in the second PVD module to the high dielectric gate insulating film on the substrate eighth step of forming includes a city,

The formed SiO 2 film, the formed laminated film and said formed high dielectric film said wafer transfer is conveyed through the module the first said substrate by said conveying means without exposure to the air A method comprising performing the steps in the order of the eighth step to the eighth step.
請求項1に記載の電界効果トランジスタの製造方法において、前記第2ステップと前記第3ステップとの間に、前記SiO膜の形成された基板を搬送手段を用いて前記冷却モジュールに搬入する第9のステップと、前記SiO膜の形成された基板を前記冷却モジュールで冷却する第10のステップと、とを含み、前記第6ステップと前記第7ステップとの間に、前記高誘電体ゲート絶縁膜の形成された基板を搬送手段を用いて冷却モジュールに搬入する第11のステップと、前記高誘電体ゲート絶縁膜の形成された基板を冷却モジュールで冷却する第12のステップとを含む方法。
The method of manufacturing a field effect transistor according to claim 1, carried in the between the second step third step, the cooling module using a conveying means a substrate formed of the SiO 2 film a ninth step of, a tenth step of cooling the substrate formed of the SiO 2 film in the cooling module includes a city, between the seventh step and the sixth step, the An eleventh step of carrying the substrate on which the high dielectric gate insulating film is formed into the cooling module using a transfer means, and a twelfth step of cooling the substrate on which the high dielectric gate insulating film is formed by the cooling module. And a method comprising.
請求項1に記載の電界効果トランジスタの製造方法において、前記第6のステップのアニーリングは酸素ガス雰囲気で行われる方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the annealing in the sixth step is performed in an oxygen gas atmosphere.
請求項1に記載の電界効果トランジスタの製造方法において、前記第6のステップは酸素ガス雰囲気において行なわれる第1のアニーリングと、不活性ガス雰囲気で行なわれる第2のアニーリングとからなる方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the sixth step includes a first annealing performed in an oxygen gas atmosphere and a second annealing performed in an inert gas atmosphere.
請求項1に記載の電界効果トランジスタの製造方法において、前記第1と第2のPVDモジュール内には、前記基板が載置された基板ホルダーとターゲットを備えるカソードとが設けられており、前記ターゲット表面は、前記基板ホルダーに載置された基板表面に対して角度10°から90°の範囲にある方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein a substrate holder on which the substrate is placed and a cathode including a target are provided in the first and second PVD modules, and the target. The method wherein the surface is in the range of 10 ° to 90 ° with respect to the surface of the substrate placed on the substrate holder.
請求項1に記載の電界効果トランジスタの製造方法において、前記第8のステップにおける前記金属ゲート電極膜は金属である方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the eighth step is a metal.
請求項に記載の電界効果トランジスタの製造方法において、前記金属はTa、Ru又はHfである方法。
The method of manufacturing a field effect transistor according to claim 6 , wherein the metal is Ta, Ru, or Hf.
請求項1に記載の電界効果トランジスタの製造方法において、前記第8のステップにおける前記金属ゲート電極膜は金属窒化物である方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the eighth step is a metal nitride.
請求項に記載の電界効果トランジスタの製造方法において、前記金属窒化物はTiN、HfN、又はTaNである方法。
9. The method of manufacturing a field effect transistor according to claim 8 , wherein the metal nitride is TiN, HfN, or TaN.
請求項1に記載の電界効果トランジスタの製造方法おいて、前記第8のステップにおける前記金属ゲート電極膜は金属合金である方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the eighth step is a metal alloy.
請求項10に記載の電界効果トランジスタの製造方法おいて、前記金属合金はRuTa、又はHfTaである方法。
11. The method of manufacturing a field effect transistor according to claim 10 , wherein the metal alloy is RuTa or HfTa.
請求項1に記載の電界効果トランジスタの製造方法において、前記第8のステップにおける前記金属ゲート電極膜は金属半導体合金である方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the eighth step is a metal semiconductor alloy.
請求項12記載の電界効果トランジスタの製造方法において、前記金属半導体合金はHfSi、又はTaSiである方法。
13. The method of manufacturing a field effect transistor according to claim 12 , wherein the metal semiconductor alloy is HfSi or TaSi.
請求項1に記載の電界効果トランジスタの製造方法において、前記第8のステップにおける前記金属ゲート電極膜は金属半導体合金窒化物である方法。
2. The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the eighth step is a metal semiconductor alloy nitride.
請求項14記載の電界効果トランジスタの製造方法において、前記金属半導体合金窒化物はTaSiNである方法。
15. The method of manufacturing a field effect transistor according to claim 14 , wherein the metal semiconductor alloy nitride is TaSiN.
請求項1に記載の電界効果トランジスタの製造方法において、前記第8のステップにおける前記金属ゲート電極膜は金属積層体膜である方法。
The method of manufacturing a field effect transistor according to claim 1, wherein the metal gate electrode film in the step of the eighth is a metal laminate film method.
請求項16に記載の電界効果トランジスタの製造方法において、前記金属積層体膜はHf/TaN/TiN又はRu/Ta/TaNである方法。
17. The method of manufacturing a field effect transistor according to claim 16 , wherein the metal laminate film is Hf / TaN / TiN or Ru / Ta / TaN.
請求項16に記載の電界効果トランジスタの製造方法において、前記金属積層体膜の形成は前記第2のPVDモジュールにおいて行なわれる方法。 17. The method of manufacturing a field effect transistor according to claim 16 , wherein the formation of the metal laminate film is performed in the second PVD module.
JP2009268467A 2009-11-26 2009-11-26 Method for manufacturing field effect transistor Expired - Fee Related JP4523995B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009268467A JP4523995B2 (en) 2009-11-26 2009-11-26 Method for manufacturing field effect transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009268467A JP4523995B2 (en) 2009-11-26 2009-11-26 Method for manufacturing field effect transistor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005051340A Division JP4914573B2 (en) 2005-02-25 2005-02-25 Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode

Publications (2)

Publication Number Publication Date
JP2010093276A JP2010093276A (en) 2010-04-22
JP4523995B2 true JP4523995B2 (en) 2010-08-11

Family

ID=42255646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009268467A Expired - Fee Related JP4523995B2 (en) 2009-11-26 2009-11-26 Method for manufacturing field effect transistor

Country Status (1)

Country Link
JP (1) JP4523995B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118160A (en) * 2000-10-11 2002-04-19 Tokyo Electron Ltd Method for fabricating field effect transistor, and method and system for fabricating semiconductor device
JP2002314074A (en) * 2001-02-06 2002-10-25 Matsushita Electric Ind Co Ltd Method for forming insulation film and method for manufacturing semiconductor device
JP2003297822A (en) * 2002-03-29 2003-10-17 Tokyo Electron Ltd Method of forming insulation film
WO2003088342A1 (en) * 2002-03-29 2003-10-23 Tokyo Electron Limited Method for producing material of electronic device
JP2004266263A (en) * 2003-02-12 2004-09-24 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
JP2004273585A (en) * 2003-03-06 2004-09-30 Toshiba Corp Method of manufacturing semiconductor device
JP2004342775A (en) * 2003-05-14 2004-12-02 Fujitsu Ltd Semiconductor device and its manufacturing method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464701A (en) * 1983-08-29 1984-08-07 International Business Machines Corporation Process for making high dielectric constant nitride based materials and devices using the same
JPH1064902A (en) * 1996-07-12 1998-03-06 Applied Materials Inc Method for film formation of aluminum material and device therefor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118160A (en) * 2000-10-11 2002-04-19 Tokyo Electron Ltd Method for fabricating field effect transistor, and method and system for fabricating semiconductor device
JP2002314074A (en) * 2001-02-06 2002-10-25 Matsushita Electric Ind Co Ltd Method for forming insulation film and method for manufacturing semiconductor device
JP2003297822A (en) * 2002-03-29 2003-10-17 Tokyo Electron Ltd Method of forming insulation film
WO2003088342A1 (en) * 2002-03-29 2003-10-23 Tokyo Electron Limited Method for producing material of electronic device
JP2004266263A (en) * 2003-02-12 2004-09-24 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
JP2004273585A (en) * 2003-03-06 2004-09-30 Toshiba Corp Method of manufacturing semiconductor device
JP2004342775A (en) * 2003-05-14 2004-12-02 Fujitsu Ltd Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
JP2010093276A (en) 2010-04-22

Similar Documents

Publication Publication Date Title
JP4914573B2 (en) Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
TWI392022B (en) Semiconductor device manufacturing apparatus and method
JP4165076B2 (en) Semiconductor device having high dielectric constant insulating film
US7816283B2 (en) Method of depositing a higher permittivity dielectric film
US9224594B2 (en) Surface preparation with remote plasma
JP5247619B2 (en) Dielectric film, semiconductor device manufacturing method using the dielectric film, and semiconductor manufacturing apparatus
US7674710B2 (en) Method of integrating metal-containing films into semiconductor devices
US20100003813A1 (en) Semiconductor device and method of fabricating the same
TW201842539A (en) Selective etch of metal nitride films
JP4584356B2 (en) Substrate processing method, substrate processing apparatus, MOS-FET manufacturing method, nonvolatile memory manufacturing method, and computer-readable recording medium
US8669624B2 (en) Semiconductor device and manufacturing method thereof
JP4523994B2 (en) Method for manufacturing field effect transistor
TWI753250B (en) Selective etch methods and methods of improving etch selectivity
KR100928023B1 (en) Semiconductor device and manufacturing method
JP4523995B2 (en) Method for manufacturing field effect transistor
US7858509B2 (en) High-dielectric film substrate processing method
TW202009975A (en) Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films
JP2009124177A (en) Method for vapor-depositing metal gate on high-k dielectric film, method for improving interface between high-k dielectric film and metal gate, and substrate treatment system
JP2011066187A (en) Film formation method and processing system
TW202301484A (en) Amorphous silicon-based scavenging and sealing eot
WO2022051315A1 (en) Pmos high-k metal gates
JP2009079301A (en) Reactive sputtering device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100421

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100524

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100528

R150 Certificate of patent or registration of utility model

Ref document number: 4523995

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130604

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees