JP4499751B2 - Formulation for removing photoresist, etch residue and BARC and method comprising the same - Google Patents

Formulation for removing photoresist, etch residue and BARC and method comprising the same Download PDF

Info

Publication number
JP4499751B2
JP4499751B2 JP2007021474A JP2007021474A JP4499751B2 JP 4499751 B2 JP4499751 B2 JP 4499751B2 JP 2007021474 A JP2007021474 A JP 2007021474A JP 2007021474 A JP2007021474 A JP 2007021474A JP 4499751 B2 JP4499751 B2 JP 4499751B2
Authority
JP
Japan
Prior art keywords
acid
formulation
weight
photoresist
propylene glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007021474A
Other languages
Japanese (ja)
Other versions
JP2008129571A (en
JP2008129571A5 (en
Inventor
アイ.エグブ マシュー
ウォルター レジェンザ マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/602,662 external-priority patent/US7674755B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2008129571A publication Critical patent/JP2008129571A/en
Publication of JP2008129571A5 publication Critical patent/JP2008129571A5/ja
Application granted granted Critical
Publication of JP4499751B2 publication Critical patent/JP4499751B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

ミクロ電子工学構造物の製造には多数のステップが含まれる。集積回路加工の製造スキームの中では、半導体表面の選択的なエッチングが時々必要とされる。歴史的に、選択的に材料を除去するための多数の非常に異なるタイプのエッチングプロセスが様々な程度に首尾よく利用されてきている。さらに、ミクロ電子工学構造物の内部での、種々の層の選択的エッチングは、集積回路加工プロセスにおける重大かつ決定的なステップと考えられる。   The manufacture of microelectronic structures involves a number of steps. Within integrated circuit processing manufacturing schemes, selective etching of the semiconductor surface is sometimes required. Historically, a number of very different types of etching processes for selective material removal have been successfully utilized to varying degrees. Furthermore, selective etching of the various layers within the microelectronic structure is considered a critical and critical step in the integrated circuit processing process.

半導体及び半導体ミクロ回路の製造において、基材材料を高分子有機物質でコートすることがしばしば必要である。いくつかの基材材料の例はチタニウム、銅、さらにチタニウム、銅、及びそれに類するものの金属元素を含んでもよい二酸化珪素をコートした珪素ウェハーを含む。概して、高分子有機物質はフォトレジスト材料である。これは光に曝した後の現像においてエッチマスクを形成する材料である。それに続くプロセスステップにおいて、フォトレジストの少なくとも一部が基材の表面から除去される。基材からフォトレジストを除去する一つの一般的な方法は湿式の化学的手段によるものである。基材からフォトレジストを除去するために配合した湿式の化学的組成物は、任意の金属回路の表面を腐食し、溶解し、及び/又は曇らせること;化学的に無機基材に変えること;及び/又は基材自身を攻撃することは無く、フォトレジストを除去しなければならない。フォトレジストを除去する別の方法は乾式灰化法によるものであり、ここでフォトレジストは酸素又は水素のようなフォーミングガスのいずれかを使用するプラズマ灰化によって除去される。残留物又は副産物はフォトレジスト自体でもよく、又はフォトレジスト、下に横たわる基材及び/又はエッチガスの組合せでもよい。これらの残留物又は副産物はしばしばサイドウォールポリマー、ベール又はフェンスと呼ばれる。   In the manufacture of semiconductors and semiconductor microcircuits, it is often necessary to coat the substrate material with a polymeric organic material. Examples of some substrate materials include silicon wafers coated with silicon dioxide, which may contain metallic elements such as titanium, copper, and also titanium, copper, and the like. Generally, the polymeric organic material is a photoresist material. This is a material for forming an etch mask in development after exposure to light. In subsequent process steps, at least a portion of the photoresist is removed from the surface of the substrate. One common method of removing the photoresist from the substrate is by wet chemical means. A wet chemical composition formulated to remove photoresist from a substrate corrodes, dissolves and / or cloudes the surface of any metal circuit; chemically converts to an inorganic substrate; and The photoresist must be removed without attacking the substrate itself. Another method of removing the photoresist is by dry ashing, where the photoresist is removed by plasma ashing using either a forming gas such as oxygen or hydrogen. The residue or by-product may be the photoresist itself or a combination of photoresist, underlying substrate and / or etch gas. These residues or by-products are often referred to as sidewall polymers, veils or fences.

ますます、反応性イオンエッチング(RIE)はビア、金属ライン及びトレンチの形成の間にパターン転写するための最適なプロセスである。例えば、アドバンスDRAM及びマイクロプロセッサーのような複雑な半導体デバイス(これらは相互接続配線ラインの後端である複数の層を必要とする)は、ビア、金属ライン及びトレンチ構造を創るためにRIEを利用する。珪素、珪素化合物又は金属配線の一のレベルと隣の配線のレベルとの間の接触を提供するために、ビアが層間誘電体を介して使用される。金属ラインはデバイス相互接続として使用される導電性構造である。トレンチ構造は金属ライン構造の形成において使用される。ボトム(レジスト下層に形成される)反射防止コーティング(BARC)及び空隙充填材料(これらは概して高度に架橋した有機高分子材料である)、は銅を含む半導体基材において広く使用される。BARC材料はまた、例えば、珪素も含んでもよい。ビア、金属ライン及びトレンチ構造は概して、Al−Cu、Cu、Ti、TiN、Ta、TaN、W、TiW、珪素又は珪素化合物(例えばタングステン、チタニウム又はコバルトの珪素化合物)のような、金属及び合金を露出させる。RIEプロセスは概して、再スパッタ酸化物材料を含むことがある残留物、同様に場合によってはフォトレジストに由来する有機材料及びリソグラフィー的にビア、金属ライン又はトレンチ構造を画定するために使用される反射保護コート材料も残したままにする。   Increasingly, reactive ion etching (RIE) is an optimal process for pattern transfer during the formation of vias, metal lines and trenches. For example, complex semiconductor devices such as advanced DRAMs and microprocessors (which require multiple layers behind the interconnect wiring lines) use RIE to create vias, metal lines and trench structures To do. Vias are used through the interlayer dielectric to provide contact between one level of silicon, silicon compound or metal wiring and the level of the adjacent wiring. Metal lines are conductive structures used as device interconnects. The trench structure is used in forming a metal line structure. Bottom (formed under the resist) anti-reflective coating (BARC) and void filling materials (which are generally highly crosslinked organic polymeric materials) are widely used in semiconductor substrates including copper. The BARC material may also include, for example, silicon. Vias, metal lines and trench structures are generally metals and alloys, such as Al-Cu, Cu, Ti, TiN, Ta, TaN, W, TiW, silicon or silicon compounds (eg tungsten, titanium or cobalt silicon compounds). To expose. The RIE process is generally used to define residues that may contain re-sputtered oxide material, as well as possibly organic material derived from photoresist and lithographically via, metal line or trench structures. The protective coating material is also left behind.

それゆえ、例えば残留フォトレジスト、BARC及び/又はプロセス残留物(例えばプラズマ及び/又はRIEを使用する選択的エッチングに起因する残留物)のような、残留物を除去することが可能である選択的な洗浄組成物及びプロセスを提供することが望まれる。さらに、フォトレジスト、BARC及びエッチング残留物のような残留物を除去することが可能であって、金属、高誘電率材料(ここで「high−k」と呼ばれる)、珪素、珪素化合物及び/又は洗浄組成物に曝露されることもあってもよい付着酸化物のような低誘電率材料(ここで「low−k」と呼ばれる)を含むレベル間誘電性材料に比べて残留物に対して高い選択性を示す、選択的な洗浄組成物及びプロセスを提供することが望まれる。HSQ、MSQ、FOx、黒ダイヤ及びTEOS(珪酸テトラエチル)のような繊細なlow−k膜と相性がよくそして一緒に使用されることが可能である組成物を提供することが望まれる。   Therefore, it is possible to remove residues such as residual photoresist, BARC and / or process residues (for example, residues resulting from selective etching using plasma and / or RIE). It would be desirable to provide a clean cleaning composition and process. In addition, residues such as photoresist, BARC and etch residues can be removed, including metals, high dielectric constant materials (referred to herein as “high-k”), silicon, silicon compounds and / or High for residue compared to interlevel dielectric materials including low dielectric constant materials such as deposited oxides (herein referred to as “low-k”) that may be exposed to the cleaning composition It would be desirable to provide selective cleaning compositions and processes that exhibit selectivity. It would be desirable to provide a composition that is compatible with and can be used together with delicate low-k films such as HSQ, MSQ, FOx, black diamond and TEOS (tetraethyl silicate).

ここで開示される配合物は選択的に残留物(例えば、フォトレジスト、イオン注入フォトレジスト、空隙充填材、BARC及び/又は他の高分子材料)、及び/又は無機材料及びプロセス残留物を、配合物に曝されることもあってもよい金属、low−k誘電体、及び/又はhigh−k誘電体材料の任意の望ましくない範囲に攻撃をすることなく、基材から除去することが可能である。フォトレジスト、エッチ残留物又はBARCを除去する配合物は水酸化アンモニウム及び2−アミノベンゾチアゾール、残余水を含む。好ましい配合物は水酸化テトラメチルアンモニウム、トリルトリアゾール、プロピレングリコール、2−アミノベンゾチアゾール、ジプロピレングリコールモノメチルエーテル、残余水:より好ましくは1〜15質量%の水酸化テトラメチルアンモニウム、1〜5質量%のトリルトリアゾール、5〜15質量%のプロピレングリコール、1〜10質量%の2−アミノベンゾチアゾール、20〜45質量%のジプロピレングリコールモノメチルエーテル、残余水を含む。特定のより好ましい配合物は6.5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、10質量%のプロピレングリコール、6質量%の2−アミノベンゾチアゾール、39質量%のジプロピレングリコールモノメチルエーテル、残余水を含む。ほかの特定のより好ましい配合物は5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、12.13質量%のプロピレングリコール、1.5質量%の2−アミノベンゾチアゾール、40質量%のジプロピレングリコールモノメチルエーテル、残余水を含む。本発明はまたフォトレジスト、エッチ残留物、BARC及びそれらの組合せからなる群から選択した材料を、基材から除去する方法であって、材料を基材から除去するために上記に記載された配合物を基材に適用することを含む方法である。   The formulations disclosed herein can selectively remove residues (e.g., photoresists, ion-implanted photoresists, void fillers, BARCs and / or other polymeric materials), and / or inorganic materials and process residues. Can be removed from the substrate without attacking any undesired areas of metal, low-k dielectric, and / or high-k dielectric material that may be exposed to the formulation It is. Formulations for removing photoresist, etch residue or BARC include ammonium hydroxide and 2-aminobenzothiazole, residual water. Preferred formulations are tetramethylammonium hydroxide, tolyltriazole, propylene glycol, 2-aminobenzothiazole, dipropylene glycol monomethyl ether, residual water: more preferably 1-15% by weight tetramethylammonium hydroxide, 1-5% % Tolyltriazole, 5-15% by weight propylene glycol, 1-10% by weight 2-aminobenzothiazole, 20-45% by weight dipropylene glycol monomethyl ether, residual water. Certain more preferred formulations are 6.5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 10% by weight propylene glycol, 6% by weight 2-aminobenzothiazole, 39% by weight dipropylene glycol. Contains monomethyl ether and residual water. Another particular more preferred formulation is 5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 12.13% by weight propylene glycol, 1.5% by weight 2-aminobenzothiazole, 40% by weight. Of dipropylene glycol monomethyl ether and residual water. The present invention is also a method of removing a material selected from the group consisting of photoresist, etch residue, BARC, and combinations thereof from a substrate, the formulation described above for removing the material from the substrate. Applying an object to a substrate.

残留物(例えば、フォトレジスト、イオン注入フォトレジスト、空隙充填材、ボトム反射防止コーティング(BARC)及び他の高分子材料)及び/又はプロセス残留物(例えば、エッチングによって生じた残留物)を選択的に除去するための配合物及び同配合物を含む方法がここに記載される。ミクロ電子工学デバイスのための実用的な基材と関係する洗浄方法において、除去される典型的な汚染物は、例えば、曝露された及び/又は灰化されたフォトレジスト材料、灰化されたフォトレジスト残留物、UV−又はX線−硬化フォトレジスト、C−F−含有高分子、低及び高分子量の高分子、並びに他の有機エッチ残留物のような有機化合物;化学機械平坦化(CMP)スラリーに由来するセラミック粒子、金属酸化物及び他の無機エッチ残留物のような無機化合物;有機金属残留物及び金属有機化合物のような金属含有化合物;平坦化及びエッチングプロセスのような処理によって生じた粒子を含む、イオン性及び中性の、軽及び重無機(金属)種、水分、並びに不溶性材料、を含んでもよい。特定の一実施態様において、基材から除去した残留物は珪素含有BARC残留物を含む。   Selective residues (eg, photoresist, ion-implanted photoresist, void filler, bottom anti-reflective coating (BARC) and other polymeric materials) and / or process residues (eg, residues produced by etching) Described herein are formulations for removal and methods involving the same. In cleaning methods involving practical substrates for microelectronic devices, typical contaminants that are removed include, for example, exposed and / or ashed photoresist materials, ashed photo Organic compounds such as resist residues, UV- or X-ray-cured photoresists, C-F-containing polymers, low and high molecular weight polymers, and other organic etch residues; chemical mechanical planarization (CMP) Inorganic compounds such as ceramic particles derived from slurry, metal oxides and other inorganic etch residues; metal-containing compounds such as organometallic residues and metal organic compounds; produced by processes such as planarization and etching processes It may include ionic and neutral, light and heavy inorganic (metal) species, moisture, and insoluble materials, including particles. In one particular embodiment, the residue removed from the substrate comprises a silicon-containing BARC residue.

残留物は概して基材に存在し、この基材はまた金属、珪素、珪素化合物及び/又はレベル間誘電性材料(例えば、付着した酸化珪素及び誘導体化した酸化珪素(例えばHSQ、MSQ、FOX、TEOS及びスピンオンガラス))、化学気相付着誘電性材料、low−k材料及び/又はhigh−k材料(例えば珪酸化ハフニウム、酸化ハフニウム、バリウムストロンチウムチタン酸塩(BST)、TiO、TaO)を含むことがあり、ここで残留物並びに金属、珪素、珪酸化物、レベル間誘電性材料、low−k材料及び/又はhigh−k材料は両方とも洗浄配合物と接触する。ここで開示される配合物及び方法は、金属、珪素、二酸化珪素、レベル間誘電性材料、low−k材料及び/又はhigh−k材料を著しく攻撃することなく、フォトレジスト、イオン注入フォトレジスト、BARC、空隙充填材、及び/又はプロセス残留物のような残留物を選択的に除去することを提供する。ある実施態様において、基材は、限定はされないが銅、銅合金、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、及び/又はチタン/タングステン合金のような金属を含んでもよい。ある実施態様において、ここで開示される配合物は繊細なlow−k膜を有する基材に好適であってもよい。ある特定の実施態様において、基材はlow−k材料、high−k材料、又はそれらの組合せを含んでもよい。 Residue is generally present in the substrate, which may also be metal, silicon, silicon compounds and / or interlevel dielectric materials (eg, deposited silicon oxide and derivatized silicon oxide (eg, HSQ, MSQ, FOX, TEOS and spin-on glass)), chemical vapor deposition dielectric materials, low-k materials and / or high-k materials (eg hafnium silicate, hafnium oxide, barium strontium titanate (BST), TiO 2 , TaO 5 ) Where the residue and the metal, silicon, silicate, interlevel dielectric material, low-k material and / or high-k material are both in contact with the cleaning formulation. The formulations and methods disclosed herein provide photoresists, ion-implanted photoresists, without significantly attacking metals, silicon, silicon dioxide, interlevel dielectric materials, low-k materials and / or high-k materials, It provides for the selective removal of residues such as BARC, void fillers, and / or process residues. In certain embodiments, the substrate may comprise a metal such as, but not limited to, copper, copper alloys, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, and / or titanium / tungsten alloys. In certain embodiments, the formulations disclosed herein may be suitable for substrates having delicate low-k membranes. In certain embodiments, the substrate may comprise a low-k material, a high-k material, or a combination thereof.

一面において、BARCを含む基材から残留物を除去するための配合物が提供され、配合物はジプロピレングリコールモノメチルエーテル、水酸化テトラメチルアンモニウム、トリルトリアゾール、プロピレングリコール、2−アミノベンゾチアゾール、及び脱イオン水を含んでいる。さらに好ましくは配合物は20〜45質量%のジプロピレングリコールモノメチルエーテル、1〜15質量%の水酸化テトラメチルアンモニウム、1〜5質量%のトリルトリアゾール、5〜15質量%のプロピレングリコール、1〜10質量%の2−アミノベンゾチアゾール、及び残余脱イオン水である。特定のより好ましい配合物は6.5質量%の水酸化テトラメチルアンモニウム、3.0質量%のトリルトリアゾール、10質量%のプロピレングリコール、6質量%の2−アミノベンゾチアゾール、39質量%のジプロピレングリコールモノメチルエーテル、残余水を含む。ほかの特定のより好ましい配合物は5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、12.13質量%のプロピレングリコール、1.5質量%の2−アミノベンゾチアゾール、40質量%のジプロピレングリコールモノメチルエーテル、残余水を含む。この水酸化物は金属例えばK、Na等の汚染が100ppm以下であるべきである。ここで開示される配合物は、配合物の剥離及び洗浄能力に悪影響を及ぼし又は下に横たわる基材の一以上の表面に損傷を与える、酸化剤、砥材粒子、又は任意の添加成分を含まない。酸化剤の例は、限定はされないが、過酸化水素(H)、モノ過硫酸塩、ヨウ素酸塩、過フタル酸マグネシウム、過酢酸及び他の過酸、過硫酸塩、臭素酸塩、過ヨウ素酸塩、硝酸塩、硝酸、鉄塩、セリウム塩、Mn(III)、Mn(IV)及びMn(VI)塩、銀塩、銅塩、クロム塩、コバルト塩、ハロゲン次亜塩素酸塩並びにそれらの混合物を含む。砥材粒子の例はダイヤモンド粒子並びに金属酸化物、ホウ化物、炭化物、アルミナ、セリア及びシリカ並びにそれらの混合物を含む。ある実施態様において、配合物は、CMPプロセスに由来するCMP溶液中に含まれる砥材粒子のような残留物を除去するために使用される。ここで開示される配合物はこのような粒子を含まないことが好ましい。 In one aspect, a formulation for removing residues from a substrate comprising BARC is provided, the formulation comprising dipropylene glycol monomethyl ether, tetramethylammonium hydroxide, tolyltriazole, propylene glycol, 2-aminobenzothiazole, and Contains deionized water. More preferably the formulation is 20-45% by weight dipropylene glycol monomethyl ether, 1-15% by weight tetramethylammonium hydroxide, 1-5% by weight tolyltriazole, 5-15% by weight propylene glycol, 10% by weight of 2-aminobenzothiazole and residual deionized water. Certain more preferred formulations are 6.5% by weight tetramethylammonium hydroxide, 3.0% by weight tolyltriazole, 10% by weight propylene glycol, 6% by weight 2-aminobenzothiazole, 39% by weight di-dioxide. Contains propylene glycol monomethyl ether and residual water. Another particular more preferred formulation is 5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 12.13% by weight propylene glycol, 1.5% by weight 2-aminobenzothiazole, 40% by weight. Of dipropylene glycol monomethyl ether and residual water. This hydroxide should be contaminated with metals such as K, Na, etc. at 100 ppm or less. The formulations disclosed herein include oxidants, abrasive particles, or optional additive ingredients that adversely affect the stripping and cleaning capabilities of the formulation or damage one or more surfaces of the underlying substrate. Absent. Examples of oxidizing agents include, but are not limited to, hydrogen peroxide (H 2 O 2 ), monopersulfate, iodate, magnesium perphthalate, peracetic acid and other peracids, persulfate, bromate , Periodate, nitrate, nitric acid, iron salt, cerium salt, Mn (III), Mn (IV) and Mn (VI) salt, silver salt, copper salt, chromium salt, cobalt salt, halogen hypochlorite As well as mixtures thereof. Examples of abrasive particles include diamond particles as well as metal oxides, borides, carbides, alumina, ceria and silica and mixtures thereof. In certain embodiments, the formulation is used to remove residues such as abrasive particles contained in a CMP solution derived from a CMP process. The formulations disclosed herein are preferably free of such particles.

水もまたここで開示される配合物中に存在する。水は約1質量%〜約95質量%、又は約1質量%〜約75質量%、又は約1〜約50質量%の範囲の量で存在する。それは、例えば、フッ化物イオン源又は四級アンモニウム化合物を含む水溶液のような、他の要素の構成材として付随的に存在することが可能であり、又はそれは別々に添加されることも可能である。水のいくつかの非限定的な例は脱イオン水、超純水、蒸留水、二重に蒸留した水、又は低い金属含有量を有する脱イオン水を含む。   Water is also present in the formulations disclosed herein. Water is present in an amount ranging from about 1% to about 95%, or from about 1% to about 75%, or from about 1 to about 50% by weight. It can be incidentally present as a component of other elements, such as, for example, an aqueous solution containing a fluoride ion source or a quaternary ammonium compound, or it can be added separately. . Some non-limiting examples of water include deionized water, ultrapure water, distilled water, doubly distilled water, or deionized water having a low metal content.

ある実施態様において、ここで開示される配合物は、好ましくは随意的な構成材として水溶性である有機溶媒を含んでもよい。水溶性の有機溶媒は約0質量%〜約60質量%、又は約0質量%〜約55質量%、又は約0質量%〜約50質量%の範囲の量で存在する。水溶性の有機溶媒の例は、限定はされないが、ジメチルアセトアミド(DMAC)、N−メチルピロリジノン(NMP)、ジメチルスルホキシド(DMSO)、ジメチルホルムアミド、N−メチルホルムアミド、ホルムアミド、ジメチル−2−ピペリドン(DMPD)、テトラヒドロフルフリルアルコール、グリセロール、エチレングリコール、及び他のアミド、アルコール又はスルホキシド、又は多官能基化合物(例えばヒドロキシアミド又はアミノアルコール)を含む。さらに水溶性の有機溶媒の例は(C−C20)アルカンジオール及び(C−C20)アルカントリオールのようなジオール及びポリオール、環式アルコール並びに置換アルコールを含む。これらの水溶性有機溶媒の特定の例はプロピレングリコール、テトラヒドロフルフリルアルコール、ジアセトンアルコール及び1,4−シクロヘキサンジメタノールを含む。ある実施態様において、有機極性溶媒はDMSO、NMP、及び/又はDMACであってもよい。上記に列挙された水溶性有機溶媒は単独で又は二以上の溶媒と組み合わせで使用されてもよい。 In certain embodiments, the formulations disclosed herein may include an organic solvent that is preferably water soluble as an optional component. The water soluble organic solvent is present in an amount ranging from about 0% to about 60%, or from about 0% to about 55%, or from about 0% to about 50% by weight. Examples of the water-soluble organic solvent include, but are not limited to, dimethylacetamide (DMAC), N-methylpyrrolidinone (NMP), dimethylsulfoxide (DMSO), dimethylformamide, N-methylformamide, formamide, dimethyl-2-piperidone ( DMPD), tetrahydrofurfuryl alcohol, glycerol, ethylene glycol, and other amides, alcohols or sulfoxides, or polyfunctional compounds (eg, hydroxyamides or aminoalcohols). Further examples of the water-soluble organic solvent comprises a (C 2 -C 20) alkanediols and (C 3 -C 20) diols such as alkane triol and polyol, cyclic alcohols and substituted alcohols. Specific examples of these water-soluble organic solvents include propylene glycol, tetrahydrofurfuryl alcohol, diacetone alcohol and 1,4-cyclohexanedimethanol. In certain embodiments, the organic polar solvent may be DMSO, NMP, and / or DMAC. The water-soluble organic solvents listed above may be used alone or in combination with two or more solvents.

ある実施態様において、水溶性有機溶媒はグリコールエーテルを含んでもよい。グリコールエーテルの例はエチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールジメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、ジエチレングリコールモノベンジルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールジメチルエーテル、ポリエチレングリコールモノメチルエーテル、ジエチレングリコールメチルエチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、プロピレングリコールメチルエーテルアセテート、プロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、ジプロピレングリコールモノブチルエーテル、ジプロピレングリコールジイソプロピルエーテル、トリプロピレングリコールモノメチルエーテル、1−メトキシ−2−ブタノール、2−メトキシ−1−ブタノール、2−メトキシ−2−メチルブタノール、1,1−ジメトキシエタン及び2−(2−ブトキシエトキシ)エタノールを含む。   In certain embodiments, the water-soluble organic solvent may comprise a glycol ether. Examples of glycol ethers are ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monoisopropyl ether, diethylene glycol Monobutyl ether, diethylene glycol monoisobutyl ether, diethylene glycol monobenzyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, triethylene glycol monomethyl ether, triethylene glycol dimethyl ether, poly Tylene glycol monomethyl ether, diethylene glycol methyl ethyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol methyl ether acetate, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol monobutyl ether, propylene glycol monopropyl ether, di Propylene glycol monomethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monoisopropyl ether, dipropylene glycol monobutyl ether, dipropylene glycol diisopropyl ether, tripropylene glycol monomethyl ether, 1-methoxy-2- Including ethanol, 2-methoxy-1-butanol, 2-methoxy-2-methylbutanol, 1,1-dimethoxyethane and 2- (2-butoxyethoxy) ethanol.

ある実施態様において、配合物は随意的に約0.1質量%〜約5質量%の置換したヒドロキシルアミン又はそれらの酸性塩を含んでもよい。典型的なヒドロキシルアミンはジエチルヒドロキシルアミン並びにそれらの乳酸及びクエン酸塩を含む。 In certain embodiments, the formulation may optionally comprise from about 0.1% to about 5% by weight of substituted hydroxylamine or an acid salt thereof. Typical hydroxylamines include diethylhydroxylamine and their lactate and citrate.

ある実施態様において、配合物は随意的に有機酸を含んでもよい。有機酸は配合物の約0質量%〜約10質量%、又は約0質量%〜5質量%、又は約0質量%〜約2質量%の範囲の量で存在する。典型的な有機酸は、限定はされないが、クエン酸、アントラニル酸、没食子酸、安息香酸、マロン酸、マレイン酸、フマル酸、D,L−リンゴ酸、イソフタル酸、フタル酸、及び乳酸を含む。   In certain embodiments, the formulation may optionally include an organic acid. The organic acid is present in an amount ranging from about 0% to about 10%, or from about 0% to 5%, or from about 0% to about 2% by weight of the formulation. Typical organic acids include, but are not limited to, citric acid, anthranilic acid, gallic acid, benzoic acid, malonic acid, maleic acid, fumaric acid, D, L-malic acid, isophthalic acid, phthalic acid, and lactic acid .

ある実施態様において、ここに記載される配合物は随意的に一以上の腐食防止剤を含んでもよい。スルホン酸又は対応する塩は配合物の約0質量%〜約20質量%、又は約0質量%〜約10質量%、又は約0質量%〜約5質量%の範囲の量で存在する。好適な腐食防止剤の例は、限定はされないが、有機酸塩、レゾルシノール、他のフェノール、酸、又はトリアゾール、無水マレイン酸、無水フタル酸、カテコール、ピロガロール、没食子酸のエステル、ベンゾトリアゾール(BZT)、カルボキシベンゾトリアゾール、フルクトース、チオ硫酸アンモニウム、グリシン、テトラメチルグアニジン、イミノ二酢酸、ジメチルアセトアセトアミド、トリヒドロキシベンゼン、ジヒドロキシベンゼン、サリチルヒドロキサム酸、及びそれらの混合物を含む。   In certain embodiments, the formulations described herein may optionally include one or more corrosion inhibitors. The sulfonic acid or corresponding salt is present in an amount ranging from about 0% to about 20%, or from about 0% to about 10%, or from about 0% to about 5% by weight of the formulation. Examples of suitable corrosion inhibitors include, but are not limited to, organic acid salts, resorcinol, other phenols, acids, or triazoles, maleic anhydride, phthalic anhydride, catechol, pyrogallol, esters of gallic acid, benzotriazole (BZT ), Carboxybenzotriazole, fructose, ammonium thiosulfate, glycine, tetramethylguanidine, iminodiacetic acid, dimethylacetoacetamide, trihydroxybenzene, dihydroxybenzene, salicylhydroxamic acid, and mixtures thereof.

配合物はまた一以上の以下の添加剤、すなわち界面活性剤、キレート剤、化学修飾剤、染料、殺生剤、及び他の添加剤を含んでもよい。配合物の剥離及び洗浄能力、又は下に横たわる金属、珪素、二酸化珪素、レベル間誘電性材料、low−k及び/又はhigh−k材料の完全な状態(integrity)に悪影響を及ぼさなければ、添加剤がここで記載される配合物に加えられてもよい。例えば、銅を含む基材を処理するために配合物が使用される場合、配合物は配合物の銅をエッチする速度を増加することになる追加的な添加剤を含まない。代表的な添加剤のいくつかの例は、アセチレンアルコール及びそれらの誘導体、アセチレンジオール(非イオン性のアルコキシ化した及び/又は自己−乳化性のアセチレンジオールの界面活性剤)及びそれらの誘導体、アルコール、四級アミン及びジアミン、アミド(ジメチルホルムアミド及びジメチルアセトアミドのような非プロトン性の溶媒を含んでいる)、アルキルアルカノールアミン(例えばジエタノールエチルアミン)、並びにベータ−ジケトン、ベータ−ケトイミン、カルボン酸、リンゴ酸及びエステルとジエステルを基にした酒石酸及びそれらの誘導体のようなキレート剤、並びに第三アミン、ジアミン及びトリアミンを含む。 The formulation may also include one or more of the following additives: surfactants, chelating agents, chemical modifiers, dyes, biocides, and other additives. Stripping and cleaning ability of formulations, or metal underlying, silicon, silicon dioxide, interlevel dielectric materials, unless adversely affect the integrity of the low-k and / or high-k materials (integrity), added Agents may be added to the formulations described herein. For example, when a formulation is used to treat a substrate that includes copper, the formulation does not include additional additives that will increase the rate of etching the copper of the formulation. Some examples of representative additives are acetylene alcohols and their derivatives, acetylenic diols (nonionic alkoxylated and / or self-emulsifying acetylenic diol surfactants) and their derivatives, alcohols Quaternary amines and diamines, amides (including aprotic solvents such as dimethylformamide and dimethylacetamide), alkylalkanolamines (eg diethanolethylamine), and beta-diketones, beta-ketoimines, carboxylic acids, apples Chelating agents such as tartaric acid and their derivatives based on acids and esters and diesters, and tertiary amines, diamines and triamines.

ここで開示される配合物はHSQ(FOx)、MSQ、SiLK、等のようなlow−k膜を含む基材に適合する。配合物はまた、ポジ型及びネガ型のフォトレジストを含むフォトレジスト並びにプラズマエッチ残留物(例えば有機残留物、有機金属残留物、無機残留物、金属酸化物)、又は銅及び/若しくはチタン含有基材の非常に少ない腐食を伴う低温のフォトレジスト複合物、の剥離において効果的である。さらに、配合物は多様な金属、珪素、二酸化珪素、レベル間誘電性材料、low−k及び/又はhigh−k材料に適合する。   The formulations disclosed herein are compatible with substrates including low-k films such as HSQ (FOx), MSQ, SiLK, and the like. The formulations also include photoresists including positive and negative photoresists and plasma etch residues (eg, organic residues, organometallic residues, inorganic residues, metal oxides), or copper and / or titanium containing groups. Effective in stripping low temperature photoresist composites with very little corrosion of the material. Furthermore, the formulation is compatible with a variety of metals, silicon, silicon dioxide, interlevel dielectric materials, low-k and / or high-k materials.

製造プロセスの間に、フォトレジスト層が基材にコートされる。フォトリソグラフィックプロセスを使用して、フォトレジスト層にパターンが画定される。パターンを付けられたフォトレジスト層は従ってプラズマエッチの対象とされ、プラズマエッチによってパターンが基材に転写される。エッチ残留物はエッチステージで生ぜられる。本発明で使用されるいくつかの基材は灰化されるが、一方でいくつかは灰化されない。基材が灰化されている場合、洗浄されることになる主要な残留物はエッチング液残留物である。基材が灰化されていない場合、このとき洗浄又は剥離されることになる主要な残留物はエッチ残留物及びフォトレジストの両方である。   During the manufacturing process, a photoresist layer is coated on the substrate. A pattern is defined in the photoresist layer using a photolithographic process. The patterned photoresist layer is therefore subject to plasma etching, which transfers the pattern to the substrate. Etch residues are produced at the etch stage. Some substrates used in the present invention are ashed, while some are not ashed. If the substrate is ashed, the major residue that will be cleaned is the etchant residue. If the substrate is not ashed, the major residues that will be cleaned or stripped at this time are both etch residues and photoresist.

ここで記載される方法は、金属、有機若しくは金属有機高分子、無機塩、酸化物、水酸化物、又は膜若しくは残留物として存在するそれらの複合物若しくは組合せを有する基材と、詳述した配合物とを接触させることによって実施される。実際の条件、例えば、温度、時間、等は除去されることになる材料の性質及び厚さによって決まる。概して、基材は20℃〜85℃、又は20℃〜60℃、又は20℃〜40℃の範囲の温度である配合物を含んだ容器中に接触又はさっと浸される(dip)。基材が配合物に曝される標準的な時間は、例えば、0.1〜60分、又は1〜30分、又は1〜15分の範囲であってもよい。配合物との接触後に、基材はすすぎがされそしてそれから乾燥されてもよい。乾燥は概して不活性雰囲気下で実施される。ある実施態様において、脱イオン水のすすぎ又は他の添加剤と脱イオン水を含むすすぎは、基材とここで記載された配合物との接触の前、中、及び/又は後で採用されてもよい。しかしながら、配合物はフォトレジスト、イオン注入フォトレジスト、BARC、灰、又はエッチ残留物及び/若しくは残留物の除去のために洗浄液を利用する技術的に知られている任意の方法で使用されることが可能である。   The methods described herein are detailed with substrates having metals, organic or metal-organic polymers, inorganic salts, oxides, hydroxides, or composites or combinations thereof present as a film or residue. It is carried out by contacting the formulation. Actual conditions such as temperature, time, etc. will depend on the nature and thickness of the material to be removed. Generally, the substrate is contacted or dipped into a container containing a formulation that is at a temperature in the range of 20 ° C to 85 ° C, or 20 ° C to 60 ° C, or 20 ° C to 40 ° C. The standard time that the substrate is exposed to the formulation may be, for example, in the range of 0.1-60 minutes, or 1-30 minutes, or 1-15 minutes. After contact with the formulation, the substrate may be rinsed and then dried. Drying is generally carried out under an inert atmosphere. In certain embodiments, a rinse containing deionized water or other additives and deionized water is employed before, during, and / or after contacting the substrate with the formulation described herein. Also good. However, the formulation may be used in any manner known in the art that utilizes a cleaning solution for removal of photoresist, ion-implanted photoresist, BARC, ash, or etch residues and / or residues. Is possible.

以下は本明細書で使用される頭字語である。
DPM ジプロピレングリコールモノメチルエーテル
TMAH 水酸化テトラメチルアンモニウム
TMAF フッ化テトラメチルアンモニウム
DI水 脱イオン水
PG プロピレングリコール
ABT 2−アミノベンゾチアゾール
TTL トリルトリアゾール
The following are acronyms used in this specification.
DPM Dipropylene glycol monomethyl ether TMAH Tetramethylammonium hydroxide TMAF Fluorotetramethylammonium DI water Deionized water PG Propylene glycol ABT 2-Aminobenzothiazole TTL Tolyltriazole

実施例の配合物を表1に記載する。   Example formulations are listed in Table 1.

Figure 0004499751
Figure 0004499751

ブランケットlow−k誘電体に対するエッチ速度のまとめが表2で与えられる。全ての以下のエッチ速度において、測定は40℃の温度で5、10、20、40及び60分の曝露で実施した。厚さ計測はエッチ時間の合間で測定し、そして「最小二乗適合」モデルを使用して各々の典型的な組成物の結果についてグラフ化した。各組成物についての「最小二乗適合」モデルの計算した傾きは結果としてのエッチ速度であり、オングストローム/分(Å/分)で与えられる。誘電体のエッチ速度の測定において、ウェハーはSiウェハー上に付着した既知の厚さのブランケット層を有していた。初期の厚さはフィルムテック2000SE分光型偏光解析/反射率計を使用して測定した。約200mlの試験溶液を250mlビーカーにセットし、攪拌を伴い、必要であれば、特定の温度まで加熱した。一のみのウェハーを溶液を含むビーカーにセットした場合、ダミーウェハーをビーカーにセットした。初期厚さの測定後、試験ウェハーを典型的な組成物中に浸した。5分後、試験ウェハーを試験溶液から取り出し、3分間脱イオン水ですすぎそして窒素下で完全に乾燥した。各ウェハーの厚さを測定しそして必要であれば試験ウェハーに本手順を繰り返した。   A summary of etch rates for the blanket low-k dielectric is given in Table 2. At all the following etch rates, measurements were performed at a temperature of 40 ° C. with exposures of 5, 10, 20, 40 and 60 minutes. Thickness measurements were measured between etch times and graphed for each typical composition result using a “least squares fit” model. The calculated slope of the “least squares fit” model for each composition is the resulting etch rate, given in angstroms / minute (Å / min). In measuring the dielectric etch rate, the wafer had a blanket layer of known thickness deposited on the Si wafer. Initial thickness was measured using a Filmtec 2000SE spectroscopic ellipsometer / reflectometer. Approximately 200 ml of the test solution was set in a 250 ml beaker, with stirring and if necessary heated to a specific temperature. When only one wafer was set in the beaker containing the solution, a dummy wafer was set in the beaker. After measurement of the initial thickness, the test wafer was immersed in a typical composition. After 5 minutes, the test wafer was removed from the test solution, rinsed with deionized water for 3 minutes and completely dried under nitrogen. The thickness of each wafer was measured and the procedure was repeated on a test wafer if necessary.

例A、B、D、E及びFはlow−k適合性(compatibility)に関して評価した。しかしながら、例Cはlow−k適合性に関して評価しなかった。しかしながら、表3に示すように、フォトレジスト、BARC及びエッチ後残留物を除去する能力に関して、すべての6つの例の評価を行った。パターンを付けたウェハーについて得られた結果に基づけば、例Cは多孔性のILDに損傷を与えなかった、そしてフォトレジスト及びBARC材料の除去に有効であった。   Examples A, B, D, E and F were evaluated for low-k compatibility. However, Example C did not evaluate for low-k compatibility. However, as shown in Table 3, all six examples were evaluated for their ability to remove photoresist, BARC and post etch residues. Based on the results obtained for the patterned wafer, Example C did not damage the porous ILD and was effective in removing the photoresist and BARC material.

Figure 0004499751
Figure 0004499751

表3はフォトレジスト、BARC及びエッチ残留物を試験基材から除去するための好ましい組成物の有効性を明らかにする。ウェハーは193nmのフォトレジスト層、193nmのBARC層、知られていない超low−k層及び酸化珪素層を有していた。基材はそれから好ましい組成物中に基材を浸すことによって処理した。本手順において、一以上の試験ウェハーを400mlの各組成物を含む600mlビーカーにセットした。600mlビーカーはさらに1分間に400回転する1インチの攪拌棒を含んでいた。そこにウェハーを含んだ組成物をそれから表3で与えられた時間と温度で加熱した。好ましい組成物に曝露した後、ウェハーを脱イオン水ですすぎそして窒素ガスで乾燥した。端部を提供するためにウェハーを割りそしてそれから走査型電子顕微鏡(SEM)を使用してウェハーの様々な事前測定箇所について測定をした。そして洗浄能力及び下に横たわる層間誘電体(ILD)への損傷を視覚的に解明し、そして表3に与えられているように以下の要領で符号化した。すなわち洗浄については「+」は優れていることを示し「P」は部分的な除去を示しそして「−」は質が低いことを示す。そしてILDの損傷については「+」は損傷のないことを示しそして「−」は損傷を示す。   Table 3 demonstrates the effectiveness of the preferred composition for removing photoresist, BARC and etch residues from the test substrate. The wafer had a 193 nm photoresist layer, a 193 nm BARC layer, an unknown ultra low-k layer and a silicon oxide layer. The substrate was then treated by immersing the substrate in the preferred composition. In this procedure, one or more test wafers were set in a 600 ml beaker containing 400 ml of each composition. The 600 ml beaker further contained a 1 inch stir bar rotating 400 revolutions per minute. The composition containing the wafer there was then heated at the times and temperatures given in Table 3. After exposure to the preferred composition, the wafer was rinsed with deionized water and dried with nitrogen gas. The wafer was split to provide an edge and then measured using a scanning electron microscope (SEM) for various pre-measurement points on the wafer. The cleaning ability and damage to the underlying interlevel dielectric (ILD) were then visually clarified and encoded as given in Table 3 as follows. That is, for washing, “+” indicates excellent, “P” indicates partial removal, and “−” indicates poor quality. And for ILD damage, "+" indicates no damage and "-" indicates damage.

Figure 0004499751
Figure 0004499751

パターンを付けたウェハーについて得られた結果に基づけば、例C、B、D、E及びFは多孔性のILDに損傷を与えなかった。すべての6つの例はフォトレジストの除去に有効であった。他方で、例B、C、E及びFはBARC材料の除去に有効であった。   Based on the results obtained for the patterned wafer, Examples C, B, D, E and F did not damage the porous ILD. All six examples were effective in removing the photoresist. On the other hand, Examples B, C, E and F were effective in removing BARC material.

特定の実施態様が詳細に記載されている一方で、当業者は本開示の全般的な示唆を踏まえてこれらの詳細に対して種々の改良及び代替が展開できると認識される。従って、開示された特定の処理(arrangement)は説明に役立つものにすぎず本発明の範囲を制限するものではないことを意味し、これは添付の請求項のすべてとそのあらゆるすべての均等物に効力が及ぶ。   While specific embodiments have been described in detail, those skilled in the art will recognize that various modifications and alternatives can be made to these details in light of the general teachings of the disclosure. Accordingly, the particular arrangements disclosed are meant to be illustrative only and are not intended to limit the scope of the invention, which is intended to limit the scope of the appended claims and all their equivalents. Effectiveness extends.

Claims (23)

フォトレジスト、イオン注入フォトレジスト、BARC及び/又はエッチ残留物を除去するための配合物であって、水酸化アンモニウム及び2−アミノベンゾチアゾール、残余水を含み、該水酸化物は金属汚染が100ppm以下である配合物。   Formulation for removing photoresist, ion-implanted photoresist, BARC and / or etch residue, comprising ammonium hydroxide and 2-aminobenzothiazole, residual water, the hydroxide having 100 ppm metal contamination A formulation that is: 酸化剤、砥材粒子を含まない、請求項1に記載された配合物。   The formulation according to claim 1, which does not contain oxidants and abrasive particles. 水酸化アンモニウムが水酸化テトラメチルアンモニウムである、請求項1又は2に記載された配合物。 Ammonium hydroxide Ru tetramethylammonium der hydroxide, formulation as claimed in claim 1 or 2. ジメチルアセトアミド(DMAC)、N−メチルピロリジノン(NMP)、ジメチルスルホキシド(DMSO)、ジメチルホルムアミド、N−メチルホルムアミド、ホルムアミド、ジメチル−2−ピペリドン(DMPD)、テトラヒドロフルフリルアルコール、グリセロール、エチレングリコール、アミド、アルコール、スルホキシドヒドロキシアミド、アミノアルコールジオール及びポリオール、(C2−C20)アルカンジオール、(C3−C20)アルカントリオール、環式アルコール、プロピレングリコールジアセトンアルコール、1,4−シクロヘキサンジメタノール、グリコールエーテルおよびそれらの混合物からなる群から選択された0〜60質量%の水溶性有機溶媒をさらに含み、ここで(C 2 −C 20 )、(C 3 −C 20 )はアルカン主鎖を構成する炭素数の数がそれぞれ、2〜20、3〜20であることを表す、請求項1〜3のいずれかに記載された配合物。 Dimethylacetamide (DMAC), N-methylpyrrolidinone (NMP), dimethylsulfoxide (DMSO), dimethylformamide, N-methylformamide, formamide, dimethyl-2-piperidone (DMPD), tetrahydrofurfuryl alcohol, glycerol, ethylene glycol, amide , Alcohol, sulfoxide , hydroxyamide, amino alcohol diol and polyol, (C 2 -C 20 ) alkanediol, (C 3 -C 20 ) alkanetriol, cyclic alcohol, propylene glycol , diacetone alcohol, 1,4-cyclohexane further seen containing dimethanol, 0-60 wt% of a water-soluble organic solvent selected from the group consisting of glycol ethers and mixtures thereof, wherein (C 2 -C 20), ( C 3 -C 20) Each number of carbon atoms constituting the alkane backbone, indicating that it is an 2~20,3~20, by formulation according to claim 1. 0.1質量%〜5質量%の置換したヒドロキシルアミン又はそれらの酸性塩をさらに含む、請求項1〜4のいずれかに記載された配合物。 The formulation according to any of claims 1 to 4, further comprising 0.1% to 5% by weight of substituted hydroxylamine or an acid salt thereof. クエン酸、アントラニル酸、没食子酸、安息香酸、マロン酸、マレイン酸、フマル酸、D,L−リンゴ酸、イソフタル酸、フタル酸、乳酸及びそれらの混合物からなる群から選択された0質量%〜10質量%の有機酸をさらに含む、請求項1〜5のいずれかに記載された配合物。   0% by mass or more selected from the group consisting of citric acid, anthranilic acid, gallic acid, benzoic acid, malonic acid, maleic acid, fumaric acid, D, L-malic acid, isophthalic acid, phthalic acid, lactic acid and mixtures thereof The formulation according to any of claims 1 to 5, further comprising 10% by weight of an organic acid. 有機酸塩、フェノール、酸、トリアゾール、ベンゾトリアゾール(BZT)、レゾルシノール、無水マレイン酸、無水フタル酸、カテコール、ピロガロール、没食子酸のエステル、カルボキシベンゾトリアゾール、フルクトース、チオ硫酸アンモニウム、グリシン、テトラメチルグアニジン、イミノ二酢酸、ジメチルアセトアセトアミド、トリヒドロキシベンゼン、ジヒドロキシベンゼン、サリチルヒドロキサム酸、及びそれらの混合物からなる群から選択された0質量%〜20質量%の腐食防止剤をさらに含む、請求項1〜6のいずれかに記載された配合物。   Organic acid salt, phenol, acid, triazole, benzotriazole (BZT), resorcinol, maleic anhydride, phthalic anhydride, catechol, pyrogallol, ester of gallic acid, carboxybenzotriazole, fructose, ammonium thiosulfate, glycine, tetramethylguanidine, The composition further comprises 0% to 20% by weight of a corrosion inhibitor selected from the group consisting of iminodiacetic acid, dimethylacetoacetamide, trihydroxybenzene, dihydroxybenzene, salicylhydroxamic acid, and mixtures thereof. A formulation as described in any of the above. 界面活性剤、キレート剤染料及びそれらの混合物からなる群から選択された添加剤をさらに含み、該添加剤が配合物の剥離及び洗浄能力、又は下に横たわる金属、珪素、二酸化珪素、レベル間誘電性材料、low−k及び/又はhigh−k材料の完全な状態(integrity)に悪影響を及ぼさないものである、請求項1〜7のいずれかに記載された配合物。 Further comprising an additive selected from the group consisting of surfactants, chelating agents , dyes , and mixtures thereof, wherein the additive is capable of stripping and cleaning the formulation, or underlying metal, silicon, silicon dioxide, level during dielectric material is one that does not adversely affect the intact (integrity) of the low-k and / or high-k materials, formulations according to any of claims 1 to 7. フォトレジスト、イオン注入フォトレジスト、BARC及び/又はエッチ残留物を除去するための配合物であって、水酸化テトラメチルアンモニウム、トリルトリアゾール、プロピレングリコール、2−アミノベンゾチアゾール、ジプロピレングリコールモノメチルエーテル、残余水を含み、該水酸化物は金属汚染が100ppm以下である配合物。   Formulation for removing photoresist, ion-implanted photoresist, BARC and / or etch residue, tetramethylammonium hydroxide, tolyltriazole, propylene glycol, 2-aminobenzothiazole, dipropylene glycol monomethyl ether, A formulation comprising residual water, the hydroxide having a metal contamination of 100 ppm or less. 1〜15質量%の水酸化テトラメチルアンモニウム、1〜5質量%のトリルトリアゾール、5〜15質量%のプロピレングリコール、1〜10質量%の2−アミノベンゾチアゾール、20〜45質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項9に記載された配合物。   1-15 wt% tetramethylammonium hydroxide, 1-5 wt% tolyltriazole, 5-15 wt% propylene glycol, 1-10 wt% 2-aminobenzothiazole, 20-45 wt% dipropylene 10. A formulation according to claim 9, comprising glycol monomethyl ether, residual water. 6.5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、10質量%のプロピレングリコール、6質量%の2−アミノベンゾチアゾール、39質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項9又は10に記載された配合物。   Contains 6.5 wt% tetramethylammonium hydroxide, 3 wt% tolyltriazole, 10 wt% propylene glycol, 6 wt% 2-aminobenzothiazole, 39 wt% dipropylene glycol monomethyl ether, residual water The formulation according to claim 9 or 10, comprising 5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、12.13質量%のプロピレングリコール、1.5質量%の2−アミノベンゾチアゾール、40質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項9又は10に記載された配合物。   5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 12.13% by weight propylene glycol, 1.5% by weight 2-aminobenzothiazole, 40% by weight dipropylene glycol monomethyl ether, residual water A formulation according to claim 9 or 10, comprising フォトレジスト、イオン注入フォトレジスト、エッチ残留物、BARC及びそれらの組合せからなる群から選択した材料を基材から除去する方法であって、材料を基材から除去するために請求項1に記載された配合物を基材に適用することを含んでなる方法。   A method for removing from a substrate a material selected from the group consisting of photoresist, ion-implanted photoresist, etch residue, BARC, and combinations thereof, wherein the material is removed from the substrate. Applying the formulation to a substrate. 配合物が酸化剤、砥材粒子を含まない、請求項13に記載された方法。   The method of claim 13, wherein the formulation is free of oxidizers and abrasive particles. 配合物が、ジメチルアセトアミド(DMAC)、N−メチルピロリジノン(NMP)、ジメチルスルホキシド(DMSO)、ジメチルホルムアミド、N−メチルホルムアミド、ホルムアミド、ジメチル−2−ピペリドン(DMPD)、テトラヒドロフルフリルアルコール、グリセロール、エチレングリコール、アミド、アルコール、スルホキシドヒドロキシアミド、アミノアルコールジオール及びポリオール、(C2−C20)アルカンジオール、(C3−C20)アルカントリオール、環式アルコール、プロピレングリコールジアセトンアルコール、1,4−シクロヘキサンジメタノール、グリコールエーテルおよびそれらの混合物からなる群から選択された0〜60質量%の水溶性有機溶媒をさらに含み、ここで(C 2 −C 20 )、(C 3 −C 20 )はアルカン主鎖を構成する炭素数の数がそれぞれ、2〜20、3〜20であることを表す、請求項13又は14に記載された方法。 The formulation is dimethylacetamide (DMAC), N-methylpyrrolidinone (NMP), dimethylsulfoxide (DMSO), dimethylformamide, N-methylformamide, formamide, dimethyl-2-piperidone (DMPD), tetrahydrofurfuryl alcohol, glycerol, Ethylene glycol, amide, alcohol, sulfoxide , hydroxyamide, amino alcohol diol and polyol, (C 2 -C 20 ) alkanediol, (C 3 -C 20 ) alkanetriol, cyclic alcohol, propylene glycol , diacetone alcohol, 1 , 4-cyclohexanedimethanol, further seen contains 0-60% by weight of a water-soluble organic solvent selected from the group consisting of glycol ethers and mixtures thereof, wherein (C 2 -C 20), ( C 3 The method according to claim 13 or 14 , wherein -C20 ) represents that the number of carbon atoms constituting the alkane main chain is 2 to 20, 3 to 20, respectively . 配合物が0.1質量%〜5質量%の置換したヒドロキシルアミン又はそれらの酸性塩をさらに含む、請求項13〜15のいずれかに記載された方法。 The method according to any of claims 13 to 15, wherein the formulation further comprises 0.1% to 5% by weight of substituted hydroxylamine or an acid salt thereof. 配合物が、クエン酸、アントラニル酸、没食子酸、安息香酸、マロン酸、マレイン酸、フマル酸、D,L−リンゴ酸、イソフタル酸、フタル酸、乳酸及びそれらの混合物からなる群から選択された0質量%〜10質量%の有機酸をさらに含む、請求項13〜16のいずれかに記載された方法。   The formulation was selected from the group consisting of citric acid, anthranilic acid, gallic acid, benzoic acid, malonic acid, maleic acid, fumaric acid, D, L-malic acid, isophthalic acid, phthalic acid, lactic acid and mixtures thereof The method according to claim 13, further comprising 0% by mass to 10% by mass of an organic acid. 配合物が、有機酸塩、フェノール、酸、トリアゾール、ベンゾトリアゾール(BZT)、レゾルシノール、無水マレイン酸、無水フタル酸、カテコール、ピロガロール、没食子酸のエステル、カルボキシベンゾトリアゾール、フルクトース、チオ硫酸アンモニウム、グリシン、テトラメチルグアニジン、イミノ二酢酸、ジメチルアセトアセトアミド、トリヒドロキシベンゼン、ジヒドロキシベンゼン、サリチルヒドロキサム酸、及びそれらの混合物からなる群から選択された0質量%〜20質量%の腐食防止剤をさらに含む、請求項13〜17のいずれかに記載された方法。   The formulation is an organic acid salt, phenol, acid, triazole, benzotriazole (BZT), resorcinol, maleic anhydride, phthalic anhydride, catechol, pyrogallol, ester of gallic acid, carboxybenzotriazole, fructose, ammonium thiosulfate, glycine, Further comprising 0 wt% to 20 wt% corrosion inhibitor selected from the group consisting of tetramethylguanidine, iminodiacetic acid, dimethylacetoacetamide, trihydroxybenzene, dihydroxybenzene, salicylhydroxamic acid, and mixtures thereof. Item 18. The method according to any one of Items 13 to 17. 配合物が、界面活性剤、キレート剤染料及びそれらの混合物からなる群から選択された添加剤をさらに含み、該添加剤が配合物の剥離及び洗浄能力、又は下に横たわる金属、珪素、二酸化珪素、レベル間誘電性材料、low−k及び/又はhigh−k材料の完全な状態(integrity)に悪影響を及ぼさないものである、請求項13〜18のいずれかに記載された方法。 The formulation further comprises an additive selected from the group consisting of surfactants, chelating agents , dyes , and mixtures thereof, wherein the additive is capable of stripping and cleaning the formulation, or the underlying metal, silicon, those that do not adversely silicon dioxide, interlevel dielectric materials, a negative effect on intact (integrity) of the low-k and / or high-k material, the method described in any one of claims 13 to 18. フォトレジスト、イオン注入フォトレジスト、エッチ残留物、BARC及びそれらの組合せからなる群から選択した材料を基材から除去する方法であって、材料を基材から除去するために請求項9に記載された配合物を基材に適用することを含んでなる方法。   A method for removing from a substrate a material selected from the group consisting of photoresist, ion-implanted photoresist, etch residue, BARC, and combinations thereof, wherein the material is removed from the substrate. Applying the formulation to a substrate. 配合物が1〜15質量%の水酸化テトラメチルアンモニウム、1〜5質量%のトリルトリアゾール、5〜15質量%のプロピレングリコール、1〜10質量%の2−アミノベンゾチアゾール、20〜45質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項20に記載された方法。   1-15% by weight tetramethylammonium hydroxide, 1-5% by weight tolyltriazole, 5-15% by weight propylene glycol, 1-10% by weight 2-aminobenzothiazole, 20-45% by weight 21. A process according to claim 20, comprising dipropylene glycol monomethyl ether, residual water. 配合物が6.5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、10質量%のプロピレングリコール、6質量%の2−アミノベンゾチアゾール、39質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項20又は21に記載された方法。   Formulation 6.5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 10% by weight propylene glycol, 6% by weight 2-aminobenzothiazole, 39% by weight dipropylene glycol monomethyl ether, the balance The method according to claim 20 or 21, comprising water. 配合物が5質量%の水酸化テトラメチルアンモニウム、3質量%のトリルトリアゾール、12.13質量%のプロピレングリコール、1.5質量%の2−アミノベンゾチアゾール、40質量%のジプロピレングリコールモノメチルエーテル、残余水を含んでなる、請求項20又は21に記載された方法。   Formulation 5% by weight tetramethylammonium hydroxide, 3% by weight tolyltriazole, 12.13% by weight propylene glycol, 1.5% by weight 2-aminobenzothiazole, 40% by weight dipropylene glycol monomethyl ether The method according to claim 20 or 21, comprising residual water.
JP2007021474A 2006-11-21 2007-01-31 Formulation for removing photoresist, etch residue and BARC and method comprising the same Expired - Fee Related JP4499751B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/602,662 US7674755B2 (en) 2005-12-22 2006-11-21 Formulation for removal of photoresist, etch residue and BARC

Publications (3)

Publication Number Publication Date
JP2008129571A JP2008129571A (en) 2008-06-05
JP2008129571A5 JP2008129571A5 (en) 2010-02-25
JP4499751B2 true JP4499751B2 (en) 2010-07-07

Family

ID=39480229

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007021474A Expired - Fee Related JP4499751B2 (en) 2006-11-21 2007-01-31 Formulation for removing photoresist, etch residue and BARC and method comprising the same

Country Status (5)

Country Link
JP (1) JP4499751B2 (en)
KR (1) KR100942009B1 (en)
CN (1) CN101187789B (en)
SG (1) SG143115A1 (en)
TW (1) TWI355569B (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101399502B1 (en) * 2008-09-19 2014-06-27 주식회사 동진쎄미켐 Remover composition for removing Thermosetting resin of TFT-LCD
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN102043356B (en) * 2009-10-13 2012-09-26 奇美实业股份有限公司 Cleaning solution composition for cleaning substrate
MY165756A (en) * 2011-06-01 2018-04-23 Avantor Performance Mat Llc SEMI-AQUEOUS POLYMER REMOVAL COMPOSITIONS WITH ENHANCED COMPATIBILITY TO COPPER, TUNGSTEN, AND POROUS LOW-k DIELECTRICS
CN102902169A (en) * 2011-07-29 2013-01-30 中芯国际集成电路制造(上海)有限公司 Method for removing photoresist layer
DE102011088885A1 (en) * 2011-12-16 2013-06-20 Wacker Chemie Ag Silicon remover
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
KR101420571B1 (en) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 Remover composition for dryfilm resist and removing method using the same
CN114706271A (en) 2016-03-31 2022-07-05 富士胶片株式会社 Processing liquid for semiconductor manufacturing and pattern forming method
WO2018058339A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Solvents for use in the electronics industry
CN107957661A (en) * 2016-10-18 2018-04-24 东友精细化工有限公司 Anticorrosive additive stripping liquid controlling composition and the stripping means using its resist
US10761423B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal
TWI778192B (en) 2017-12-15 2022-09-21 日商東京威力科創股份有限公司 Aqueous cleaning solution and method of protecting features on a substrate during etch residue removal
TWI692679B (en) * 2017-12-22 2020-05-01 美商慧盛材料美國責任有限公司 Photoresist stripper
CN108753478A (en) * 2018-06-19 2018-11-06 成都青洋电子材料有限公司 A kind of single crystal silicon semiconductor cleaning agent and its cleaning method
CN108998267A (en) * 2018-08-29 2018-12-14 李少伟 A kind of semiconductor devices corrosion inhibitor cleaning agent and preparation method
US12089590B2 (en) 2019-02-06 2024-09-17 Virox Technologies, Inc. Shelf-stable antimicrobial compositions
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
TWI749964B (en) * 2020-12-24 2021-12-11 達興材料股份有限公司 Alkaline cleaning composition, cleaning method, and manufacturing method of semiconductor
KR102364962B1 (en) 2021-09-01 2022-02-18 김봉건 End mill and machine tools with the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004107056A1 (en) * 2003-05-23 2004-12-09 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP2005215627A (en) * 2004-02-02 2005-08-11 Japan Organo Co Ltd Method and apparatus for regenerating resist-peeling waste liquid
WO2005085957A1 (en) * 2004-03-03 2005-09-15 Advanced Technology Materials, Inc. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP2005333104A (en) * 2004-05-19 2005-12-02 Samsung Electronics Co Ltd Cleaning liquid composition for semiconductor substrate, cleaning method of semiconductor substrate, and manufacturing method of semiconductor device
JP2006096984A (en) * 2004-07-22 2006-04-13 Air Products & Chemicals Inc Composition and method for removing residue
JP2006295118A (en) * 2005-04-13 2006-10-26 Magnachip Semiconductor Ltd Composition for cleaning semiconductor device and cleaning method for semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3264405B2 (en) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 Semiconductor device cleaning agent and method of manufacturing semiconductor device
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
KR100518714B1 (en) * 2002-02-19 2005-10-05 주식회사 덕성 Compostition of resist stripper
KR100520397B1 (en) * 2002-10-29 2005-10-11 동우 화인켐 주식회사 A composition for post-strip cleaning and a post-strip cleaning process of semiconductor device or liquid crystal display using the same
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
KR100606187B1 (en) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 Composition for cleaning a semiconductor substrate, method for cleaning a semiconductor substrate and method for manufacturing a semiconductor device using the same
CN1290962C (en) * 2004-12-22 2006-12-20 中国科学院上海微系统与信息技术研究所 Nano polishing liquid for high dielectric material strontium barium titanate chemical-mechanical polish
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004107056A1 (en) * 2003-05-23 2004-12-09 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP2005215627A (en) * 2004-02-02 2005-08-11 Japan Organo Co Ltd Method and apparatus for regenerating resist-peeling waste liquid
WO2005085957A1 (en) * 2004-03-03 2005-09-15 Advanced Technology Materials, Inc. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP2005333104A (en) * 2004-05-19 2005-12-02 Samsung Electronics Co Ltd Cleaning liquid composition for semiconductor substrate, cleaning method of semiconductor substrate, and manufacturing method of semiconductor device
JP2006096984A (en) * 2004-07-22 2006-04-13 Air Products & Chemicals Inc Composition and method for removing residue
JP2006295118A (en) * 2005-04-13 2006-10-26 Magnachip Semiconductor Ltd Composition for cleaning semiconductor device and cleaning method for semiconductor device

Also Published As

Publication number Publication date
SG143115A1 (en) 2008-06-27
JP2008129571A (en) 2008-06-05
CN101187789A (en) 2008-05-28
KR20080046073A (en) 2008-05-26
TW200823611A (en) 2008-06-01
TWI355569B (en) 2012-01-01
CN101187789B (en) 2012-10-03
KR100942009B1 (en) 2010-02-12

Similar Documents

Publication Publication Date Title
JP4499751B2 (en) Formulation for removing photoresist, etch residue and BARC and method comprising the same
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4814356B2 (en) Compositions for peeling and cleaning and their use
JP4755060B2 (en) Aqueous cleaning composition for removing residues and method of using the same
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
JP4819429B2 (en) Compositions and methods for removing residues
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR100849913B1 (en) Aqueous cleaning composition and method for using same
JP4373457B2 (en) Compositions and methods for photoresists
JP7022100B2 (en) Post-etching residue cleaning composition and its usage
US7682458B2 (en) Aqueous based residue removers comprising fluoride
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
KR102321217B1 (en) Post etch residue cleaning compositions and methods of using the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090728

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091027

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091030

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100316

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100415

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4499751

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140423

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees