JP4099053B2 - Manufacturing method of electrostatic chuck - Google Patents

Manufacturing method of electrostatic chuck Download PDF

Info

Publication number
JP4099053B2
JP4099053B2 JP2002370855A JP2002370855A JP4099053B2 JP 4099053 B2 JP4099053 B2 JP 4099053B2 JP 2002370855 A JP2002370855 A JP 2002370855A JP 2002370855 A JP2002370855 A JP 2002370855A JP 4099053 B2 JP4099053 B2 JP 4099053B2
Authority
JP
Japan
Prior art keywords
electrostatic chuck
mounting surface
wafer
cleaning
minutes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002370855A
Other languages
Japanese (ja)
Other versions
JP2004200620A (en
Inventor
清 横山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera Corp
Original Assignee
Kyocera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyocera Corp filed Critical Kyocera Corp
Priority to JP2002370855A priority Critical patent/JP4099053B2/en
Publication of JP2004200620A publication Critical patent/JP2004200620A/en
Application granted granted Critical
Publication of JP4099053B2 publication Critical patent/JP4099053B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【0001】
【発明の属する技術分野】
半導体の製造に使用するCVD、PVD、スパッタリング、SOD、SOG、等の成膜装置やエッチング装置において、半導体ウェハを保持する静電チャックに関するものである。
【0002】
【従来の技術】
半導体デバイスを製造する半導体ウェハ(以下、ウェハと略す)の処理工程であるCVD、PVD、スパッタリング、SOD、SOG等の成膜工程やエッチング工程では、被処理物であるウェハに均一な厚みで均質な膜を成膜することや、成膜した膜に均一な深さでエッチングを施すことが重要である。このため、ウェハを吸着し、加熱する静電チャックには、強い吸着力や、ウェハを均一に加熱することが要求されてきた。
【0003】
図3(a)は、静電チャック51の斜視図の一例であり、(b)は、その線の断面図である。板状セラミック体52の主面をウェハWを載せる載置面58とし、その内部には一対の吸着電極56を埋設し、更にその下には抵抗発熱体57をそれぞれ埋設してある。板状セラミック体52の下面には、一対の吸着電極56及び抵抗発熱体57を電気的に接続する一対の給電端子54、55がメタライズ工程を経てロウ付けされそれぞれ固定されている。そして、載置面58と吸着電極56の間には誘電体層52bが設けられている。また、載置面58にはHeやAr等の不活性ガスを導入するガス導入口53とこのガス導入口53に連通する一連の凹部52aが形成されている。
【0004】
そして、この静電チャック51の給電端子54に直流電圧を印加すると、ウェハWと載置面58の間に静電気力が発現し、ウェハWを載置面58に吸着固定することができる。また、抵抗発熱体57に接続した給電端子55に電圧を印加すると、抵抗発熱体57が加熱され、載置面58を加熱するとともにウェハWを加熱することができる。
【0005】
ところで、静電気力には、クーロン力とジョンソン・ラーベック力があり、クーロン力は誘電体層52bを形成する材質の誘電率に依存し、ジョンソン・ラーベック力は誘電体層52bを形成する材質の体積固有抵抗値に依存する。具体的には、誘電体層52bの体積固有抵抗値が1015Ω・cmより大きい時の吸着力はクーロン力により支配され、誘電体層52bの体積固有抵抗値が低下するにしたがってジョンソン・ラーベック力が発現し、誘電体層52bの体積固有抵抗値が1012Ω・cm未満となると吸着力はクーロン力に比べて大きな吸着力が得られるジョンソン・ラーベック力により支配されることが知られている。ただし、誘電体層52bの体積固有抵抗値が108Ω・cm未満となると、漏れ電流量が多くなり、吸着面に保持したウェハWの半導体素子を破壊する等の悪影響を与えることから、誘電体層52bを108〜1012Ω・cmの体積固有抵抗値を有する材料により形成することが求められていた。
【0006】
近年、半導体デバイスの内部配線は従来のアルミニウム配線から銅配線へと移行が進み、銅配線ではウェハを高温に加熱する必要はなくなり、室温付近でウェハを吸着する静電チャックが必要となっている。
【0007】
このため、特許文献1には電極とウェハの間に108〜1012Ω・cmの体積固有抵抗を有する誘電体層52bを有した静電チャック51が開示されている。特許文献1に示される体積固有抵抗はあくまでも吸着電極56から載置面58までの誘電体層52bの体積固有抵抗に注目しており、Siウェハを吸着する載置面58の表面抵抗については、議論されていなかった。
【0008】
また、CVD、PVD、スパッタリング、SOD、SOGといった成膜工程やエッチング工程では、プラズマを用いることから、ウェハや静電チャックを高真空の容器内(不図示)に収納し使用している。
【0009】
【特許文献】
特開平4−3956号公報
【0010】
【発明が解決しようとする課題】
上記の静電チャック51の吸着電極56には、0.5〜3kVといった直流電圧が印されウェハWを載置面58に吸着している。例えば、前記の容器内を気圧として、吸着電極56に3kV印し直径200mmのウェハを載置面58に吸着したとき、ウェハWと吸着電極56の間の漏れ電流は0.1μA程である。しかし、前記容器内の圧力を10−3Torr程度まで減圧し、横軸に容器内の圧力を縦軸に前記の漏れ電流を示すグラフを作成すると、0.5〜50Torr程度の圧力で漏れ電流が4μAを越え最大値を示す。この現象は、パッシェンの法則として一般に知られている。
【0011】
このとき、静電チャック51の載置面58に抵抗の小さな部分が存在すると、ウェハWと吸着電極との間の漏れ電流は著しく増加し、ウェハ上の回路を破損する虞があった。
【0012】
上記抵抗の小さな部分は載置面58に付着した導電性物質が原因であるが、これらを取り除くまで静電チャック51の載置面58を洗浄することは容易でなく、従来の純水による洗浄では、載置面58のごく一部分に主に人間の汗、指紋から混入するNa、静電チャックの製造工程として不可欠な機械加工やメタライズ工程で混入するC、Si、Ca、Na、Alといった導電性物質が付着または固着する虞があった。このような導電性物質が載置面の一部分に存在すると、ウェハと吸着電極56との間の漏れ電流が著しく増加するとともに、このような静電チャックを使ってウェハへの成膜やエッチング処理すると、ウェハの処理枚数が増えるとともに上記の漏れ電流が増加し、前記の誘電体層が絶縁破壊し静電チャックが使用できなくなるとの問題があった。
【0013】
そこで、ウェハの処理枚数が10000枚を越えても漏れ電流が増加せず、絶縁破壊にも至らない静電チャックが望まれていた。
【0014】
【課題を解決するための手段】
本発明の静電チャックの製造方法は、板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックの前記載置面を:HF液によって3分以上洗浄する洗浄工程と、IPA液により5時間以上超音波洗浄機で洗浄する洗浄工程とを含むことを特徴とする。
【0017】
また、本発明の静電チャックの製造方法は、板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、上記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックの前記載置面を:HF液によって3分以上洗浄する工程と、IPA液により1時間以上超音波洗浄機で洗浄する工程と、高圧スチームにより5分以上洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする。
【0018】
【発明の実施の形態】
本発明の実施の形態について説明する。
【0019】
図1(a)は、本発明を説明するための静電チャック1の斜視図の一例であり、(b)は、そのX−X線の断面図である。板状セラミック体2の主面をウェハW(不図示)を載せる載置面8とし、その内部には一対の吸着電極6を埋設し、更にその下方には抵抗発熱体7をそれぞれ埋設してある。板状セラミック体2の下面には、一対の吸着電極6及び抵抗発熱体7を電気的に接続する一対の給電端子4、5がそれぞれメタライズ層を介してロウ付け固定されている。そして、載置面8と吸着電極6との間には誘電体層2bが設けられている。また、載置面8にはHeやAr等の不活性ガスを導入するガス導入口3とこのガス導入口3に連通する一連の凹部2aが形成されている。
【0020】
そして、この静電チャック1の給電端子4に直流電圧を印加すると、ウェハWと載置面8の間に静電気力が発現し、ウェハWを載置面8に吸着固定することができる。また、抵抗発熱体7に接続した給電端子5に電圧を印加すると、抵抗発熱体7が加熱され、載置面8を加熱するとともにウェハWを加熱することができる。
【0021】
半導体チップの製造工程においては、図2に示す容器100に静電チャック1が配設され、載置面8の上にウェハが載置される。この際、吸着電極6には所望の電圧が最大3kV程度まで印され、ジョンソン−ラーベック力やクーロン力によってウェハは載置面8に強固に吸着される。また、ウェハの製造工程では、プラズマを使うことから、静電チャックの載置面8は10−3Torr程度の高真空下に置かれ、給電端子4、5側は大気圧下で使用されている。
【0024】
直径200mmのウェハを常圧下で、同じ直径200mmの載置面を持つ静電チャックに吸着したとき、吸着電極6に3kVの電圧を印加した場合のウェハと吸着電極との間の漏れ電流は0.1μAであった。しかし、容器100の内部圧力を減圧すると、上記の漏れ電流の増加が観察される。更に、上記の漏れ電流はウェハの処理枚数とともに増加する傾向があり、最悪の場合、誘電体層2bの絶縁破壊に至るという問題があった。
【0025】
本発明者は本問題に鑑み、鋭意研究の結果、前記の漏れ電流が最大値を示す5Torrにおける漏れ電流 1と常圧下での漏れ電流 0との比の値(I1/I0)が40以下であれば、ウェハの処理枚数が10000枚を越えても前記漏れ電流の増加が起こらず、誘電体層2bの絶縁破壊も発生しないことを見いだした。
【0029】
前述したように、5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以上となるのは、載置面8のごく一部分に主に人間の汗、指紋から混入するNa、静電チャック1の製造工程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alといった導電性物質が付着または固着した状態となり、これらの導電性物質が真空放電する事によるものである。従って前記の比の値を40以下とするためには、載置面8の清浄化が不可欠である。
【0030】
そこで、本発明の静電チャック1の製造方法は、前記載置面8をHF液によって洗浄する洗浄工程と、IPA液によって洗浄する洗浄工程とを含み、好ましくは、高圧スチームによって洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする。
【0031】
以下、各洗浄工程について説明する。静電チャックにおいて載置面8が清浄であることが重要であることは前記に説明したとおり言うまでもないが、静電チャック表面には、主に人間の汗、指紋から混入するNaと油脂分、静電チャック1の製造工程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alといった導電性物質が汚れとして存在する。この際、Na、Si、Ca、Alといった金属については、一旦溶かしだして、その溶かしだした溶液を洗浄するという方法が有効である。また油脂分はそのタック性でこれらの金属成分を表面に接着させ、洗浄させにくくする。
【0032】
HFを3重量%以上含有し、HNO3を5重量%以上含有する水溶液で洗浄するHF洗浄は、Na、Si、Ca、Alといった金属の導電性成分を溶融させる。
【0033】
純度99%以上更に好ましくは99.9%以上のIPAによるIPA洗浄は、油脂分を溶かし出すため、HF洗浄によって溶融された金属成分が載置面8の微小なボイドの中に入っている場合でも浮遊させ、洗浄しやすくする効果がある。
【0034】
更に高圧スチーム洗浄をかけることで、HF洗浄によって溶かし出され、IPA洗浄によって浮遊したNa、Si、Ca、Alといった金属の導電性成分を載置面8の微小なボイド内部に至るまで洗浄する事が可能となる。
【0035】
HF洗浄、IPA洗浄で洗浄可能なNa、Si、Ca、Al以外ではCが、主にメタライズ工程から載置面8に固着する。Cは金属ではなく、HF洗浄によって溶かし出されることがない。Cの除去の為には、C+O2→CO2の化学反応により、酸化させて除去する事が最も有効である。500℃以上の酸化雰囲気で載置面8を5分以上加熱することにより、HF洗浄、IPA洗浄、高圧スチーム洗浄では、洗浄し難いC成分を除去する事が可能となる。
【0036】
次に本発明の静電チャック1の製造方法について説明する。
【0037】
静電チャック1を構成する絶縁性セラミックスとしては、窒化アルミニウム質焼結体が用いられるが、窒化アルミニウム質焼結体の製造に当たっては、窒化アルミニウム粉末に重量換算で10質量%程度の第3a族酸化物を添加し、IPAとウレタンボールを用いてボールミルにより48時間混合し、得られた窒化アルミニウムのスラリーを200メッシュに通し、ウレタンボールやボールミル壁の屑を取り除いた後、防爆乾燥機にて120℃で24時間乾燥して、均質な窒化アルミニウム質混合粉末を得る。
【0038】
得られた窒化アルミニウム質混合粉末に所望の有機バインダーを所望の量だけ添加し、スプレードライ方法などの方法で乾燥させ、窒化アルミニウム質混合粉末の造粒された顆粒を得る。得られた窒化アルミニウム質混合粉末の顆粒を一軸プレス法、CIP法などの方法により成形し、必要に応じて生切削を施して、所望の形状の窒化アルミニウム質成形体を得る。得られた窒化アルミニウム質成形体を非酸化性ガス気流中にて300〜500℃で3〜8時間程度の脱脂を行い、更に非酸化性雰囲気にて1700〜2000℃で1〜10時間程度の焼成を行い、窒化アルミニウム質焼結体を得る。
【0039】
また、テープ成型法により窒化アルミニウム質焼結体を製造する場合には、前記と同様の方法で窒化アルミニウム質混合粉末を作し、得られた窒化アルミニウム質混合粉末にアクリル系のバインダーと溶媒を混合して窒化アルミニム質のスリップを作し、ドクターブレード法にてテープ成形を行う。得られた窒化アルミニウムのテープを複数枚積層し、その上に静電チャック1の吸着電極6としてタングステンを印刷法で形成し、無地のテープに所望の密着液を塗り、テープを複数枚重ねてプレス成形を行う。
【0040】
得られた窒化アルミニウムと吸着電極6の混合成形体を非酸化性ガス気流中にて500℃で5時間程度の脱脂を行い、更に非酸化性雰囲気にて1900℃で5時間程度の焼成を行い、誘電体からなる窒化アルミニウム質焼結体を得る。こうして得られた窒化アルミニウム質焼結体に所望の形状、所望の誘電体層2b厚みが得られるように機械加工を施し、静電チャック1とする。更に所望のガス拡散溝2aを静電チャック1の載置面8にサンドブラストなどの方法で形成する。
【0041】
この後、載置面8には、一切の導電性物質を除去するために洗浄を施すが、洗浄にあたって大事なことは、次に示す洗浄工程である。
【0042】
HF液による洗浄工程は、HFを3重量%以上含有し、HNO3を5重量%以上含有する水溶液をスポイトなどで静電チャック1の載置面8にまんべんなく塗布した後、常温で大気中に3分以上放置する工程である。
【0043】
次のIPAによる洗浄は、IPA浴の中に静電チャック1の載置面8を下向きにして載置面8が下側に向いた状態でIPA中に浸し、超音波洗浄機で時間以上洗浄する。
【0044】
更に、高圧スチームによる洗浄工程は、100℃の水蒸気がシャワー状に静電チャック1の載置面8の全面にあたるようなノズル形状で0.01MPa以上の圧力で5分以上の洗浄を施すことである。
【0045】
一般に、HF洗浄やIPA洗浄は載置面8に付着している汚れである導電性成分を載置面8上で溶解させる効果があるが、溶解させただけでは、載置面8に付着した導電性物質を完璧に除去することはでき、完璧に除去するためには、HF洗浄高圧スチーム洗浄のような、静電チャック1の載置面8に存在する微小ボイドの内部まで洗浄できる洗浄方法を施す必要ある。
【0046】
ここで導電性成分とは主に人間の汗、指紋から混入するNa、静電チャック1の製造過程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alなどが考えられる。この中で金属成分については、前述の方法で完璧に除去できるが、カーボン成分については、除去できない。カーボン成分については、Siウェハ載置面4を500℃以上の温度で大気中にて5分以上脱脂する事により除去すると良い。本発明者らは、100℃以上のスチームをシャワー状に静電チャック1の載置面8の全面にあたるようなノズル形状で0.01MPa以上の圧力で5分以上施すことによってHF洗浄、IPA洗浄で溶出した導電性成分を載置面4の微小ボイドの内部の金属成分までを完璧に洗浄でき、更に載置面8を大気中にて500℃以上の温度で5分脱脂する事によりカーボン成分を完璧に除去できることを見いだした。
【0047】
【実施例】
(実施例1)
窒化アルミニウム粉末に重量換算で10質量%程度の第3a族酸化物を添加し、IPAとウレタンボールを用いてボールミルにより48時間混合し、得られた窒化アルミニウムのスラリーを200メッシュに通し、ウレタンボールやボールミル壁の屑を取り除いた後、防爆乾燥機にて120℃で24時間乾燥して、均質な窒化アルミニウム質混合粉末を得る。得られた窒化アルミニウム質混合粉末にアクリル系のバインダーと溶媒を混合して窒化アルミニム質のスリップを作成し、ドクターブレード法にてテープ成形を行う。
【0048】
得られた窒化アルミニウムのテープを複数枚積層し、その上に静電チャックの電極としてタングステンを印刷法で形成し、無地のテープに所望の密着液を塗り、テープを複数枚重ねてプレス成形を行う。得られた窒化アルミニウムとタングステン電極の複合成形体を非酸化性ガス気流中にて500℃で5時間程度の脱脂を行い、更に非酸化性雰囲気にて1900℃で5時間程度の焼成を行い、誘電体からなる窒化アルミニウム質焼結体を得る。
【0049】
こうして得られた窒化アルミニウム質焼結体に所望の形状、所望の絶縁膜厚みが得られるように機械加工を施し、静電チャックとする。
【0050】
更に、所望のガス溝を静電チャックの載置面にサンドブラストなどの方法で形成する。その後、HF洗浄とIPA洗浄の時間を変えて洗浄した。そして前記静電チャックを容器内に据え付けて、3kV印してSiウェハを載置面に吸着し、容器内の圧力が5Torr下における漏れ電流と常圧下での漏れ電流の比が40以下の静電チャックと40以上の静電チャックを作した。しかる後に吸着電極に3kV印してSiウェハを常圧下で吸着し、10-3Torrまで15分で真空引きし、10 3Torrで30分キープ、常圧まで15分で戻すという減圧サイクルをかけて減圧サイクル終了後の常圧下での漏れ電流を測定し、1サイクル目と10000サイクルの漏れ電流を比較した。
【0051】
表1に結果を示す。
【0052】
【表1】

Figure 0004099053
【0053】
試料No.1〜5は、容器内の圧力が5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以下であり、本発明の範囲内の試料である。本発明の範囲内では、10000サイクルを実施しても漏れ電流は1.0μ以内に抑えられ好ましいことが分る。
【0054】
これに対し、本発明の範囲外の試料No.6は、10000サイクル時の漏れ電流が10μAを越えており、漏れ電流が異常に大きくなっていることが分かる。
【0055】
また、試料No.7では、誘電体層の絶縁破壊が発生した。
【0056】
従って、容器内の圧力が5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以下であるとウェハWを10000枚以上加工処理しても静電チャックが破損することなく使用できることが分った。
【0062】
(実施例
静電チャックの載置面のHF洗浄を3分以上、その後IPA洗浄を超音波洗浄機で時間以上、更に高圧スチーム洗浄を5分以上施し、更にSiウェハ載置面4を500℃で大気中にて脱脂して本発明の静電チャックを製造した。製造した静電チャックに3kV印してSiウェハを載置面に吸着した場合における容器内圧力が5Torr下における漏れ電流と容器内圧力が常圧下での漏れ電流の比を測定した。しかる後に吸着電極に3kV印してSiウェハを常圧下で吸着し、10-3Torrまで15分で真空引きし、10-3Torrで30分キープ、常圧まで15分で戻すというサイクルかけて1サイクル目と10000サイクル目との漏れ電流を比較した。
【0063】
に結果を示す。
【0064】
【表2】
Figure 0004099053
【0065】
試料No.1〜6は本発明の範囲内の試料であり、10000サイクル目においても漏れ電流は0.5μA以下であるが、本発明の範囲外の試料No.7〜10においては、サイクルとともに漏れ電流が著しく増加し、150サイクル以下で絶縁破壊が発生した。
【0066】
【発明の効果】
本発明の静電チャックの製造方法によるとSiウェハの処理枚数が10000枚を越えても漏れ電流の増加が発生せず、絶縁破壊にも至らない静電チャックを提供することができる。
【図面の簡単な説明】
【図1】 (a)は本発明を説明するための静電チャックの斜視図であり、(b)は斜視図( )のX−X線断面図である。
【図2】 本発明を説明するための静電チャックを容器に配設した状態を示す断面図である。
【図3】 (a)は従来の静電チャックの斜視図であり、(b)は斜視図( )線断面図である。
【符号の説明】
1:静電チャック
2:板状セラミック体
2a:凹部
2b:誘電体層
3:ガス導入口
4:吸着電極の給電端子
5:抵抗発熱体の給電端子
6:吸着電極
7:抵抗発熱体
8:載置面
51:静電チャック
52:板状セラミック体
52a:凹部
52b:誘電体層
53:ガス導入口
54:吸着電極の給電端子
55:抵抗発熱体の給電端子
56:吸着電極
57:抵抗発熱体
58:載置面
W:ウェハ[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an electrostatic chuck for holding a semiconductor wafer in a film forming apparatus or an etching apparatus such as CVD, PVD, sputtering, SOD, SOG, etc. used for manufacturing a semiconductor.
[0002]
[Prior art]
In film forming processes and etching processes such as CVD, PVD, sputtering, SOD, and SOG, which are processing processes for semiconductor wafers (hereinafter abbreviated as “wafers”) for manufacturing semiconductor devices, the wafers that are objects to be processed have a uniform thickness. It is important to form a thick film or to etch the formed film at a uniform depth. For this reason, an electrostatic chuck that attracts and heats a wafer has been required to have a strong attracting force and to uniformly heat the wafer.
[0003]
3 (a) is an example of a perspective view of an electrostatic chuck 51, (b), the X - is a cross-sectional view of the X-ray. A main surface of the plate-like ceramic body 52 is a mounting surface 58 on which the wafer W is placed, a pair of adsorption electrodes 56 are embedded therein, and a resistance heating element 57 is embedded below them. On the lower surface of the plate-like ceramic body 52, a pair of power supply terminals 54 and 55 that electrically connect the pair of adsorption electrodes 56 and the resistance heating element 57 are brazed and fixed through a metallization process. A dielectric layer 52 b is provided between the mounting surface 58 and the adsorption electrode 56. The mounting surface 58 is formed with a gas inlet 53 for introducing an inert gas such as He or Ar, and a series of recesses 52 a communicating with the gas inlet 53.
[0004]
When a DC voltage is applied to the power supply terminal 54 of the electrostatic chuck 51, an electrostatic force is generated between the wafer W and the mounting surface 58, and the wafer W can be attracted and fixed to the mounting surface 58. Further, when a voltage is applied to the power supply terminal 55 connected to the resistance heating element 57, the resistance heating element 57 is heated, and the mounting surface 58 can be heated and the wafer W can be heated.
[0005]
By the way, the electrostatic force includes a Coulomb force and a Johnson Rahbek force. The Coulomb force depends on the dielectric constant of the material forming the dielectric layer 52b, and the Johnson Rahbek force is the volume of the material forming the dielectric layer 52b. Depends on the specific resistance value. Specifically, the adsorption force when the volume resistivity of the dielectric layer 52b is greater than 10 15 Ω · cm is governed by the Coulomb force, and as the volume resistivity of the dielectric layer 52b decreases, Johnson Rabeck It is known that when the force is developed and the volume resistivity value of the dielectric layer 52b is less than 10 12 Ω · cm, the adsorption force is governed by the Johnson-Rahbek force which can obtain a larger adsorption force than the Coulomb force. Yes. However, if the volume specific resistance value of the dielectric layer 52b is less than 10 8 Ω · cm, the amount of leakage current increases, which adversely affects the semiconductor elements of the wafer W held on the attracting surface. The body layer 52b has been required to be formed of a material having a volume resistivity of 10 8 to 10 12 Ω · cm.
[0006]
In recent years, the internal wiring of semiconductor devices has shifted from conventional aluminum wiring to copper wiring. With copper wiring, there is no need to heat the wafer to a high temperature, and an electrostatic chuck that attracts the wafer near room temperature is required. .
[0007]
For this reason, Patent Document 1 discloses an electrostatic chuck 51 having a dielectric layer 52b having a volume resistivity of 10 8 to 10 12 Ω · cm between an electrode and a wafer. The volume resistivity shown in Patent Document 1 focuses on the volume resistivity of the dielectric layer 52b from the adsorption electrode 56 to the placement surface 58, and the surface resistance of the placement surface 58 that attracts the Si wafer is as follows. It was not discussed.
[0008]
Further, in the film forming process such as CVD, PVD, sputtering, SOD, and SOG, since plasma is used, the wafer and the electrostatic chuck are stored and used in a high vacuum container (not shown).
[0009]
[Patent Literature]
JP-A-4-3956
[Problems to be solved by the invention]
The adsorption electrode 56 of the electrostatic chuck 51, a DC voltage is adsorbed to the surface 58 mounting a wafer W is marked pressurized such 0.5~3KV. For example, a 1 atm said container, when adsorbed on surface 58 mounting a wafer W of Shi 3kV indicia pressurized diameter 200mm adsorption electrode 56, the leakage current between the wafer W and the suction electrode 56 as 0.1μA It is. However, if the pressure in the container was evacuated to about 10 -3 Torr, to create a graph showing the leakage current on the vertical axis the pressure in the container on the horizontal axis, the leakage at a pressure of about 0.5~50Torr The current exceeds 4 μA and shows the maximum value. This phenomenon is generally known as Paschen's law.
[0011]
At this time, if a portion having a small resistance is present on the mounting surface 58 of the electrostatic chuck 51, the leakage current between the wafer W and the attracting electrode is remarkably increased, which may damage the circuit on the wafer.
[0012]
The portion having a small resistance is caused by the conductive material adhering to the mounting surface 58. However, it is not easy to clean the mounting surface 58 of the electrostatic chuck 51 until these are removed. Then, a small portion of the mounting surface 58 is mainly mixed with Na from human sweat and fingerprints, and conductive such as C, Si, Ca, Na, and Al mixed in the machining and metallization processes that are indispensable as the manufacturing process of the electrostatic chuck. There was a risk that the sexual substance would adhere or stick. When such a conductive substance is present on a part of the mounting surface, the leakage current between the wafer W and the suction electrode 56 is remarkably increased, and film formation or etching is performed on the wafer using such an electrostatic chuck. processing then, the above leakage current increases with the number of processed wafers is increased, the dielectric layer has a problem of dielectric breakdown and electrostatic chuck can not be used.
[0013]
Therefore, there has been a demand for an electrostatic chuck that does not increase the leakage current even when the number of processed wafers exceeds 10,000 and does not cause dielectric breakdown.
[0014]
[Means for Solving the Problems]
Manufacturing method of the electrostatic chuck of the present invention, the one main surface of the ceramic plate with a mounting surface mounting the wafer, with a suction electrode inside or the other main surface of the front Symbol ceramic plate It includes a cleaning step of cleaning the mounting surface of the electrostatic chuck with: HF solution for 3 minutes or more, and a cleaning step of cleaning with an ultrasonic cleaner with an IPA solution for 5 hours or more .
[0017]
In the method for manufacturing an electrostatic chuck according to the present invention, one main surface of the plate-shaped ceramic body is used as a mounting surface on which a wafer is placed, and an adsorption electrode is provided inside or on the other main surface of the plate-shaped ceramic body. The above-described mounting surface of the electrostatic chuck: a step of cleaning with an HF solution for 3 minutes or more, a step of cleaning with an IPA solution for 1 hour or more with an ultrasonic cleaner, a step of cleaning with a high-pressure steam for 5 minutes or more , 500 And a heat treatment for 5 minutes or more in an oxidizing atmosphere of at least ° C.
[0018]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described.
[0019]
Fig.1 (a) is an example of the perspective view of the electrostatic chuck 1 for demonstrating this invention, (b) is sectional drawing of the XX line. The main surface of the plate-shaped ceramic body 2 is a mounting surface 8 on which a wafer W (not shown) is placed, a pair of adsorption electrodes 6 are embedded therein, and a resistance heating element 7 is embedded below the pair of adsorption electrodes 6. is there. On the lower surface of the plate-like ceramic body 2, a pair of power supply terminals 4 and 5 that electrically connect the pair of adsorption electrodes 6 and the resistance heating element 7 are brazed and fixed via metallization layers, respectively. A dielectric layer 2 b is provided between the mounting surface 8 and the adsorption electrode 6. The mounting surface 8 is formed with a gas inlet 3 for introducing an inert gas such as He or Ar, and a series of recesses 2 a communicating with the gas inlet 3.
[0020]
When a DC voltage is applied to the power supply terminal 4 of the electrostatic chuck 1, an electrostatic force is generated between the wafer W and the mounting surface 8, and the wafer W can be attracted and fixed to the mounting surface 8. Further, when a voltage is applied to the power supply terminal 5 connected to the resistance heating element 7, the resistance heating element 7 is heated, so that the mounting surface 8 can be heated and the wafer W can be heated.
[0021]
In the semiconductor chip manufacturing process, the electrostatic chuck 1 is disposed in the container 100 shown in FIG. 2, and the wafer W is mounted on the mounting surface 8. In this case, a desired voltage to the adsorption electrode 6 is marked to warm to a maximum of about 3 kV, Johnson - wafer W by Rahbek force or a Coulomb force is firmly adsorbed to the mounting surface 8. In the manufacturing process of the wafer W , since plasma is used, the mounting surface 8 of the electrostatic chuck is placed under a high vacuum of about 10 −3 Torr, and the power supply terminals 4 and 5 are used under atmospheric pressure. ing.
[0024]
Under normal pressure the wafer W having a diameter of 200 mm, when attracted to the electrostatic chuck 1 with a mounting surface 8 of the same diameter 200 mm, between the wafer W in the case of applying a voltage of 3kV to the adsorption electrode 6 and the suction electrode 6 The leakage current was 0.1 μA. However, when the internal pressure of the container 100 is reduced, the increase in the leakage current is observed. Further, the above leakage current tends to increase with the number of wafers W processed, and in the worst case, there is a problem that dielectric breakdown of the dielectric layer 2b is caused.
[0025]
In view of this problem, the present inventor has intensively studied, and as a result, the ratio value (I 1 / I 0 ) of the leakage current I 1 at 5 Torr where the leakage current has a maximum value and the leakage current I 0 under normal pressure. if but 40 or less, it has been found that the number of processed wafers W even beyond 10,000 sheets without causing an increase in the leakage current, not even occur dielectric breakdown of the dielectric layer 2b.
[0029]
As described above, the ratio value (I 1 / I 0 ) of the leakage current I 1 at 5 Torr and the leakage current I 0 under normal pressure is 40 or more. Conductive materials such as Na mixed from sweat, fingerprints, and C, Si, Ca, Al mixed in the machining process and metallization process indispensable as the manufacturing process of the electrostatic chuck 1 are adhered or fixed. This is due to the vacuum discharge of the sexual substance. Therefore, in order to make the ratio value 40 or less, it is essential to clean the mounting surface 8.
[0030]
Therefore, the method for manufacturing the electrostatic chuck 1 of the present invention includes a cleaning step of cleaning the mounting surface 8 with the HF liquid and a cleaning step of cleaning with the IPA liquid, and preferably a step of cleaning with high-pressure steam. And a heat treatment for 5 minutes or more in an oxidizing atmosphere of 500 ° C. or higher.
[0031]
Hereinafter, each cleaning process will be described. It goes without saying that it is important that the mounting surface 8 is clean in the electrostatic chuck, as described above, but the electrostatic chuck surface mainly contains human sweat, Na and oil and fat mixed from fingerprints, Conductive substances such as C, Si, Ca, and Al mixed in a machining process and a metallization process that are indispensable as a manufacturing process of the electrostatic chuck 1 exist as dirt. At this time, it is effective to dissolve the metals such as Na, Si, Ca, and Al once and wash the dissolved solution. Also, the oil and fat content makes these metal components adhere to the surface due to its tackiness, making it difficult to wash.
[0032]
The HF cleaning in which an aqueous solution containing 3 % by weight or more of HF and 5% by weight or more of HNO 3 is used melts a conductive component of a metal such as Na, Si, Ca, and Al.
[0033]
When the IPA cleaning with an IPA having a purity of 99% or higher, more preferably 99.9% or higher, dissolves oils and fats, the metal component melted by the HF cleaning is contained in minute voids on the mounting surface 8 But it has the effect of making it easier to float and clean.
[0034]
Furthermore, by applying high-pressure steam cleaning, metal conductive components such as Na, Si, Ca, and Al that are dissolved by HF cleaning and floated by IPA cleaning are cleaned up to the inside of the minute voids on the mounting surface 8. Is possible.
[0035]
C adheres to the mounting surface 8 mainly from the metallization process except Na, Si, Ca, and Al that can be cleaned by HF cleaning and IPA cleaning. C is not a metal and is not dissolved by HF cleaning. For removing C, it is most effective to oxidize and remove by a chemical reaction of C + O 2 → CO 2 . By heating the mounting surface 8 in an oxidizing atmosphere of 500 ° C. or higher for 5 minutes or longer, it becomes possible to remove C components that are difficult to clean by HF cleaning, IPA cleaning, and high-pressure steam cleaning.
[0036]
It will be described manufacturing method of the electrostatic chuck 1 of the present invention.
[0037]
As the insulating ceramic constituting the electrostatic chuck 1, an aluminum nitride sintered body is used, but in the production of the aluminum nitride sintered body, the Group 3a of about 10% by mass in terms of weight of aluminum nitride powder is used. Add oxide, mix with IPA and urethane ball by ball mill for 48 hours, pass the obtained aluminum nitride slurry through 200 mesh, remove urethane ball and ball mill wall debris, with explosion-proof dryer Dry at 120 ° C. for 24 hours to obtain a homogeneous aluminum nitride mixed powder.
[0038]
A desired amount of a desired organic binder is added to the obtained aluminum nitride mixed powder and dried by a method such as a spray drying method to obtain granulated granules of the aluminum nitride mixed powder. The obtained granules of the aluminum nitride mixed powder are molded by a method such as a uniaxial press method or a CIP method, and subjected to raw cutting as necessary to obtain an aluminum nitride molded product having a desired shape. The obtained aluminum nitride molded body is degreased at 300 to 500 ° C. for about 3 to 8 hours in a non-oxidizing gas stream, and further at 1700 to 2000 ° C. for about 1 to 10 hours in a non-oxidizing atmosphere. Firing is performed to obtain an aluminum nitride sintered body.
[0039]
When manufacturing the aluminum nitride sintered body by the tape molding method, the a and create made of aluminum electrolyte mixed nitride powder in the same manner, an acrylic binder to aluminum nitride powder blend obtained and solvent It is mixed slip nitride Aruminimu quality and work made, performing tape casting by doctor blade method. A plurality of obtained aluminum nitride tapes are laminated, tungsten is formed thereon as the adsorption electrode 6 of the electrostatic chuck 1 by a printing method, a desired adhesion liquid is applied to a plain tape, and a plurality of the tapes are stacked. Press forming.
[0040]
The resulting mixed molded body of aluminum nitride and adsorption electrode 6 is degreased at 500 ° C. for about 5 hours in a non-oxidizing gas stream, and further baked at 1900 ° C. for about 5 hours in a non-oxidizing atmosphere. An aluminum nitride sintered body made of a dielectric is obtained. The aluminum nitride sintered body thus obtained is machined so as to obtain a desired shape and a desired dielectric layer 2b thickness, whereby an electrostatic chuck 1 is obtained. Further, a desired gas diffusion groove 2a is formed on the mounting surface 8 of the electrostatic chuck 1 by a method such as sandblasting.
[0041]
Thereafter, the mounting surface 8 is cleaned in order to remove any conductive material, but what is important for cleaning is the cleaning process described below.
[0042]
In the cleaning step using HF liquid, an aqueous solution containing 3 % by weight or more of HF and 5% by weight or more of HNO 3 is evenly applied to the mounting surface 8 of the electrostatic chuck 1 with a dropper or the like, and is then placed in the atmosphere at room temperature. This is a step of leaving for 3 minutes or more.
[0043]
Washing with following IPA is immersed in IPA in a state in which the electrostatic chuck 1 of the mounting surface 8 and the placing in the downward face 8 is directed to the lower side in the IPA bath, 1 hour or more in an ultrasonic cleaner Wash.
[0044]
Furthermore, the cleaning process using high-pressure steam is performed by performing cleaning for 5 minutes or more at a pressure of 0.01 MPa or more in a nozzle shape in which 100 ° C. water vapor hits the entire surface 8 of the electrostatic chuck 1 in a shower shape. is there.
[0045]
In general, HF cleaning or IPA cleaning has an effect of dissolving the conductive component, which is dirt adhering to the mounting surface 8, on the mounting surface 8. The conductive material can not be removed completely, and in order to remove it completely, cleaning such as HF cleaning and high pressure steam cleaning that can clean the inside of the micro voids existing on the mounting surface 8 of the electrostatic chuck 1 is possible. method it is necessary to perform.
[0046]
Here, as the conductive component, Na mixed from human sweat and fingerprints, C, Si, Ca, Al mixed in a machining process or a metallizing process indispensable as a manufacturing process of the electrostatic chuck 1 can be considered. Among them, the metal component can be completely removed by the above-described method, but the carbon component cannot be removed. About a carbon component, it is good to remove by degreasing the Si wafer mounting surface 4 for 5 minutes or more in air | atmosphere at the temperature of 500 degreeC or more. The present inventors perform HF cleaning and IPA cleaning by applying steam of 100 ° C. or higher in a shower-like manner to the entire surface 8 of the electrostatic chuck 1 at a pressure of 0.01 MPa or more for 5 minutes or more. The conductive component eluted in step 1 can be thoroughly cleaned up to the metal components inside the microvoids on the mounting surface 4, and the mounting surface 8 is degreased at a temperature of 500 ° C. or higher for 5 minutes in the atmosphere. Has been found to be completely removed.
[0047]
【Example】
Example 1
Group 3a oxide of about 10% by mass in terms of weight is added to the aluminum nitride powder, mixed for 48 hours with a ball mill using IPA and urethane balls, and the resulting aluminum nitride slurry is passed through 200 mesh to make urethane balls. After removing the dust from the ball mill wall and drying at 120 ° C. for 24 hours with an explosion-proof dryer, a homogeneous aluminum nitride mixed powder is obtained. The resulting aluminum nitride mixed powder is mixed with an acrylic binder and solvent to produce an aluminum nitride slip, and tape molding is performed by a doctor blade method.
[0048]
Laminate a plurality of tapes of the obtained aluminum nitride, form tungsten on it as an electrostatic chuck electrode by a printing method, apply a desired adhesive liquid to a plain tape, and press-mold by laminating a plurality of tapes Do. The resulting aluminum nitride and tungsten electrode composite molded body is degreased at 500 ° C. for about 5 hours in a non-oxidizing gas stream, and further fired at 1900 ° C. for about 5 hours in a non-oxidizing atmosphere. An aluminum nitride sintered body made of a dielectric is obtained.
[0049]
The aluminum nitride sintered body thus obtained is machined so as to obtain a desired shape and a desired insulating film thickness, thereby obtaining an electrostatic chuck.
[0050]
Furthermore, a desired gas groove is formed on the mounting surface of the electrostatic chuck by a method such as sandblasting. Thereafter, cleaning was performed while changing the time of HF cleaning and IPA cleaning. And by mounting the electrostatic chuck in a container, adsorbed on the mounting surface Si wafer was 3kV indicia pressure, the pressure in the vessel of the leakage current in the leakage current and normal pressure under 5Torr ratio of 40 or less an electrostatic chuck and more than 40 of the electrostatic chuck was created made. The Si wafer was adsorbed at normal pressure and 3kV mark pressurized to the adsorption electrode Thereafter, 10 evacuated in 15 minutes -3 Torr, 10 - 3 Torr in 30 minutes keeping vacuum cycle it back in 15 minutes to atmospheric pressure The leakage current under normal pressure after completion of the decompression cycle was measured, and the leakage current at the first cycle and 10,000 cycles was compared.
[0051]
Table 1 shows the results.
[0052]
[Table 1]
Figure 0004099053
[0053]
Sample Nos. 1 to 5 have a ratio (I 1 / I 0 ) of the leakage current I 1 at a pressure of 5 Torr and the leakage current I 0 under normal pressure of 40 or less, and are within the scope of the present invention. This is a sample. Within the scope of the present invention, the leakage current be carried out 10,000 cycles It can be seen that preferably suppressed within 1.0 micron A.
[0054]
On the other hand, Sample No. 6 outside the scope of the present invention has a leakage current of more than 10 μA at 10,000 cycles, indicating that the leakage current is abnormally large.
[0055]
Sample No. In 7, the dielectric breakdown of the dielectric layer occurred.
[0056]
Accordingly, if the value (I 1 / I 0 ) of the ratio of the leakage current I 1 when the pressure in the container is 5 Torr and the leakage current I 0 under normal pressure is 40 or less, it is possible to process 10,000 or more wafers W. It was found that the electrostatic chuck can be used without being damaged.
[0062]
(Example 2 )
HF cleaning of the mounting surface of the electrostatic chuck is performed for 3 minutes or more, then IPA cleaning is performed for 1 hour or more with an ultrasonic cleaner, and further high-pressure steam cleaning is performed for 5 minutes or more. Further, the Si wafer mounting surface 4 is air-conditioned at 500 ° C. The electrostatic chuck of the present invention was manufactured by degreasing inside. Container pressure when adsorbed to the mounting surface Si wafer was 3kV marked addition to the electrostatic chuck produced leakage current and the container internal pressure under 5Torr was measured the ratio of the leakage current under normal pressure. The Si wafer was adsorbed at normal pressure and 3kV mark pressurized to the adsorption electrode Thereafter, evacuation at 15 minutes 10 -3 Torr, 10 -3 Torr for 30 minutes keeping, the cycle of back in 15 minutes to atmospheric pressure over a period, to compare the leakage current of the first cycle and 10,000 cycles eyes.
[0063]
Table 2 shows the results.
[0064]
[Table 2]
Figure 0004099053
[0065]
Sample Nos. 1 to 6 are samples within the scope of the present invention, and the leakage current is 0.5 μA or less even at the 10000th cycle. Leakage current increased significantly, and dielectric breakdown occurred at 150 cycles or less.
[0066]
【The invention's effect】
According to the manufacturing method of the electrostatic chuck of the present invention, the number of processed Si web c is not generated an increase in leakage current exceeds 10,000 sheets, it is possible to provide an electrostatic chuck that does not lead to breakdown.
[Brief description of the drawings]
1 (a) is a perspective view of an electrostatic chuck for describing the present invention, a sectional view taken along line X-X of (b) is an oblique view diagram (a).
FIG. 2 is a cross-sectional view showing a state in which an electrostatic chuck for explaining the present invention is disposed in a container.
3 (a) is a perspective view of a conventional electrostatic chuck, X of (b) is an oblique view diagram (a) - is an X-ray cross section.
[Explanation of symbols]
1: Electrostatic chuck 2: Plate-like ceramic body 2a: Concave portion 2b: Dielectric layer 3: Gas introduction port 4: Feeding terminal of adsorption electrode 5: Feeding terminal of resistance heating element 6: Adsorption electrode 7: Resistance heating element 8: Mounting surface 51: Electrostatic chuck 52: Plate-like ceramic body 52a: Concave portion 52b: Dielectric layer 53: Gas inlet 54: Feeding terminal 55 of the suction electrode 55: Feeding terminal 56 of the resistance heating element 56: Suction electrode 57: Resistance heating Body 58: Mounting surface W: Wafer

Claims (2)

板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、前記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックの前記載置面をHF液によって3分以上洗浄する工程と、IPA液により5時間以上超音波洗浄機で洗浄する工程とを含むことを特徴とする静電チャックの製造方法。 One main surface of the plate-shaped ceramic body is used as a mounting surface on which a wafer is placed, and the above- described mounting surface of the electrostatic chuck provided with an adsorption electrode inside or on the other main surface of the plate-shaped ceramic body is the HF liquid. method of manufacturing an electrostatic chuck you comprising a higher Engineering in the wash 3 minutes or more, and a degree of Engineering in the wash with 5 hours or more ultrasonic washing machine with IPA solution by. 板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、上記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックの前記載置面を、HF液によって3分以上洗浄する工程と、IPA液により1時間以上超音波洗浄機で洗浄する工程と、高圧スチームにより5分以上洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする静電チャックの製造方法。 One main surface of the plate-shaped ceramic body is used as a mounting surface on which the wafer is placed, and the above-described mounting surface of the electrostatic chuck having an adsorption electrode in the plate-shaped ceramic body or the other main surface is used as the HF liquid. a step of washing at least 3 minutes by a step of washing with IPA liquid by 1 hour or more ultrasonic cleaner, a washing 5 minutes or more by high pressure steam, heating for 5 minutes or more in an oxidizing atmosphere above 500 ° C. preparative method of manufacturing an electrostatic chuck you comprising a.
JP2002370855A 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck Expired - Fee Related JP4099053B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002370855A JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002370855A JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Publications (2)

Publication Number Publication Date
JP2004200620A JP2004200620A (en) 2004-07-15
JP4099053B2 true JP4099053B2 (en) 2008-06-11

Family

ID=32766652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002370855A Expired - Fee Related JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Country Status (1)

Country Link
JP (1) JP4099053B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7648582B2 (en) * 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
US8062487B2 (en) * 2007-06-25 2011-11-22 United Microelectronics Corp. Wafer supporting device of a sputtering apparatus
JP4855366B2 (en) * 2007-10-04 2012-01-18 株式会社アルバック Cleaning method for electrostatic chuck
JP2010092976A (en) * 2008-10-06 2010-04-22 Ulvac Japan Ltd Adsorption power recovering method, and method for preventing dropping of adsorption power
JP5638883B2 (en) * 2010-09-09 2014-12-10 ラピスセミコンダクタ株式会社 Method for forming photosensitive resist pattern and method for manufacturing semiconductor device
US9460950B2 (en) 2013-12-06 2016-10-04 Applied Materials, Inc. Wafer carrier for smaller wafers and wafer pieces
CN110770891B (en) * 2017-10-30 2023-04-07 日本碍子株式会社 Electrostatic chuck and method of manufacturing the same

Also Published As

Publication number Publication date
JP2004200620A (en) 2004-07-15

Similar Documents

Publication Publication Date Title
US6771483B2 (en) Electrostatic chuck member and method of producing the same
JP4031732B2 (en) Electrostatic chuck
EP1824615A2 (en) Wet cleaning of electrostatic chucks
JP2005210077A (en) Electrostatic chuck and manufacturing method therefor, and alumina sintered member and manufacturing method therefor
JP2004260039A (en) Holding structure for device of manufacturing semiconductor or liquid crystal and device of manufacturing semiconductor or liquid crystal mounting same
CN105074902A (en) Electrostatic chuck device
JP4099053B2 (en) Manufacturing method of electrostatic chuck
JP3847198B2 (en) Electrostatic chuck
JP2004296254A (en) Ceramic heater; and semiconductor or liquid crystal manufacturing device composed by mounting it
KR101820976B1 (en) Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
JP4813321B2 (en) Cleaning method for electrostatic chuck
JP3966201B2 (en) Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus equipped with the same
JP4023944B2 (en) Manufacturing method of aluminum nitride sintered body and plate heater or electrostatic chuck
JP2000277599A (en) Electrostatic chuck
JP4043219B2 (en) Electrostatic chuck
JP4163984B2 (en) Electrostatic chuck
JP4149620B2 (en) Substrate copper plating method
JPS62286248A (en) Electrostatic chuck plate and manufacture thereof
JP2004289137A (en) Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus carrying the same
JP2004259805A (en) Electrostatic chuck
JPH1187479A (en) Electrostatic chuck
JP2006013257A (en) Electrostatic chuck
JP2004273866A (en) Wafer holding body for semiconductor manufacturing device and semiconductor manufacturing device mounted with it
JP7078826B2 (en) Detachable device
JPH0880453A (en) Electrostatic chuck for dust collecting

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070828

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080314

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110321

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees