JP2004200620A - Electrostatic chuck and its manufacturing method - Google Patents

Electrostatic chuck and its manufacturing method Download PDF

Info

Publication number
JP2004200620A
JP2004200620A JP2002370855A JP2002370855A JP2004200620A JP 2004200620 A JP2004200620 A JP 2004200620A JP 2002370855 A JP2002370855 A JP 2002370855A JP 2002370855 A JP2002370855 A JP 2002370855A JP 2004200620 A JP2004200620 A JP 2004200620A
Authority
JP
Japan
Prior art keywords
mounting surface
wafer
electrostatic chuck
cleaning
leakage current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002370855A
Other languages
Japanese (ja)
Other versions
JP4099053B2 (en
Inventor
Kiyoshi Yokoyama
清 横山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera Corp
Original Assignee
Kyocera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyocera Corp filed Critical Kyocera Corp
Priority to JP2002370855A priority Critical patent/JP4099053B2/en
Publication of JP2004200620A publication Critical patent/JP2004200620A/en
Application granted granted Critical
Publication of JP4099053B2 publication Critical patent/JP4099053B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an electrostatic chuck for holding a semiconductor wafer, which can avoid an increase in leakage current even when the number of wafers to be processed exceeds 10,000 and can avoid dielectric breakdown in a deposition apparatus or an etching apparatus of CVD, PVD, sputtering, SOD or SOG for use in manufacturing a semiconductor. <P>SOLUTION: In the electrostatic chuck, one of the main surfaces of a plate-shaped ceramic member is used to carry a wafer thereon, and an attraction electrode is provided within the ceramic member or on the other main surface of the ceramic member. A voltage of 3 kV is applied to the attraction electrode to attract the wafer onto the wafer carrier surface. A ratio (I<SB>1</SB>/I<SB>0</SB>) of a current I<SB>1</SB>between the attraction electrode and the wafer at a pressure of 5 Torr to a current I<SB>0</SB>between the attraction electrode and the wafer at atmospheric pressure is set at 40 or less. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
半導体の製造に使用するCVD、PVD、スパッタリング、SOD、SOG、等の成膜装置やエッチング装置において、半導体ウェハを保持する静電チャックに関するものである。
【0002】
【従来の技術】
半導体デバイスを製造する半導体ウェハ(以下、ウェハと略す)の処理工程であるCVD、PVD、スパッタリング、SOD、SOG等の成膜工程やエッチング工程では、被処理物であるウェハに均一な厚みで均質な膜を成膜することや、成膜した膜に均一な深さでエッチングを施すことが重要である。このため、ウェハを吸着し、加熱する静電チャックには、強い吸着力や、ウェハを均一に加熱することが要求されてきた。
【0003】
図3(a)は、静電チャック51の斜視図の一例であり、(b)は、そのY−Y線の断面図である。板状セラミック体52の主面をウェハWを載せる載置面58とし、その内部には一対の吸着電極56を埋設し、更にその下には抵抗発熱体57をそれぞれ埋設してある。板状セラミック体52の下面には、一対の吸着電極56及び抵抗発熱体57とを電気的に接続する一対の給電端子54、55がメタライズ工程を経てロウ付けしそれぞれ固定されている。そして、載置面58と吸着電極56の間には誘電体層52bが設けられている。また、載置面58にはHeやAr等の不活性ガスを導入するガス導入口53とこのガス導入口53に連通する一連の凹部52aが形成されている。
【0004】
そして、この静電チャック51の給電端子54に直流電圧を印加すると、ウェハWと載置面58の間に静電気力が発現し、ウェハWを載置面58に吸着固定することができる。また、抵抗発熱体57に接続した給電端子55に電圧を印加すると、抵抗発熱体57が加熱され、載置面58を加熱するとともにウェハWを加熱することができる。
【0005】
ところで、静電気力には、クーロン力とジョンソン・ラーベック力があり、クーロン力は誘電体層52bを形成する材質の誘電率に依存し、ジョンソン・ラーベック力は誘電体層52bを形成する材質の体積固有抵抗値に依存する。具体的には、誘電体層52bの体積固有抵抗値が1015Ω・cmより大きい時の吸着力はクーロン力により支配され、誘電体層52bの体積固有抵抗値が低下するにしたがってジョンソン・ラーベック力が発現し、誘電体層52bの体積固有抵抗値が1012Ω・cm未満となると吸着力はクーロン力に比べて大きな吸着力が得られるジョンソン・ラーベック力により支配されることが知られている。ただし、誘電体層52bの体積固有抵抗値が108Ω・cm未満となると、漏れ電流量が多くなり、吸着面に保持したウェハWの半導体素子を破壊する等の悪影響を与えることから、誘電体層52bを108〜1012Ω・cmの体積固有抵抗値を有する材料により形成することが求められていた。
【0006】
近年、半導体デバイスの内部配線は従来のアルミニウム配線から銅配線へと移行が進み、銅配線ではウェハを高温に加熱する必要はなくなり、室温付近でウェハを吸着する静電チャックが必要となっている。
【0007】
このため、特許文献1には電極とウェハの間に108〜1012Ω・cmの体積固有抵抗を有する誘電体層52bを有した静電チャック51が開示されている。
特許文献1に示される体積固有抵抗はあくまでも吸着電極56から載置面58までの誘電体層52bの体積固有抵抗に注目しており、Siウェハを吸着する載置面58の表面抵抗については、議論されていなかった。
【0008】
また、CVD、PVD、スパッタリング、SOD、SOGといった成膜工程やエッチング工程では、プラズマを用いることから、ウェハや静電チャックを高真空の容器内(不図示)に収納し使用している。
【0009】
【特許文献】
特開平4−3956号公報
【0010】
【発明が解決しようとする課題】
上記の静電チャック51の吸着電極2には、0.5〜3kVといった直流電圧が印可されウェハWを載置面58に吸着している。例えば、前記の容器内を一気圧として、吸着電極56に3kV印可し直径200mmのウェハWを載置面58に吸着したとき、ウェハWと吸着電極56の間の漏れ電流は0.1μA程である。しかし、前記容器100内の圧力を10-3Torr程度まで減圧し、横軸に容器内の圧力を縦軸に前記の漏れ電流を示すグラフを作成すると、0.5〜50Torr程度の圧力で漏れ電流が4μAを越え最大値を示す。この現象は、パッシェンの法則として一般に知られている。
【0011】
このとき、静電チャック51の載置面58に抵抗の小さな部分が存在すると、ウェハWと吸着電極との間の漏れ電流は著しく増加し、ウェハ上の回路を破損する虞があった。
【0012】
上記抵抗の小さな部分は載置面58に付着した導電性物質が原因であるが、これらを取り除くまで静電チャック51の載置面58を洗浄することは容易でなく、従来の純水による洗浄では、載置面58のごく一部分に主に人間の汗、指紋から混入するNa、静電チャックの製造工程として不可欠な機械加工やメタライズ工程で混入するC、Si、Ca、Na、Alといった導電性物質が付着または固着する虞があった。このような導電性物質が載置面の一部分に存在すると、ウェハと吸着電極2との間の漏れ電流が著しく増加するとともに、このような静電チャックを使ってウェハへの成膜やエッチング処理すると、ウェハの処理枚数が増えるとともに上記の漏れ電流が増加し、前記の誘電体層が絶縁破壊し静電チャックが使用できなくなるとの問題があった。
【0013】
そこで、ウェハの処理枚数が10000枚を越えても漏れ電流が増加せず、絶縁破壊にも至らない静電チャックが望まれていた。
【0014】
【課題を解決するための手段】
本発明者らは、前述の状況に鑑み、板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、上記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックにおいて、前記吸着電極に3kVの電圧を印加してウェハを前記載置面に吸着したとき、5Torrの圧力下における吸着電極とウェハとの間の電流I1と、大気圧下での吸着電極とウェハの間の電流I0との比の値(I1/I0)が40以下であることを特徴とする。
【0015】
また、上記載置面のCa、Si、Na、Alの各元素を蛍光X線で測定したときのカウント測定値が何れも200カウント以下であることを特徴とする。
【0016】
また、前記載置面をHF液によって洗浄する洗浄工程と、IPA液により洗浄する洗浄工程とを含むことを特徴とする。
【0017】
更に、前記載置面を高圧スチームにより洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする。
【0018】
【発明の実施の形態】
本発明の実施の形態について説明する。
【0019】
図1(a)は、本発明に係る静電チャック1の斜視図の一例であり、(b)は、そのX−X線の断面図である。板状セラミック体2の主面をウェハWを載せる載置面8とし、その内部には一対の吸着電極6を埋設し、更にその下方には抵抗発熱体7をそれぞれ埋設してある。板状セラミック体2の下面には、一対の吸着電極6及び抵抗発熱体7とを電気的に接続する一対の給電端子4、5がそれぞれメタライズ層を介してロウ付け固定されている。そして、載置面8と吸着電極6との間には誘電体層2bが設けられている。また、載置面8にはHeやAr等の不活性ガスを導入するガス導入口3とこのガス導入口3に連通する一連の凹部2aが形成されている。
【0020】
そして、この静電チャック1の給電端子4に直流電圧を印加すると、ウェハWと載置面8の間に静電気力が発現し、ウェハWを載置面8に吸着固定することができる。また、抵抗発熱体7に接続した給電端子5に電圧を印加すると、抵抗発熱体7が加熱され、載置面8を加熱するとともにウェハWを加熱することができる。
【0021】
半導体チップの製造工程においては、図2に示す容器100に静電チャック1が配設され、載置面8の上にウェハWが載置される。この際、吸着電極6には所望の電圧が最大3kV程度まで印可され、ジョンソン−ラーベック力やクーロン力によってウェハWは載置面8に強固に吸着される。また、ウェハWの製造工程では、プラズマを使うことから、静電チャックの載置面8は10-3Torr程度の高真空下に置かれ、給電端子4、5側は大気圧下で使用されている。
【0022】
本発明の静電チャック1は、前記吸着電極6に3kVの電圧を印加してウェハWを載置面8に吸着したとき、前記容器100内の圧力が5Torrにおける吸着電極6とウェハWの間の電流I1と、容器100内の圧力が大気圧での吸着電極6とウェハの間の電流I0との比の値(I1/I0)が40以下であることを特徴とする。
【0023】
尚、通常誘電体層2bは高い絶縁性を有するセラミックスで形成されるが、吸着電極6に電圧を印可し、載置面8にSiウエハWを吸着すると吸着電極6からSiウエハ8に微小な電流が流れる。この漏れ電流は、吸着電極6を流れる電流と等しい。この吸着電極6に流れる電流を電流計で測定して、電流I1やI0を測定する。
【0024】
直径200mmのウェハを常圧下で、同じ直径200mmの載置面4を持つ静電チャックに吸着したとき、吸着電極6に3kVの電圧を印加した場合のウェハWと吸着電極2との間の漏れ電流は0.1μAであった。しかし、容器100の内部圧力を減圧すると、上記の漏れ電流の増加が観察される。更に、上記の漏れ電流はウェハWの処理枚数とともに増加する傾向があり、最悪の場合、誘電体層の絶縁破壊に至るという問題があった。
【0025】
本発明者らは本問題に鑑み、鋭意研究の結果、前記の漏れ電流が最大値を示す5Torrにおける漏れ電流I1と常圧下での漏れ電流I0との比の値(I1/I0)が40以下であれば、ウェハWの処理枚数が10000枚を越えても前記漏れ電流の増加が起こらず、誘電体層1の絶縁破壊も発生しないことを見いだした。
【0026】
また、上記漏れ電流の増加は,載置面の付着物によって生じるが、本発明では上記載置面のCa、Si、Na、Alの元素を蛍光X線で測定し、そのカウント測定値が200カウント以下とすることによって、5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)を40以下とすることができる。
【0027】
上記付着物の分析方法と検出方法その上限値について説明する。載置面8は通常直径6インチから12インチに形成されているため、SEM、EPMAなどの分析装置では、載置面8の汚れを分析する事は難しい。仮に6インチから12インチの直径を持つように設計された静電チャックの表面を観察できるSEM、EPMAが存在しても、SEM、EPMAで載置面8の汚れを分析するためには、載置面8をPt、Cなどでコーティングする必要があり、汚れ分析をおこなった後にコーティングしたPt、C膜を剥がさなければならない。
【0028】
これらの事情から、載置面8を非破壊で解析するためには、蛍光X線分析が最適である。蛍光X線分析であれば、載置面8を何かでコーティングする必要がなく、比較的大きな試料まで簡便に測定できるからである。蛍光X線で載置面8の直径60mmの範囲で順次Ca、Si、Na、Alの各元素をカウントし、上記載置面のCa、Si、Na、Alの何れの元素のカウント測定値が200カウント以下、好ましくは100カウント以下であると静電チャック1にウェハWを載せ加工処理するウェハW枚数が10000枚を越えても絶縁層2bが絶縁破壊することなく優れた特性を示すことが判明した。
【0029】
前述したように、5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以上となるのは、載置面8のごく一部分に主に人間の汗、指紋から混入するNa、静電チャック1の製造工程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alといった導電性物質が付着または固着した状態となり、これらの導電性物質が真空放電する事によるものである。従って前記の比の値を40以下とするためには、載置面8の清浄化が不可欠である。
【0030】
そこで、本発明の静電チャック1の製造方法は、前記載置面8をHF液によって洗浄する洗浄工程と、IPA液によって洗浄する洗浄工程とを含み、好ましくは、高圧スチームによって洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする。
【0031】
以下、各洗浄工程について説明する。静電チャックにおいて載置面8が清浄であることが重要であることは前記に説明したとおり言うまでもないが、静電チャック表面には、主に人間の汗、指紋から混入するNaと油脂分、静電チャック1の製造工程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alといった導電性物質が汚れとして存在する。この際、Na、Si、Ca、Alといった金属については、一旦溶かしだして、その溶かしだした溶液を洗浄するという方法が有効である。また油脂分はそのタック性でこれらの金属成分を表面に接着させ、洗浄させにくくする。
【0032】
HFを3重量%以上含有し、HNO3を5重量%以上含有する水溶液で洗浄するHF洗浄は、Na、Si、Ca、Alといった金属の導電性成分を溶融させる。
【0033】
純度99%以上更に好ましくは99.9%以上のIPAによるIPA洗浄は、油脂分を溶かし出すため、HF洗浄によって溶融された金属成分が載置面8の微小なボイドの中に入っている場合でも浮遊させ、洗浄しやすくする効果がある。
【0034】
更に高圧スチーム洗浄をかけることで、HF洗浄によって溶かし出され、IPA洗浄によって浮遊したNa、Si、Ca、Alといった金属の導電性成分を載置面8の微小なボイド内部に至るまで洗浄する事が可能となる。
【0035】
HF洗浄、IPA洗浄で洗浄可能なNa、Si、Ca、Al以外ではCが、主にメタライズ工程から載置面8に固着する。Cは金属ではなく、HF洗浄によって溶かし出されることがない。Cの除去の為には、C+O2→CO2の化学反応により、酸化させて除去する事が最も有効である。500℃以上の酸化雰囲気で載置面8を5分以上加熱することにより、HF洗浄、IPA洗浄、高圧スチーム洗浄では、洗浄し難いC成分を除去する事が可能となる。
【0036】
次に本発明の静電チャック1の詳細な製造方法を説明する。
【0037】
静電チャック1を構成する絶縁性セラミックスとしては、窒化アルミニウム質焼結体が用いられるが、窒化アルミニウム質焼結体の製造に当たっては、窒化アルミニウム粉末に重量換算で10質量%程度の第3a族酸化物を添加し、IPAとウレタンボールを用いてボールミルにより48時間混合し、得られた窒化アルミニウムのスラリーを200メッシュに通し、ウレタンボールやボールミル壁の屑を取り除いた後、防爆乾燥機にて120℃で24時間乾燥して、均質な窒化アルミニウム質混合粉末を得る。
【0038】
得られた窒化アルミニウム質混合粉末に所望の有機バインダーを所望の量だけ添加し、スプレードライ方法などの方法で乾燥させ、窒化アルミニウム質混合粉末の造粒された顆粒を得る。得られた窒化アルミニウム質混合粉末の顆粒を一軸プレス法、CIP法などの方法により成形し、必要に応じて生切削を施して、所望の形状の窒化アルミニウム質成形体を得る。得られた窒化アルミニウム質成形体を非酸化性ガス気流中にて300〜500℃で3〜8時間程度の脱脂を行い、更に非酸化性雰囲気にて1700〜2000℃で1〜10時間程度の焼成を行い、窒化アルミニウム質焼結体を得る。
【0039】
窒化アルミニウム質焼結体で静電チャック1を製造する場合には、前記と同様の方法で窒化アルミニウム質混合粉末を作成し、得られた窒化アルミニウム質混合粉末にアクリル系のバインダーと溶媒を混合して窒化アルミニム質のスリップを作成し、ドクターブレード法にてテープ成形を行う。得られた窒化アルミニウムのテープを複数枚積層し、その上に静電チャック1の吸着電極6としてタングステンを印刷法で形成し、無地のテープに所望の密着液を塗り、テープを複数枚重ねてプレス成形を行う。
【0040】
得られた窒化アルミニウムと吸着電極6の混合成形体を非酸化性ガス気流中にて500℃で5時間程度の脱脂を行い、更に非酸化性雰囲気にて1900℃で5時間程度の焼成を行い、誘電体からなる窒化アルミニウム質焼結体を得る。こうして得られた窒化アルミニウム質焼結体に所望の形状、所望の誘電体層2b厚みが得られるように機械加工を施し、静電チャック1とする。更に所望のガス拡散溝2aを静電チャック1の載置面8にサンドブラストなどの方法で形成する。
【0041】
この後、載置面8には、一切の導電性物質を除去するために洗浄を施すが、洗浄にあたって大事なことは、次に示す洗浄工程である。
【0042】
HF液による洗浄工程は、HFを3重量%以上含有し、HNO3を5重量%以上含有する水溶液をスポイトなどで静電チャック1の載置面8にまんべんなく塗布した後、常温で大気中に3分以上放置する工程である。
【0043】
次のIPAによる洗浄は、IPA浴の中に静電チャック1の載置面8を下向きにして載置面8が下側に向いた状態でIPA中に浸し、超音波洗浄機で一時間以上洗浄する。
【0044】
更に、高圧スチームによる洗浄工程は、100℃の水蒸気がシャワー状に静電チャック1の載置面8の全面にあたるようなノズル形状で0.01MPa以上の圧力で5分以上の洗浄を施すことである。
【0045】
一般に、HF洗浄やIPA洗浄は載置面8に付着している汚れである導電性成分を載置面8上で溶解させる効果があるが、溶解させただけでは、載置面8に付着した導電性物質を完璧に除去することはできない、完璧に除去するためには、HF洗浄高圧スチーム洗浄のような、静電チャック1の載置面8に存在する微小ボイドの内部まで洗浄できる洗浄方法を施す必要ある。
【0046】
ここで導電性成分とは主に人間の汗、指紋から混入するNa、静電チャック1の製造過程として不可欠な機械加工工程やメタライズ工程で混入するC、Si、Ca、Alなどが考えられる。この中で金属成分については、前述の方法で完璧に除去できるが、カーボン成分については、除去できない。カーボン成分については、Siウェハ載置面4を500℃以上の温度で大気中にて5分以上脱脂する事により除去すると良い。本発明者らは、100℃以上のスチームをシャワー状に静電チャック1の載置面8の全面にあたるようなノズル形状で0.01MPa以上の圧力で5分以上施すことによってHF洗浄、IPA洗浄で溶出した導電性成分を載置面4の微小ボイドの内部の金属成分までを完璧に洗浄でき、更に載置面8を大気中にて500℃以上の温度で5分脱脂する事によりカーボン成分を完璧に除去できることを見いだした。
【0047】
【実施例】
(実施例1)
窒化アルミニウム粉末に重量換算で10質量%程度の第3a族酸化物を添加し、IPAとウレタンボールを用いてボールミルにより48時間混合し、得られた窒化アルミニウムのスラリーを200メッシュに通し、ウレタンボールやボールミル壁の屑を取り除いた後、防爆乾燥機にて120℃で24時間乾燥して、均質な窒化アルミニウム質混合粉末を得る。得られた窒化アルミニウム質混合粉末にアクリル系のバインダーと溶媒を混合して窒化アルミニム質のスリップを作成し、ドクターブレード法にてテープ成形を行う。
【0048】
得られた窒化アルミニウムのテープを複数枚積層し、その上に静電チャックの電極としてタングステンを印刷法で形成し、無地のテープに所望の密着液を塗り、テープを複数枚重ねてプレス成形を行う。得られた窒化アルミニウムとタングステン電極の複合成形体を非酸化性ガス気流中にて500℃で5時間程度の脱脂を行い、更に非酸化性雰囲気にて1900℃で5時間程度の焼成を行い、誘電体からなる窒化アルミニウム質焼結体を得る。
【0049】
こうして得られた窒化アルミニウム質焼結体に所望の形状、所望の絶縁膜厚みが得られるように機械加工を施し、静電チャックとする。
【0050】
更に、所望のガス溝を静電チャックの載置面にサンドブラストなどの方法で形成する。その後、HF洗浄とIPA洗浄の時間を変えて洗浄した。そして前記静電チャックを容器内に据え付けて、3kV印可してSiウェハを載置面に吸着し、容器内の圧力が5Torr下における漏れ電流と常圧下での漏れ電流の比が40以下の静電チャックと40以上の静電チャックを作成した。しかる後に吸着電極に3kV印可してSiウェハを常圧下で吸着し、10-3Torrまで15分で真空引きし、10-3Torrで30分キープ、常圧まで15分で戻すという減圧サイクルをかけて減圧サイクル終了後の常圧下での漏れ電流を測定し、1サイクル目と10000サイクルの漏れ電流を比較した。
【0051】
表1に結果を示す。
【0052】
【表1】

Figure 2004200620
【0053】
試料No.1〜5は、容器内の圧力が5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以下であり、本発明の範囲内の試料である。本発明の範囲内では、10000サイクルを実施しても漏れ電流は1.0μ以内に抑えられ好ましいことが分る。
【0054】
これに対し、本発明の範囲外の試料No.6は、10000サイクル時の漏れ電流が10μAを越えており、漏れ電流が異常に大きくなっていることが分かる。
【0055】
また、試料No.7では、誘電体層の絶縁破壊が発生した。
【0056】
従って、容器内の圧力が5Torrにおける漏れ電流I1と常圧下での漏れ電流I0の比の値(I1/I0)が40以下であるとウェハWを10000枚以上加工処理しても静電チャックが破損することなく使用できることが分った。
【0057】
(実施例2)
載置面8を直径60mmの範囲で載置面8の全面にわたり次々にCa、Si、Na、Alの各元素を蛍光X線で測定し、その全ての測定点において蛍光X線カウント測定値が200カウント以下であることを確認した後に3kV印可してSiウェハを載置面に吸着し、容器内の圧力が5Torr下における漏れ電流と常圧下での漏れ電流の比が40以下の静電チャックと40以上の静電チャックを作成した。しかる後に、容器内の圧力が常圧下で吸着電極に3kV印可してSiウェハを吸着し、10-3Torrまで15分で真空引きし、10-3Torrで30分キープ、常圧まで15分で戻すという減圧サイクルをかけて減圧サイクル終了後の常圧下での漏れ電流を測定し、1サイクル目と10000サイクルの漏れ電流を比較した。
【0058】
表2に結果を示す。
【0059】
【表2】
Figure 2004200620
【0060】
試料No.1〜6は本発明の範囲内の発明であり、サイクル試験の1サイクル目と10000サイクル目の漏れ電流は大きく変化せず、10000サイクル目においても漏れ電流値は0.5μA以下である。しかしながら、本発明の範囲外である試料No.7〜10においては、全て150サイクル以下で誘電体層2aの絶縁破壊が発生した。
【0061】
従って、載置面のCa、Si、Na、Alの少なくとも一つの元素を蛍光X線で測定し、そのカウント測定値が200カウント以下であると静電チャックが絶縁破壊することがなく優れた特性を示すことが判明した。
【0062】
(実施例3)
静電チャックの載置面のHF洗浄を3分以上、その後IPA洗浄を超音波洗浄機で一時間以上、更に高圧スチーム洗浄を5分以上施し、更にSiウェハ載置面4を500℃で大気中にて脱脂して本発明の静電チャックを製造した。製造した静電チャックに3kV印可してSiウェハを載置面に吸着した場合における容器内圧力が5Torr下における漏れ電流と、容器内圧力が常圧下での漏れ電流の比を測定した。しかる後に吸着電極に3kV印可してSiウェハを常圧下で吸着し、10-3Torrまで15分で真空引きし、10-3Torrで30分キープ、常圧まで15分で戻すというサイクルかけて1サイクル目と10000サイクルの漏れ電流を比較した。
【0063】
表3に結果を示す。
【0064】
【表3】
Figure 2004200620
【0065】
試料No.1〜6は本発明の範囲内の試料であり、10000サイクル目においても漏れ電流は0.5μA以下であるが、本発明の範囲外の試料No.7〜10においては、サイクルとともに漏れ電流が著しく増加し、150サイクル以下で絶縁破壊が発生した。
【0066】
【発明の効果】
本発明の静電チャックによるとSiウェハWの処理枚数が10000枚を越えても漏れ電流の増加が発生せず、絶縁破壊にも至らない静電チャックを提供することができる。
【図面の簡単な説明】
【図1】(a)は本発明の静電チャックの斜視図であり、(b)は上記斜視図のX−X線断面図である。
【図2】本発明の静電チャックを容器に配設した状態を示す断面図である。
【図3】(a)は従来の静電チャックの斜視図であり、(b)は上記斜視図のY−Y線断面図である。
【符号の説明】
1:静電チャック
2:板状セラミック体
2a:凹部
2b:誘電体層
3:ガス導入口
4:吸着電極の給電端子
5:抵抗発熱体の給電端子
6:吸着電極
7:抵抗発熱体
8:載置面
51:静電チャック
52:板状セラミック体
52a:凹部
52b:誘電体層
53:ガス導入口
54:吸着電極の給電端子
55:抵抗発熱体の給電端子
56:吸着電極
57:抵抗発熱体
58:載置面
W:ウェハ[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to an electrostatic chuck for holding a semiconductor wafer in a film forming apparatus such as CVD, PVD, sputtering, SOD, and SOG used for manufacturing a semiconductor and an etching apparatus.
[0002]
[Prior art]
2. Description of the Related Art In a film forming process such as CVD, PVD, sputtering, SOD, and SOG, which is a process of processing a semiconductor wafer (hereinafter, abbreviated as a wafer) for manufacturing a semiconductor device, and an etching process, the wafer to be processed has a uniform thickness and uniformity. It is important to form a thin film and to etch the formed film at a uniform depth. For this reason, an electrostatic chuck that sucks and heats a wafer has been required to have a strong suction force and to uniformly heat the wafer.
[0003]
FIG. 3A is an example of a perspective view of the electrostatic chuck 51, and FIG. 3B is a cross-sectional view taken along line YY. The main surface of the plate-shaped ceramic body 52 is a mounting surface 58 on which the wafer W is mounted, inside which a pair of adsorption electrodes 56 are embedded, and further below it, a resistance heating element 57 is embedded. A pair of power supply terminals 54 and 55 for electrically connecting the pair of adsorption electrodes 56 and the resistance heating element 57 are fixed to the lower surface of the plate-shaped ceramic body 52 by brazing through a metallizing process. Further, a dielectric layer 52b is provided between the mounting surface 58 and the suction electrode 56. The mounting surface 58 is formed with a gas inlet 53 for introducing an inert gas such as He or Ar, and a series of recesses 52 a communicating with the gas inlet 53.
[0004]
When a DC voltage is applied to the power supply terminal 54 of the electrostatic chuck 51, an electrostatic force is generated between the wafer W and the mounting surface 58, and the wafer W can be suction-fixed to the mounting surface 58. When a voltage is applied to the power supply terminal 55 connected to the resistance heating element 57, the resistance heating element 57 is heated, so that the mounting surface 58 can be heated and the wafer W can be heated.
[0005]
Incidentally, the electrostatic force includes Coulomb force and Johnson-Rahbek force, and the Coulomb force depends on the dielectric constant of the material forming the dielectric layer 52b, and the Johnson-Rahbek force is the volume of the material forming the dielectric layer 52b. It depends on the specific resistance value. Specifically, when the volume resistivity of the dielectric layer 52b is greater than 10 15 Ω · cm, the attraction force is governed by Coulomb force, and as the volume resistivity of the dielectric layer 52b decreases, Johnson-Rahbek decreases. It is known that when a force develops and the volume resistivity of the dielectric layer 52b becomes less than 10 12 Ω · cm, the adsorption force is governed by the Johnson-Rahbek force, which provides a larger adsorption force than the Coulomb force. I have. However, if the volume resistivity of the dielectric layer 52b is less than 10 8 Ω · cm, the amount of leakage current increases, which has an adverse effect such as destroying semiconductor elements of the wafer W held on the suction surface. It has been required to form the body layer 52b from a material having a volume resistivity of 10 8 to 10 12 Ω · cm.
[0006]
In recent years, the internal wiring of semiconductor devices has shifted from conventional aluminum wiring to copper wiring, and it is no longer necessary to heat the wafer to a high temperature with copper wiring, and an electrostatic chuck that attracts the wafer at around room temperature is required. .
[0007]
For this reason, Patent Document 1 discloses an electrostatic chuck 51 having a dielectric layer 52b having a volume resistivity of 10 8 to 10 12 Ω · cm between an electrode and a wafer.
The volume resistivity shown in Patent Document 1 focuses on the volume resistivity of the dielectric layer 52b from the attraction electrode 56 to the placement surface 58, and the surface resistivity of the placement surface 58 for attracting the Si wafer is as follows. Had not been discussed.
[0008]
Further, in a film forming process such as CVD, PVD, sputtering, SOD, and SOG, and an etching process, since plasma is used, a wafer or an electrostatic chuck is housed and used in a high vacuum container (not shown).
[0009]
[Patent Document]
JP-A-4-3956
[Problems to be solved by the invention]
A DC voltage of 0.5 to 3 kV is applied to the suction electrode 2 of the electrostatic chuck 51, and the wafer W is suctioned to the mounting surface 58. For example, when a pressure of 3 kV is applied to the suction electrode 56 and the wafer W having a diameter of 200 mm is suctioned on the mounting surface 58 while the pressure in the container is set to 1 atm, the leakage current between the wafer W and the suction electrode 56 is about 0.1 μA. is there. However, when the pressure in the vessel 100 is reduced to about 10 −3 Torr, and the pressure in the vessel is plotted on the abscissa and the leakage current is plotted on the ordinate, the leakage current is about 0.5 to 50 Torr. The current exceeds 4 μA and shows a maximum value. This phenomenon is generally known as Paschen's law.
[0011]
At this time, if there is a small resistance portion on the mounting surface 58 of the electrostatic chuck 51, the leakage current between the wafer W and the attraction electrode increases significantly, and there is a possibility that the circuit on the wafer may be damaged.
[0012]
The small resistance portion is caused by a conductive substance attached to the mounting surface 58. However, it is not easy to clean the mounting surface 58 of the electrostatic chuck 51 until these portions are removed. Then, only a small part of the mounting surface 58 mainly contains Na, which is mixed from human sweat and fingerprints, and conductive materials such as C, Si, Ca, Na, and Al, which are mixed in a machining process or a metallizing process which is indispensable as a manufacturing process of the electrostatic chuck. There is a possibility that the conductive substance may adhere or stick. When such a conductive substance is present on a part of the mounting surface, a leakage current between the wafer and the adsorption electrode 2 is significantly increased, and a film formation or etching process on the wafer is performed using such an electrostatic chuck. Then, as the number of processed wafers increases, the above-mentioned leakage current increases, and there has been a problem that the dielectric layer is broken down and the electrostatic chuck cannot be used.
[0013]
Therefore, there has been a demand for an electrostatic chuck that does not increase the leakage current even when the number of processed wafers exceeds 10,000 and does not cause dielectric breakdown.
[0014]
[Means for Solving the Problems]
In view of the above-described situation, the present inventors provided one main surface of the plate-shaped ceramic body as a mounting surface on which a wafer was mounted, and provided an adsorption electrode on the inside or the other main surface of the plate-shaped ceramic body. In the electrostatic chuck, when a voltage of 3 kV is applied to the suction electrode to suction the wafer onto the mounting surface, the current I 1 between the suction electrode and the wafer under a pressure of 5 Torr, The value of the ratio (I 1 / I 0 ) of the current I 0 between the suction electrode and the wafer is 40 or less.
[0015]
Further, each of the elements Ca, Si, Na, and Al on the mounting surface is measured by fluorescent X-rays, and each of the counts is 200 counts or less.
[0016]
The method further includes a cleaning step of cleaning the mounting surface with an HF liquid and a cleaning step of cleaning the mounting surface with an IPA liquid.
[0017]
Further, the method is characterized by including a step of cleaning the mounting surface with high-pressure steam and a step of performing a heat treatment in an oxidizing atmosphere of 500 ° C. or more for 5 minutes or more.
[0018]
BEST MODE FOR CARRYING OUT THE INVENTION
An embodiment of the present invention will be described.
[0019]
FIG. 1A is an example of a perspective view of an electrostatic chuck 1 according to the present invention, and FIG. 1B is a cross-sectional view taken along line XX. The main surface of the plate-shaped ceramic body 2 is a mounting surface 8 on which the wafer W is mounted, inside which a pair of adsorption electrodes 6 are buried, and further below the resistance heating elements 7 are buried. A pair of power supply terminals 4 and 5 for electrically connecting the pair of suction electrodes 6 and the resistance heating element 7 are fixed to the lower surface of the plate-shaped ceramic body 2 via a metallization layer. A dielectric layer 2b is provided between the mounting surface 8 and the suction electrode 6. Further, the mounting surface 8 is formed with a gas inlet 3 for introducing an inert gas such as He or Ar and a series of concave portions 2 a communicating with the gas inlet 3.
[0020]
When a DC voltage is applied to the power supply terminal 4 of the electrostatic chuck 1, an electrostatic force is generated between the wafer W and the mounting surface 8, and the wafer W can be suction-fixed to the mounting surface 8. When a voltage is applied to the power supply terminal 5 connected to the resistance heating element 7, the resistance heating element 7 is heated, so that the mounting surface 8 can be heated and the wafer W can be heated.
[0021]
In the semiconductor chip manufacturing process, the electrostatic chuck 1 is provided in the container 100 shown in FIG. 2, and the wafer W is mounted on the mounting surface 8. At this time, a desired voltage is applied to the attraction electrode 6 up to about 3 kV, and the wafer W is firmly attracted to the mounting surface 8 by Johnson-Rahbek force or Coulomb force. In the manufacturing process of the wafer W, since plasma is used, the mounting surface 8 of the electrostatic chuck is placed under a high vacuum of about 10 −3 Torr, and the power supply terminals 4 and 5 are used under atmospheric pressure. ing.
[0022]
When the electrostatic chuck 1 of the present invention applies a voltage of 3 kV to the suction electrode 6 and sucks the wafer W on the mounting surface 8, the pressure in the container 100 is between the suction electrode 6 and the wafer W at 5 Torr. and current I 1 of the ratio of the value of the current I 0 between the pressure in the container 100 of the adsorption electrode 6 and the wafer at atmospheric pressure (I 1 / I 0) is equal to or 40 or less.
[0023]
Normally, the dielectric layer 2b is formed of a ceramic having a high insulating property. However, when a voltage is applied to the adsorption electrode 6 and the Si wafer W is adsorbed on the mounting surface 8, minute dielectric particles are transferred from the adsorption electrode 6 to the Si wafer 8. Electric current flows. This leakage current is equal to the current flowing through the attraction electrode 6. The current flowing through the adsorption electrode 6 is measured by an ammeter to measure the currents I 1 and I 0 .
[0024]
When a wafer having a diameter of 200 mm is attracted to an electrostatic chuck having the mounting surface 4 having the same diameter of 200 mm under normal pressure, a leak between the wafer W and the attracting electrode 2 when a voltage of 3 kV is applied to the attracting electrode 6. The current was 0.1 μA. However, when the internal pressure of the container 100 is reduced, the above-described increase in the leakage current is observed. Further, the above-mentioned leakage current tends to increase with the number of processed wafers W, and in the worst case, there is a problem that dielectric breakdown of the dielectric layer occurs.
[0025]
In view of this problem, the present inventors have conducted intensive studies and as a result, have found that the value of the ratio (I 1 / I 0) of the leakage current I 1 at 5 Torr where the leakage current has the maximum value to the leakage current I 0 under normal pressure. ) Is 40 or less, it was found that the leakage current did not increase even when the number of processed wafers W exceeded 10,000, and that dielectric breakdown of the dielectric layer 1 did not occur.
[0026]
Further, the increase in the leakage current is caused by deposits on the mounting surface. In the present invention, the elements Ca, Si, Na, and Al on the mounting surface are measured by fluorescent X-rays, and the count measurement value is 200. By making the count or less, the ratio value (I 1 / I 0 ) of the leakage current I 1 at 5 Torr and the leakage current I 0 under normal pressure can be made 40 or less.
[0027]
The method for analyzing the attached matter and the method for detecting the attached matter will be described below. Since the mounting surface 8 is usually formed to have a diameter of 6 inches to 12 inches, it is difficult for an analyzer such as an SEM or EPMA to analyze the dirt on the mounting surface 8. Even if there is an SEM or EPMA capable of observing the surface of an electrostatic chuck designed to have a diameter of 6 inches to 12 inches, in order to analyze the contamination of the mounting surface 8 with the SEM or EPMA, It is necessary to coat the mounting surface 8 with Pt, C, or the like, and it is necessary to peel off the coated Pt, C film after performing dirt analysis.
[0028]
From these circumstances, in order to analyze the mounting surface 8 in a non-destructive manner, X-ray fluorescence analysis is optimal. This is because, in the case of the fluorescent X-ray analysis, there is no need to coat the mounting surface 8 with something, and a relatively large sample can be measured easily. Each element of Ca, Si, Na, and Al was sequentially counted in the range of the diameter of the mounting surface 8 of 60 mm with fluorescent X-rays, and the measured value of any of the elements Ca, Si, Na, and Al on the mounting surface was determined. If the count is 200 counts or less, preferably 100 counts or less, the insulating layer 2b can exhibit excellent characteristics without dielectric breakdown even when the number of wafers W to be processed by placing the wafers W on the electrostatic chuck 1 exceeds 10,000. found.
[0029]
As described above, the value of the ratio (I 1 / I 0 ) of the leakage current I 1 at 5 Torr to the leakage current I 0 under the normal pressure (I 1 / I 0 ) is 40 or more mainly because a very small part of the mounting surface 8 is Na, which is mixed in from sweat and fingerprints, and conductive substances such as C, Si, Ca, and Al which are mixed in a machining process or a metallizing process, which are indispensable as a manufacturing process of the electrostatic chuck 1, are attached or fixed. This is due to the vacuum discharge of the conductive material. Therefore, in order to make the value of the ratio 40 or less, it is essential to clean the mounting surface 8.
[0030]
Therefore, the method of manufacturing the electrostatic chuck 1 of the present invention includes a cleaning step of cleaning the mounting surface 8 with an HF liquid and a cleaning step of cleaning with an IPA liquid, and preferably includes a cleaning step of high-pressure steam. Heat treatment in an oxidizing atmosphere of 500 ° C. or more for 5 minutes or more.
[0031]
Hereinafter, each cleaning step will be described. It is needless to say that it is important that the mounting surface 8 is clean in the electrostatic chuck, as described above, but the surface of the electrostatic chuck mainly includes Na and oil and fat components mixed from human sweat and fingerprints. Conductive substances such as C, Si, Ca, and Al mixed in a machining step or a metallizing step which are indispensable as a manufacturing step of the electrostatic chuck 1 exist as dirt. At this time, it is effective to dissolve the metals such as Na, Si, Ca, and Al once and wash the dissolved solution. The oils and fats adhere these metal components to the surface due to their tackiness, making it difficult to wash.
[0032]
HF cleaning in which an aqueous solution containing 3 % by weight or more of HF and 5% by weight or more of HNO 3 melts a metal conductive component such as Na, Si, Ca, and Al.
[0033]
In the case of IPA cleaning with IPA having a purity of 99% or more, more preferably 99.9% or more, a metal component melted by HF cleaning is contained in minute voids of the mounting surface 8 in order to dissolve fats and oils. However, it has the effect of floating and facilitating cleaning.
[0034]
Further, by applying high-pressure steam cleaning, the conductive components of metals, such as Na, Si, Ca, and Al, which are dissolved out by HF cleaning and floated by IPA cleaning, are cleaned up to the inside of minute voids on the mounting surface 8. Becomes possible.
[0035]
Except for Na, Si, Ca, and Al that can be washed by HF cleaning and IPA cleaning, C adheres to the mounting surface 8 mainly from the metallization step. C is not a metal and is not dissolved out by HF cleaning. The most effective way to remove C is to oxidize and remove it by a chemical reaction of C + O 2 → CO 2 . By heating the mounting surface 8 in an oxidizing atmosphere of 500 ° C. or more for 5 minutes or more, it is possible to remove C components that are difficult to wash in HF cleaning, IPA cleaning, and high-pressure steam cleaning.
[0036]
Next, a detailed manufacturing method of the electrostatic chuck 1 of the present invention will be described.
[0037]
An aluminum nitride sintered body is used as the insulating ceramics constituting the electrostatic chuck 1. In the production of the aluminum nitride sintered body, the aluminum nitride powder contains about 10% by mass of a Group 3a material in terms of weight. The oxide was added, and the mixture was mixed with IPA and urethane balls by a ball mill for 48 hours. The obtained aluminum nitride slurry was passed through a 200 mesh to remove urethane balls and debris from the ball mill wall. After drying at 120 ° C. for 24 hours, a homogeneous aluminum nitride-based mixed powder is obtained.
[0038]
A desired amount of an organic binder is added to the obtained aluminum nitride-based mixed powder in a desired amount, and dried by a method such as a spray drying method to obtain granules of the aluminum nitride-based mixed powder. The obtained granules of the aluminum nitride-based mixed powder are formed by a method such as a uniaxial pressing method or a CIP method, and raw cutting is performed as necessary to obtain an aluminum nitride-based formed body having a desired shape. The obtained aluminum nitride molded body is degreased in a non-oxidizing gas stream at 300 to 500 ° C. for about 3 to 8 hours, and further in a non-oxidizing atmosphere at 1700 to 2000 ° C. for about 1 to 10 hours. Sintering is performed to obtain an aluminum nitride-based sintered body.
[0039]
When manufacturing the electrostatic chuck 1 with an aluminum nitride sintered body, an aluminum nitride mixed powder is prepared in the same manner as described above, and an acrylic binder and a solvent are mixed with the obtained aluminum nitride mixed powder. To form an aluminum nitride slip, and tape forming is performed by a doctor blade method. A plurality of the obtained aluminum nitride tapes are laminated, and tungsten is formed thereon as a suction electrode 6 of the electrostatic chuck 1 by a printing method, a desired adhesive liquid is applied to a plain tape, and a plurality of tapes are laminated. Press molding is performed.
[0040]
The mixed molded body of the obtained aluminum nitride and the adsorption electrode 6 is degreased at 500 ° C. for about 5 hours in a non-oxidizing gas stream, and further baked at 1900 ° C. for about 5 hours in a non-oxidizing atmosphere. Then, an aluminum nitride sintered body made of a dielectric material is obtained. The aluminum nitride sintered body thus obtained is machined so as to obtain a desired shape and a desired thickness of the dielectric layer 2b, thereby obtaining an electrostatic chuck 1. Further, a desired gas diffusion groove 2a is formed on the mounting surface 8 of the electrostatic chuck 1 by a method such as sandblasting.
[0041]
Thereafter, the mounting surface 8 is cleaned to remove any conductive substance, and the important thing in the cleaning is the following cleaning process.
[0042]
In the cleaning step using an HF solution, an aqueous solution containing HF of 3% by weight or more and HNO 3 of 5% by weight or more is evenly applied to the mounting surface 8 of the electrostatic chuck 1 with a dropper or the like, and then is exposed to the air at room temperature. This is a step of leaving the apparatus for 3 minutes or more.
[0043]
The next cleaning by IPA is performed by immersing in an IPA bath with the mounting surface 8 of the electrostatic chuck 1 facing downward with the mounting surface 8 facing downward and in an IPA bath for one hour or more with an ultrasonic cleaner. Wash.
[0044]
Further, the cleaning process using high-pressure steam is performed by performing cleaning at a pressure of 0.01 MPa or more for 5 minutes or more in a nozzle shape in which steam at 100 ° C. hits the entire surface of the mounting surface 8 of the electrostatic chuck 1 in a shower shape. is there.
[0045]
In general, HF cleaning and IPA cleaning have an effect of dissolving the conductive component, which is the dirt attached to the mounting surface 8, on the mounting surface 8. The conductive material cannot be completely removed. To completely remove the conductive material, a cleaning method such as HF cleaning and high-pressure steam cleaning that can clean the inside of the minute voids existing on the mounting surface 8 of the electrostatic chuck 1 is used. Need to be applied.
[0046]
Here, the conductive component may be mainly Na, which is mixed in from human sweat or fingerprints, C, Si, Ca, Al, etc., which are mixed in a machining process or a metallizing process which is indispensable as a manufacturing process of the electrostatic chuck 1. Among them, the metal component can be completely removed by the aforementioned method, but the carbon component cannot be removed. The carbon component is preferably removed by degreasing the Si wafer mounting surface 4 in air at a temperature of 500 ° C. or more for 5 minutes or more. The present inventors apply HF cleaning and IPA cleaning by applying steam at a temperature of 100 ° C. or more in a shower shape in a nozzle shape corresponding to the entire surface of the mounting surface 8 of the electrostatic chuck 1 at a pressure of 0.01 MPa or more for 5 minutes or more. The conductive component eluted in the step can completely clean the metal component inside the minute voids on the mounting surface 4, and the mounting surface 8 is degreased in air at a temperature of 500 ° C. or more for 5 minutes to remove the carbon component. Has been found to be completely removable.
[0047]
【Example】
(Example 1)
About 10% by mass of Group 3a oxide is added to the aluminum nitride powder in terms of weight, mixed with a ball mill using IPA and urethane balls for 48 hours, and the obtained aluminum nitride slurry is passed through a 200 mesh to form a urethane ball. After removing debris from the wall and the ball mill, the mixture is dried at 120 ° C. for 24 hours in an explosion-proof drier to obtain a homogeneous aluminum nitride-based mixed powder. An acrylic binder and a solvent are mixed with the obtained aluminum nitride-based mixed powder to prepare an aluminum nitride-based slip, and tape forming is performed by a doctor blade method.
[0048]
A plurality of obtained aluminum nitride tapes are laminated, tungsten is formed thereon as an electrode of an electrostatic chuck by a printing method, a desired adhesive liquid is applied to a plain tape, and a plurality of tapes are stacked and press-molded. Do. The obtained composite body of aluminum nitride and tungsten electrode is degreased in a non-oxidizing gas stream at 500 ° C. for about 5 hours, and further baked in a non-oxidizing atmosphere at 1900 ° C. for about 5 hours, An aluminum nitride sintered body made of a dielectric is obtained.
[0049]
The aluminum nitride sintered body thus obtained is machined so as to obtain a desired shape and a desired thickness of the insulating film, thereby obtaining an electrostatic chuck.
[0050]
Further, a desired gas groove is formed on the mounting surface of the electrostatic chuck by a method such as sandblasting. Thereafter, the cleaning was performed by changing the time of the HF cleaning and the IPA cleaning. Then, the electrostatic chuck was installed in the container, and 3 kV was applied thereto to adsorb the Si wafer on the mounting surface, and the ratio of the leakage current under the pressure of 5 Torr to the leakage current under the normal pressure was 40 or less. An electric chuck and over 40 electrostatic chucks were made. Thereafter, a pressure reduction cycle of applying 3 kV to the adsorption electrode, adsorbing the Si wafer under normal pressure, evacuating to 10 −3 Torr in 15 minutes, keeping at 10 −3 Torr for 30 minutes, and returning to normal pressure in 15 minutes. After completion of the pressure reduction cycle, the leakage current under normal pressure was measured, and the leakage current in the first cycle and that in the 10,000 cycles were compared.
[0051]
Table 1 shows the results.
[0052]
[Table 1]
Figure 2004200620
[0053]
Sample Nos. 1 to 5 have a ratio (I 1 / I 0 ) of the leakage current I 1 at a pressure in the container of 5 Torr and the leakage current I 0 under normal pressure (I 1 / I 0 ) of 40 or less, which is within the range of the present invention. It is a sample of. Within the scope of the present invention, even if 10,000 cycles are performed, the leakage current is suppressed to within 1.0 μm, which is preferable.
[0054]
In contrast, Sample No. 6 out of the range of the present invention has a leakage current at 10,000 cycles exceeding 10 μA, which indicates that the leakage current is abnormally large.
[0055]
Further, the sample No. In No. 7, dielectric breakdown of the dielectric layer occurred.
[0056]
Therefore, if the ratio (I 1 / I 0 ) of the ratio of the leakage current I 1 at a pressure of 5 Torr to the leakage current I 0 under normal pressure (I 1 / I 0 ) is 40 or less, even if more than 10,000 wafers W are processed. It has been found that the electrostatic chuck can be used without breaking.
[0057]
(Example 2)
Each of the elements Ca, Si, Na, and Al was successively measured by fluorescent X-rays over the entire surface of the mounting surface 8 in the range of the diameter of the mounting surface 8 in the range of 60 mm, and the fluorescent X-ray count measurement values were measured at all the measurement points. After confirming that the count is 200 counts or less, the electrostatic chuck is applied with 3 kV to adsorb the Si wafer on the mounting surface, and the ratio of the leak current under the pressure of 5 Torr to the leak current under the normal pressure of 40 is 40 or less. And more than 40 electrostatic chucks. Thereafter, adsorbed Si wafer pressure in the vessel was 3kV applied to the adsorption electrode under atmospheric pressure, 10 evacuated in 15 minutes -3 Torr, 10 -3 Torr for 30 minutes keeping, 15 minutes to atmospheric pressure Then, the leakage current under normal pressure after the completion of the decompression cycle was measured, and the leakage current in the first cycle and that in 10,000 cycles were compared.
[0058]
Table 2 shows the results.
[0059]
[Table 2]
Figure 2004200620
[0060]
Sample Nos. 1 to 6 are inventions within the scope of the present invention, and the leakage current at the first cycle and the 10000th cycle of the cycle test does not change significantly, and the leakage current value is 0.5 μA or less even at the 10000th cycle. is there. However, in Samples Nos. 7 to 10 outside the scope of the present invention, dielectric breakdown of the dielectric layer 2a occurred in all 150 cycles or less.
[0061]
Therefore, at least one element of Ca, Si, Na, and Al on the mounting surface is measured by fluorescent X-ray, and when the count measured value is 200 counts or less, the electrostatic chuck has excellent characteristics without dielectric breakdown. It turned out to show.
[0062]
(Example 3)
HF cleaning of the mounting surface of the electrostatic chuck is performed for 3 minutes or more, then IPA cleaning is performed for 1 hour or more by an ultrasonic cleaner, and high-pressure steam cleaning is performed for 5 minutes or more. The inside was degreased to produce the electrostatic chuck of the present invention. When 3 kV was applied to the manufactured electrostatic chuck and the Si wafer was adsorbed on the mounting surface, the ratio of the leakage current when the pressure inside the container was 5 Torr and the leakage current when the pressure inside the container was normal pressure was measured. Thereafter, a cycle of applying 3 kV to the adsorption electrode, adsorbing the Si wafer under normal pressure, evacuating to 10 −3 Torr in 15 minutes, keeping at 10 −3 Torr for 30 minutes, and returning to normal pressure in 15 minutes was applied. The leakage current between the first cycle and 10,000 cycles was compared.
[0063]
Table 3 shows the results.
[0064]
[Table 3]
Figure 2004200620
[0065]
Samples Nos. 1 to 6 were within the scope of the present invention, and the leakage current was 0.5 μA or less even at the 10000th cycle. The leakage current increased remarkably, and breakdown occurred in 150 cycles or less.
[0066]
【The invention's effect】
According to the electrostatic chuck of the present invention, even if the number of processed Si wafers W exceeds 10,000, an electrostatic chuck that does not cause an increase in leakage current and does not cause dielectric breakdown can be provided.
[Brief description of the drawings]
FIG. 1A is a perspective view of an electrostatic chuck according to the present invention, and FIG. 1B is a cross-sectional view taken along line XX of the perspective view.
FIG. 2 is a cross-sectional view showing a state where the electrostatic chuck of the present invention is provided in a container.
FIG. 3A is a perspective view of a conventional electrostatic chuck, and FIG. 3B is a cross-sectional view taken along the line YY of the perspective view.
[Explanation of symbols]
1: Electrostatic chuck 2: Plate-shaped ceramic body 2a: Concave portion 2b: Dielectric layer 3: Gas inlet 4: Power supply terminal 5 of adsorption electrode: Power supply terminal 6 of resistance heating element: Adsorption electrode 7: Resistance heating element 8: Mounting surface 51: electrostatic chuck 52: plate-like ceramic body 52a: concave portion 52b: dielectric layer 53: gas introduction port 54: power supply terminal 55 of a suction electrode: power supply terminal 56 of a resistance heating element: suction electrode 57: resistance heat generation Body 58: mounting surface W: wafer

Claims (4)

板状セラミック体の一方の主面をウェハを載せる載置面とするとともに、上記板状セラミック体の内部或いは他方の主面に吸着電極を備えた静電チャックにおいて、前記吸着電極に3kVの電圧を印加してウェハを前記載置面に吸着したとき、5Torrの圧力下における吸着電極とウェハとの間の電流I1と、大気圧下での吸着電極とウェハの間の電流I0との比の値(I1/I0)が40以下であることを特徴とする静電チャック。One main surface of the plate-shaped ceramic body is used as a mounting surface on which a wafer is mounted, and a voltage of 3 kV is applied to the suction electrode in an electrostatic chuck having a suction electrode inside the plate-shaped ceramic body or on the other main surface. Is applied and the wafer is attracted to the mounting surface, the current I 1 between the attracting electrode and the wafer under a pressure of 5 Torr and the current I 0 between the attracting electrode and the wafer under the atmospheric pressure. An electrostatic chuck having a ratio value (I 1 / I 0 ) of 40 or less. 上記載置面のCa、Si、Na、Alの各元素を蛍光X線で測定したときのカウント測定値が何れも200カウント以下であることを特徴とする請求項1に記載の静電チャック。2. The electrostatic chuck according to claim 1, wherein each of the elements of Ca, Si, Na, and Al on the mounting surface is 200 count or less when measured by fluorescent X-rays. 3. 前記載置面をHF液によって洗浄する洗浄工程と、IPA液により洗浄する洗浄工程とを含むことを特徴とする請求項1または2に記載の静電チャックの製造方法。The method according to claim 1, further comprising a cleaning step of cleaning the mounting surface with an HF liquid and a cleaning step of cleaning the mounting surface with an IPA liquid. 前記載置面を高圧スチームにより洗浄する工程と、500℃以上の酸化雰囲気で5分以上加熱処理する工程とを含むことを特徴とする請求項3に記載の静電チャックの製造方法。4. The method according to claim 3, further comprising the steps of: cleaning the mounting surface with high-pressure steam; and heat-treating the mounting surface in an oxidizing atmosphere at 500 ° C. or higher for 5 minutes or longer.
JP2002370855A 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck Expired - Fee Related JP4099053B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002370855A JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002370855A JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Publications (2)

Publication Number Publication Date
JP2004200620A true JP2004200620A (en) 2004-07-15
JP4099053B2 JP4099053B2 (en) 2008-06-11

Family

ID=32766652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002370855A Expired - Fee Related JP4099053B2 (en) 2002-12-20 2002-12-20 Manufacturing method of electrostatic chuck

Country Status (1)

Country Link
JP (1) JP4099053B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008522434A (en) * 2004-12-01 2008-06-26 ラム リサーチ コーポレーション Wet cleaning of electrostatic chuck
JP2009094166A (en) * 2007-10-04 2009-04-30 Ulvac Japan Ltd Method of cleaning electrostatic chuck
JP2009521311A (en) * 2005-12-23 2009-06-04 ラム リサーチ コーポレーション Ultrasonic agitation and cleaning of electrostatic chuck using electric field
JP2010092976A (en) * 2008-10-06 2010-04-22 Ulvac Japan Ltd Adsorption power recovering method, and method for preventing dropping of adsorption power
US8062487B2 (en) * 2007-06-25 2011-11-22 United Microelectronics Corp. Wafer supporting device of a sputtering apparatus
JP2012059924A (en) * 2010-09-09 2012-03-22 Lapis Semiconductor Co Ltd Formation method of photosensitive resist pattern and manufacturing method of semiconductor device
TWI620262B (en) * 2013-12-06 2018-04-01 應用材料股份有限公司 Wafer carrier for smaller wafers and wafer pieces
CN110770891A (en) * 2017-10-30 2020-02-07 日本碍子株式会社 Electrostatic chuck and method of manufacturing the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008522434A (en) * 2004-12-01 2008-06-26 ラム リサーチ コーポレーション Wet cleaning of electrostatic chuck
JP2009521311A (en) * 2005-12-23 2009-06-04 ラム リサーチ コーポレーション Ultrasonic agitation and cleaning of electrostatic chuck using electric field
JP4938792B2 (en) * 2005-12-23 2012-05-23 ラム リサーチ コーポレーション Ultrasonic agitation and cleaning of electrostatic chuck using electric field
US8062487B2 (en) * 2007-06-25 2011-11-22 United Microelectronics Corp. Wafer supporting device of a sputtering apparatus
JP2009094166A (en) * 2007-10-04 2009-04-30 Ulvac Japan Ltd Method of cleaning electrostatic chuck
JP2010092976A (en) * 2008-10-06 2010-04-22 Ulvac Japan Ltd Adsorption power recovering method, and method for preventing dropping of adsorption power
JP2012059924A (en) * 2010-09-09 2012-03-22 Lapis Semiconductor Co Ltd Formation method of photosensitive resist pattern and manufacturing method of semiconductor device
TWI620262B (en) * 2013-12-06 2018-04-01 應用材料股份有限公司 Wafer carrier for smaller wafers and wafer pieces
US10236201B2 (en) 2013-12-06 2019-03-19 Applied Materials, Inc. Wafer carrier for smaller wafers and wafer pieces
CN110770891A (en) * 2017-10-30 2020-02-07 日本碍子株式会社 Electrostatic chuck and method of manufacturing the same
CN110770891B (en) * 2017-10-30 2023-04-07 日本碍子株式会社 Electrostatic chuck and method of manufacturing the same

Also Published As

Publication number Publication date
JP4099053B2 (en) 2008-06-11

Similar Documents

Publication Publication Date Title
TWI376275B (en) Wet cleaning of electrostatic chucks
JP4744855B2 (en) Electrostatic chuck
JP4031732B2 (en) Electrostatic chuck
EP1258918A1 (en) Electrostatic chuck member and method of producing the same
KR20150068917A (en) Electrostatic chuck cleaning fixture
JP4458995B2 (en) Wafer support member
JP2004200620A (en) Electrostatic chuck and its manufacturing method
KR102066271B1 (en) Sealing method of electrostatic chuck
WO2011084127A2 (en) Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
US6982125B2 (en) ALN material and electrostatic chuck incorporating same
JP2007311399A (en) Electrostatic chuck
JP5300363B2 (en) Holding jig and transport device using the same
JP2002231798A (en) Wafer retainer and its manufacturing method
JP2000277599A (en) Electrostatic chuck
JP4043219B2 (en) Electrostatic chuck
JP2004296579A (en) Electrostatic chuck and its manufacturing method
JPH10107132A (en) Electrostatic chuck
JP2005057231A (en) Wafer holding member and its manufacturing method
JP3965469B2 (en) Electrostatic chuck
JP2001199775A (en) Joined structure brazed with metal and wafer support member using the same
JP4632290B2 (en) Cleaning method for aluminum nitride susceptor
JP7078826B2 (en) Detachable device
JP2004259805A (en) Electrostatic chuck
JP4666960B2 (en) Electrostatic chuck
JP2960566B2 (en) Electrostatic chuck substrate and electrostatic chuck

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070828

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080314

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110321

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees