JP4042412B2 - Cleaning and drying method - Google Patents

Cleaning and drying method Download PDF

Info

Publication number
JP4042412B2
JP4042412B2 JP2002004328A JP2002004328A JP4042412B2 JP 4042412 B2 JP4042412 B2 JP 4042412B2 JP 2002004328 A JP2002004328 A JP 2002004328A JP 2002004328 A JP2002004328 A JP 2002004328A JP 4042412 B2 JP4042412 B2 JP 4042412B2
Authority
JP
Japan
Prior art keywords
cleaning
liquid
drying
microstructure
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002004328A
Other languages
Japanese (ja)
Other versions
JP2003206497A (en
Inventor
幸一郎 嵯峨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2002004328A priority Critical patent/JP4042412B2/en
Publication of JP2003206497A publication Critical patent/JP2003206497A/en
Application granted granted Critical
Publication of JP4042412B2 publication Critical patent/JP4042412B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Cleaning By Liquid Or Steam (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、被処理体の洗浄及び乾燥方法に関し、更に詳細には、被処理体の微小構造に損傷を与えることなく、引火の危険なく、大きな洗浄及び乾燥効果で、被処理体を洗浄し、乾燥させる方法に関するものである。
【0002】
【従来の技術】
半導体装置やマイクロマシン等の微小構造体の作製過程では、エッチング処理等のプロセスの後には、必ずと言って良い程、洗浄液による、エッチング液の洗浄除去のための、或いはエッチング残渣の洗浄除去のための洗浄工程、及び乾燥工程が必要である。
微小構造体には、可動部を有しない微小構造体と、可動部を有する微小構造体とがある。可動部を有しない微小構造体とは、アスペクト比(高さ/幅)とよばれる開口パターンの高さと幅の比率が大きい微小構造、例えばLSIの微細パターンを有する半導体基板、或いは微細パターンを形成するためのフォトマスク等の微小構造体である。また、可動部を有する微小構造体とは、静止している基板との間に狭い間隔を保持して基板に支持された可動部を有する微小構造体、例えばマイクロマシンとよばれる微小な駆動部品等の微小構造体である。
【0003】
可動部を有しない微小構造体について言えば、近年のMOSLSIの大規模化に伴い、LSIのパターンの微細化が進み、今や線幅100nm以下のパターン、つまりアスペクト比の大きなパターンが形成されるようになってきている。
基板上にパターンを形成する際には、パターン形成層上にレジスト膜を成膜し、フォトリソグラフィ処理により加工マスクを形成する。次いで、加工マスク上からパターン形成層をエッチングした後、洗浄液による洗浄工程、続いて純水によるリンス洗浄工程、次いで乾燥工程を経て、パターンを形成している。
加工マスクを形成する際も、フォトリソグラフィ処理によるレジスト膜の現像後、リンス液による洗浄工程を経て乾燥工程を実施する。LSIの微細なパターンを形成するためには、微細なパターンを備えた加工マスクが必要となるので、加工マスクのレジストパターンも必然的に高アスペクト比になる。
換言すれば、可動部を有しない微小構造体の開口パターンは、益々、高アスペクト比になっている。
【0004】
また、マイクロマシン等の可動部を有する微小構造体も、益々微細化が図られている。ここで、図7を参照して、マイクロマシン等の可動部を有する微小構造体の構成を説明する。
微小構造体40は、図7(c)に示すように、基板42と、基板42上に形成された梁構造体層44とを有する。梁構造体層44は、梁構造体層本体、つまり後述の梁構造体可動部46と一体的に形成された複数個の梁構造体固定部(柱部)48で基板42上に固定され、梁構造体固定部48間の梁部が、基板に向かって近接、離間する梁構造体可動部46を構成している。梁は、連続梁でも、1本の梁でも良い。このような梁形状は、センサの接触子、振動子、微小バネ、光学素子等によく用いられている。
【0005】
微小構造体40を作製する際にも、エッチング工程、洗浄工程及び乾燥工程が必要である。
まず、図7(a)に示すように、基板42上に犠牲層(第1の層)50を所定の形状で形成する。犠牲層50は、基板42及び犠牲層50上に形成される構造体層に対して選択エッチングが可能な材質であれば、制約はなく、例えば基板42としてSi基板を用いる場合、SiO2、PSG(燐ドープガラス)、及びポリシリコンが、一般に用いられる。
【0006】
次に、図7(b)に示すように、構造層(第2の層)52を基板42上全面に形成する。構造層52の形成では、微小構造体40の梁構造体固定部となるべき部分48を基板42上に直接接触させ、梁構造体固定部48の間の梁構造体可動部となるべき部分46は犠牲層50上に重なるようにして、梁構造を備えた積層体を形成する。
積層体の構造層52を所定の形状にドライエッチング法、例えばRIE(リアクティブ・イオン・エッチング)法等によりパターニングし、図7(b)に示すように、構造層52を基板42上に形成する。
構造層52の材質は、構造層52を成膜した後に犠牲層50を選択エッチングすることが可能であれば何でもよく、微小構造体40の目的により、金属膜、酸化物膜、半導体膜等が用いられているが、減圧CVD法を用いて成膜されたSiN層、ポリシリコン層等がその機械的特性の良好さと成膜プロセスの容易さから一般に用いられる。
【0007】
次いで、フッ酸(HF)水溶液等のエッチャントを使った湿式エッチング法により犠牲層50を除去して、図7(c)に示すように、微小構造体40を形成する。その後、リンス水によるリンス工程を行ってエッチャントを洗浄除去し、次いで乾燥工程を実施する。
また、ドライエッチング法で犠牲層50をエッチング除去して、図7(c)に示すように、微小構造体40を形成することもできる。ドライエッチングのときには、エッチング残査が残るので、エッチング残渣を酸洗浄液またはアルカリ系の水溶性洗浄液により除去し、リンス工程を行って洗浄液を洗浄除去し、次いで乾燥工程を実施する。
【0008】
【発明が解決しようとする課題】
ところで、可動部を有しない微小構造体、例えば半導体装置の作製に際し、ドライエッチング法によりパターン形成層にエッチングを施して、高アスペクト比のパターンを形成したとき、エッチング直後、またはエッチングマスクとして使用したレジストマスクの剥離後に、微小異物がパターンを構成する溝部の底に残留することが多い。
残留した微小異物は、例えばLSI等の半導体装置では、半導体装置の性能に影響し、特性のばらつきを招くことになる。従って、残留した微小異物を洗浄工程で除去することが必要である。そして、洗浄工程を実施した時には、乾燥工程が必要になる。
【0009】
しかし、アスペクト比の大きな微細なパターンのドライエッチング残査の洗浄除去工程、及び乾燥工程では、次のような問題があった。
第1の問題は、洗浄及び乾燥が難しいということである。水などの洗浄液は、洗浄液の表面張力が大きいので、高アスペクト比のパターン溝部の底に進入し難い。そのため、洗浄液で微小異物を除去することが難しかった。また、たとえ洗浄液で洗浄できたとても、洗浄液を純水等でリンス洗浄し、リンス水を溝部から外部に排出して微小構造体を乾燥させることが困難であった。
【0010】
第2の問題は、パターン倒れ、つまりパターンの損傷が生じるということである。パターン倒れは、半導体装置等の乾燥時にパターン間に残ったリンス液と外部の空気との圧力差により生じる曲げ力(表面張力または毛細管力)により生じるものであって、この毛細管力は、パターン間での気液界面で生じるリンス液の表面張力に依存する。この毛細管力は、レジストマスクのパターン倒れを引き起こすだけでなく、半導体装置のシリコン基板等に形成されたパターンをも歪めることもある。従って、リンス液の表面張力の大小は、重要なリンス液を選定する際の重大な理由になる。パターン倒れはリンス液の乾燥時に生じるもので、高アスペクト比のパターンでより顕著である。
【0011】
また、可動部を有する微小構造体の湿式エッチング処理、洗浄処理、及び乾燥処理に当たっては、更に、次のような問題があった。
第1の問題は、洗浄/乾燥処理の際に可動部の損傷が生じるという問題である。例えば前述の微小構造体40の作製に際し、犠牲層50を選択的にエッチングするとき、エッチング後のエッチャントをリンス洗浄して除去する工程、及び乾燥工程で、通常の半導体装置の製造工程に用いられるような、純水によるリンス処理と乾燥処理を行うと、犠牲層50の後の空隙を介して基板42に保持されている構造層52が基板に固着したり、破壊されたりすることが多い。
【0012】
この現象は、エッチャントの洗浄/除去に使用されたリンス液を微小構造体40から蒸発させる乾燥工程で、構造層52と基板42との間の微小な空隙の間に残留したリンス液が蒸発によって体積が縮小するにつれて、リンス液の表面張力により基板42と構造層50との間で吸引力が発生し、構造層50の剛性が不十分な場合は、構造層50が基板42に固着したり、構造層50自体が破壊されたりしてしまう現象である。
【0013】
第2の問題は、微小構造体自体が損傷するという問題である。基板42上に形成された微小構造体40は極めて小さく、機械的に脆弱であるため、湿式エッチング工程及びリンス工程で、エッチャントの圧力或いはリンス溶液中での撹拌等による水圧によって破壊されてしまうからである。
【0014】
以上の問題があるため、従来の半導体プロセスで用いられるような、純水によるリンス洗浄と、リンス洗浄後のスピンドライヤーによる乾燥、或いはイソプロピルアルコールなどの有機溶剤による蒸気乾燥からなる洗浄及び乾燥方法を微小構造体の犠牲層のエッチングプロセスに適用することは、実際には難しい。
【0015】
以上の説明では、LSIパターン等の微細なパターンの形成、及びマイクロマシンの作製を例に挙げて説明したが、以上の問題は、パターンの形成やマイクロマシンの作製のみが該当する問題ではなく、微小構造を有する被処理体全般に該当する問題である。
【0016】
そこで、本発明の目的は、微小構造を有する被処理体の洗浄及び乾燥に際して、被処理体の微小構造に損傷を与えることなく、また引火等の危険がなく安全で、かつ大きな洗浄及び乾燥効果で被処理体を洗浄し、乾燥させる方法を提供することである。
【0017】
【課題を解決するための手段】
微小構造体の洗浄、乾燥の際、微小構造体が損傷を受ける原因を本発明者が研究した過程で、以下のことが判った。
(1)上述の可動部を有する微小構造体の洗浄及び乾燥では、表面張力の小さなリンス液を用いて乾燥すれば、パターン倒れ、或いは可動部の損傷は生じ難くなる。例えば、水の表面張力は約72dyn/cmであるが、メタノールの表面張力は約23dyn/cmであるから、水を直接乾燥させるよりも、水をメタノールで置換した後、メタノールを乾燥する方が、パターン倒れ及び可動部に対する損傷発生を抑制することができる。しかし、メタノールの表面張力もかなり大きいので、問題の完全な解決とはならない。
【0018】
(2)表面張力による損傷防止の問題の完全な解決は、リンス液を表面張力がゼロである流体にする、若しくはリンス液を表面張力がゼロの流体で置換し、乾燥することである。表面張力がゼロの液体とは、超臨界流体である。
超臨界流体とは、流体物質に固有の臨界温度および臨界圧力以上の温度と圧力のもとで生じる相状態の流体を言い、超臨界状態では、流体物質は、他の液体や固体に対する溶解力はその物質の液体状態とほぼ同等であるにもかかわらず、その粘度が著しく小さく、拡散係数が極めて大きいという特異な性質を有している。つまり、気体の性質を持った液体と言える。
【0019】
その結果、超臨界流体は、気液界面を形成しないので、表面張力はゼロになる。従って、超臨界状態で乾燥すれば、表面張力の概念はなくなるので、パターン倒れ、可動部損傷は、全く生じないことになる。
超臨界乾燥は、通常、液化された流体を導入し、加熱して臨界点以上の温度、圧力条件として超臨界流体化し、次いで超臨界流体を放出した後、減圧して乾燥させるものである。超臨界流体は、圧力が臨界圧力以下に低下すると、速やかにガス化する。
【0020】
超臨界流体としては、超臨界状態となることが確認されている多くの物質、例えば二酸化炭素、アンモニア、水、アルコール類、低分子量の脂肪族飽和炭化水素類、ベンゼン、ジエチルエーテルなどを利用することができる。
なかでも、超臨界温度が31.3℃と室温に近い二酸化炭素は、取り扱いが容易であること、及び試料を高温にしないで済むという理由から、超臨界流体として好ましい物質の一つである。
【0021】
(3)ところで、超臨界二酸化炭素は、無極性有機溶剤のような性質であるため、その溶解性能は、低分子の有機物の除去は可能であるものの、無機有機の高分子化合物からなるエッチング残査のような汚染物質の除去や酸化膜の除去には必ずしも効果があるとは言えない。
このため、超臨界二酸化炭素で乾燥を行う前に、溶解力や酸化分解力に優れた従来から実績のある薬液(洗浄液)による湿式洗浄が必要である。そして、洗浄液による洗浄後、大気に曝すことなく被処理体を薬液(洗浄液)中からリンス水中に移載して、被処理体をリンス水で洗浄して洗浄液を除去した後、超臨界二酸化炭素でリンス水を置換する必要がある。
【0022】
(4)超臨界二酸化炭素と水、又は液体二酸化炭素と水とは、互いに溶け難いため、水を超臨界二酸化炭素又は液体の二酸化炭素で置換することは容易ではない。また、置換できても、置換に非常に長い時間を要する。
そこで、水から超臨界二酸化炭素への置換液として、特開平9−43587号公報、特開平9−139374号公報等により、低級アルコール(炭素数4以下)やグリコールエーテルを使用することが提案されている。
しかし、アルコール類は消防法の危険物第4類に指定されており、引火性がある。グリコールエーテルは毒性が高く、廃液処理も困難であって、双方とも安全性の面から、量産段階の生産現場での使用は適当でない。
また、置換液による置換工程が1つ増えることにより、洗浄又は乾燥に要する時間が長くなり、コスト高になる。
【0023】
そこで、本発明者は、以下の手順の洗浄及び乾燥方法を着想し、実験を重ねて着想の有効性を確認し、本発明を発明するに到った。
先ず、エッチャントにより犠牲層を湿式エッチング処理して、可動部を基板から分離した微小構造を有する被処理体を形成し、次いでエッチャントを洗浄液で洗浄除去する洗浄工程を経て、別の置換液で置換する。
続いて、被処理体の表面に置換液が付着した状態で被処理体を超臨界流体に接触させ、置換液を超臨界流体に溶解させて、除去する。
次いで、超臨界流体の温度を臨界温度以上に保ったまま圧力を臨界圧力以下に減じて、超臨界流体をガス化して、被処理体を乾燥させ、大気中に取り出す。
また、湿式エッチング処理によりアスペクト比の大きい微細加工パターンを形成した被処理体についても同様の手順を適用する。
【0024】
超臨界流体の表面張力は極めて小さいので、乾燥工程で超臨界流体が微小構造体表面から除去される際に、表面張力が被処理体に与える応力は無視し得る程度の大きさである。従って、被処理体の微小構造に変形や破壊が生じるようなことはない。
これにより、例えば半導体装置の製造では、処理中に付着した洗浄液等を効果的に除去することができる。
【0025】
上記目的を達成するために、上述の知見に基づいて、本発明に係る洗浄及び乾燥方法(以下、第1の発明方法と言う)は、微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、ハイドロフルオロエーテルと前記ハイドロフルオロエーテルより少量の炭素数4以下のアルコールとの混合液、又はフッ化アルコール単体を置換液とし、前記洗浄液を前記置換液で置換する工程と、前記置換液を二酸化炭素の超臨界流体で置換する工程とを有することを特徴としている。
【0026】
本発明に係る別の洗浄及び乾燥方法(以下、第2の発明方法と言う)は、微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、
洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、
ハイドロフルオロエーテルと前記ハイドロフルオロエーテルより少量の炭素数4以下のアルコールとの混合液、又はフッ化アルコール単体を置換液とし、前記洗浄液を前記置換液で置換する工程と、
前記処理室内の置換液を超臨界流体化する工程と
を有することを特徴としている。
【0027】
第1及び第2の発明方法で、洗浄工程の後、超臨界流体を供給して乾燥する前に、洗浄液/リンス水を容易に除去することができ、超臨界流体に親和性の高い置換液で置換している。
例えば、置換液としてハイドロフルオロエーテルと微量添加のイソプロピルアルコールを用いることで、イソプロピルアルコールが基板表面の表面張力を小さくして洗浄液/リンス水を基板から離れやすくし、基板に表面張力が発生しないようにして、ハイドロフルオロエーテルが洗浄液/リンス水を包み込むようにして、洗浄液/リンス水を除去する。
添加アルコールがイソプロピルアルコールのときには、前記イソプロピルアルコールの前記ハイドロフルオロエーテルに対する添加率が1容量%以上10容量%以下である。
ハイドロフルオロエーテルと微量添加のイソプロピルアルコールの混合物、およびフッ化アルコールは引火点を持たないので、引火の危険性なしに処理でき、また、オゾン破壊係数がゼロ、地球温暖化係数も比較的小さく、環境負荷も小さい。
【0028】
本発明に係る更に別の洗浄及び乾燥方法(以下、第3の発明方法と言う)は、微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、
洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、
テルペン系溶剤を添加した液化二酸化炭素で前記洗浄液を置換する工程と、
前記液化二酸化炭素を超臨界流体化する工程と
を有することを特徴としている。
【0029】
第3の発明方法では、相溶剤として第2石油類のテルペン系溶剤を二酸化炭素に予め微量に添加することにより、アルコールやグリコールエーテルに比べて、引火の危険性が小さく、第3の流体(置換液)を用いることなしに、洗浄液/リンス水から超臨界二酸化炭素等の超臨界流体に直接置換できる。
テルペンとは、一般にイソプレン則に基づいた炭素数5を単位とする多量体の総称で、植物精油の中に多く存在する。なかでも松脂やオレンジの皮から抽出される揮発性の油分はそれぞれテレピン油、オレンジテルペンと呼ばれ、工業的規模で既に多くの用途に利用されている。これらの精油はいずれも炭素数10から成る炭化水素化合物を主成分としており、独特の化学構造を有していることからテルペン炭化水素と呼ばれる。
テルペン系溶剤は、無極性溶媒と水に溶け合う溶剤であって、添加率は、1容量%以上10容量%以下である。
また、テルペン系溶剤には、リモネンを90%程度含有しているものがあり、毒性も低く、生分解性も高いことから、廃液処理も容易である。
【0030】
第1から第3の発明方法を適用することにより、従来の犠牲層エッチング法で生じていた選択エッチング時と乾燥時の応力の違いに起因する可動部の破壊や、可動部の基板への張り付き、固着を防止でき、しかも、安全性が高く低コストの簡単なプロセスによって基板上に可動部を備えた微小構造体を高歩留まりで製造することができる。また、微細パターンを有する半導体装置のパターニングに際して、従来生じていたようなパターン倒れを生じさせることなく、パターン形成を行うことができる。
【0031】
第1から第3の発明方法では、被処理体が、基板との間に狭い間隔を保持して基板に支持された可動部を有するときには、例えば、可動部がドライエッチングにより既に被処理体上に形成されているのときには、洗浄液で洗浄する工程の前に、処理室に気体を導入して超臨界流体化し、被処理体を超臨界流体に浸漬させる工程と、ハイドロフルオロエーテル+炭素数4以下のアルコールの混合液、又はフッ化アルコールを置換液とし、超臨界流体を置換液で置換する工程と、置換液を洗浄液で置換する工程とを有する。本実施態様では、置換工程或いは乾燥工程で、気液界面が生じないので、可動部が損傷するようなことが生じない。
【0032】
【発明の実施の形態】
以下に、添付図面を参照して、実施形態例に基づいて本発明をより詳細に説明する。
先ず、図1を参照して、実施形態例1から5の方法を実施する際に使用する処理装置10の構成を説明する。図1は本実施形態例の方法を実施する際に使用する処理装置の構成を示す模式図である。
処理装置10は、前述したような複数個の微小構造体Wをカセットに収容して洗浄/乾燥処理するバッチ式の洗浄/乾燥処理装置である。
【0033】
処理装置10は、図1に示すように、上部に開口部12を有し、開口部12を介して導入された微小構造体を収納する処理室14を内部に有するチャンバ15と、開口部12を密閉する蓋16と、処理室14に処理流体を供給する流体供給源18と、流体供給源18から処理流体を処理室14内に導入する流体供給手段と、微小構造体の処理に供された処理流体を処理室14から排出する流体排出手段と、処理室14に導入された処理流体を加熱する加熱手段20とを備えている。
処理流体とは、微小構造体の犠牲層を溶解するエッチャント、エッチャント又はエッチング残査を除去する洗浄液及びリンス水、微小構造体を乾燥するための超臨界流体等であって、これらを総称して、処理流体と呼ぶ。
【0034】
微小構造体は、開口部12を介して処理室14に搬入出される。開口部12を蓋16で密閉できるように、処理室14の開口部12の開口縁と蓋16との間には、シール部材としてOリング22が配されている。蓋16は、ねじ等の締め付け具24によって処理室14に連結され、処理室14を密閉する。つまり、処理室14の内部は、Oリング22を介した蓋16を締め付け具24で締め付けることにより、完全に密閉された状態にすることができる。
また、処理室14の内部には、複数個の微小構造体を載置、保持するための保持カセット26を配置することができる。
【0035】
流体供給手段は、処理流体を所定の圧力及び所定の温度に制御する圧力・温度制御手段28と、処理室14に配設された流体供給ポート30とで構成され、流体供給源18から流体供給ポート30を介し、圧力・温度制御手段28によって所定の圧力及び温度に制御された処理流体を処理室14内に導入する。
流体排出手段は、処理室14に設けられた流体排出ポート32と、排圧弁34と、排圧弁34を介して流体排出ポート32に接続された排出分離装置36とから構成されている。
【0036】
排圧弁34は、処理室14の内部圧力が設定圧力以上になると開き、処理室14内に導入された処理流体を排出する機能を有する。つまり、排圧弁34によって、処理室14内の圧力を所定圧力に保つことができる。
排出液分離装置36は、気液分離装置であって、圧力を大気圧に低下させることにより、排出された処理流体を気体成分と液体成分に分離する。気体成分は処理流体の溶媒及び/又はその他の物質が気化したものであって、排ガスとして気体回収装置(図示せず)により回収される。液体成分は、置換液または添加相溶剤等からなる残りの媒質が液体として分離されたものであって、排出液として回収される。
回収された排気ガスは二酸化炭素等であって、再利用することもできる。また、回収された排出液も同様に再利用できる。
【0037】
更に、チャンバ15の側壁15aには、処理室14内に導入された処理流体を加熱して所定の温度に保持する加熱手段20が備えられている。
加熱手段20は、電熱線のような加熱媒体で構成され、処理室14の外部に設けられた電源(図示せず)から電熱線に供給する電力を制御して、加熱手段20の温度を所定の温度に制御する温度制御装置38を備えている。
【0038】
以下に、処理装置10を使用し、エッチャントを使った湿式エッチング法により微小構造体をエッチングし、次いでリンス洗浄し、更に超臨界流体を用いて乾燥させる手順、つまり、処理工程、リンス水から置換液への置換工程、または置換液から超臨界流体への置換工程、それに続く、超臨界流体から置換液またはリンス水への置換工程を説明する。
処理工程
微小構造体を形成する際、犠牲層を溶解処理する際には、まず、処理室14の開口部12から複数個の微小構造体を収納した保持カセット26を処理室14内に収容する。
次いで、蓋16を閉めて処理室14を密閉状態とする。続いて、流体供給源18から所定の処理流体、即ちエッチャントを処理室14内に導入する。そして、所定時間、所定の温度で微小構造体を処理室14内に保持して、犠牲層をエッチングする。
【0039】
置換工程
例えば超臨界流体への置換工程では、処理流体供給源18から供給される処理流体を、圧力・温度制御手段18で所定の圧力、所定の温度とし、供給ポート30を介して処理室14内に導入する。この際、加熱手段20によって処理室14内に導入された処理流体を加熱し、処理室14内の処理流体を所定温度に昇温して超臨界流体化する。
処理流体の温度制御は、温度制御装置38により行う。処理室14の内部圧力が一定圧力以上になると排圧弁34が開き、排出液分離装置36を経由して処理流体が系外に排出される。排出される流体は、置換工程で置換する流体に応じてエッチャント、リンス水、超臨界流体等である。発生する廃液は分離され、必要に応じて回収再生される。
このように、処理室14内に充填された処理流体を適宜排出することにより、処理室14内の圧力、温度を一定に保つことが可能である。
【0040】
超臨界乾燥工程
例えば超臨界流体として二酸化炭素を用いた場合には、超臨界乾燥工程で、31.1℃以上、7.38MPa以上にして二酸化炭素を超臨界流体化した後、温度を31.1℃以上に保持しつつ圧力を大気圧まで減圧する。次いで、温度を31.1℃以上から室温(例えば、20℃)まで下げる。処理室を降圧して、二酸化炭素を排出し、冷却すると、基板は、気体の二酸化炭素に満たされ、リンス水または置換液が二酸化炭素と共に除去され、処理室14内は乾燥状態になる。
超臨界乾燥工程を経ることにより、梁形状を持つ微小構造体、或いは半導体装置の微細パターンを破壊することなく乾燥させることができる。
【0041】
排圧弁24を経て排出液分離装置36に入った処理流体は、圧力が大気圧に低下することにより、気液分離する。例えば、媒質である置換液、相溶剤は、液体として分離され、排出液として回収される。溶媒である二酸化炭素等の物質は、気体として分離され、排気され、回収される。
回収された排出液や排気ガスは、再利用することもできる。テルペン系溶剤にはリモネン類が含有しているため、純度が悪くなり再生できなくなれば、プラスチックなどの廃材処理など再利用の用途は多い。
【0042】
ドライエッチングにより微小構造を被処理体に設け、次いでエッチング残渣を除去する際にも、上述の処理装置10及び洗浄及び乾燥方法の手順を適用することができる。
また、上述の処理装置10は、微小構造体を1個ずつ洗浄/乾燥処理する枚葉式としても使用できる。また、洗浄及び乾燥方法の手順も、上述の手順と同様である。
【0043】
実施形態例1
本実施形態例は、第1の発明方法に係る洗浄及び乾燥方法を微小構造体の犠牲層の湿式エッチング、及びそれに続く洗浄及び乾燥に適用した実施形態の一例であって、図2は本実施形態例の洗浄及び乾燥方法の手順を示すフローチャートである。
本実施形態例では、先ず、処理工程、次いで置換工程を実施し、続いて超臨界乾燥工程に移行する。
(1)処理工程
ステップS1 で、微小構造体を処理室14に入れ、処理流体(エッチャント)に浸漬させ、湿式エッチング法により犠牲層を除去する。
(2)置換工程
ステップS2 で、微小構造体を処理室14で処理流体に浸漬させた状態で、処理室14にリンス水を供給しつつ処理流体を排出して、処理流体を徐々に希釈し、最終的に処理流体をリンス水で置換する。
廃液として排出された処理流体は、排出液分離装置36で分離され、必要に応じて回収再生される。
【0044】
ステップS3 で、リンス水に微小構造体を浸漬させた状態で、ハイドロフルオロエーテル+5容量%イソプロピルアルコール(以下、IPAと言う)の混合液を置換液として処理室14に供給しつつリンス水を排出して、リンス水を徐々に希釈し、置換液で置換する。ハイドロフルオロエーテル+5容量%IPAは、住友スリーエムからノベックHFE71IPAとして販売されている。
IPAは、微小構造体の表面の表面張力を小さくしてリンス水を表面から離れ易くし、かつ表面に表面張力が発生しないようにする。ハイドロフルオロエーテルはリンス水を包み込むようにして、リンス水を除去する。これにより、微小構造体は、ハイドロフルオロエーテルで満たされた状態となる。
ハイドロフルオロエーテル+5容量%IPAの混合液に代えて、置換液としてフッ化アルコールを使っても良い。フッ化アルコールは、冷媒として各種製品に使用されている。
【0045】
ハイドロフルオロエーテルと5%IPAの混合液と水との混合廃液、またはフッ化アルコールと水の混合廃液は、排出液分離装置36で分離され、必要に応じて回収再生される。
【0046】
ステップS4 で、置換液に微小構造体を浸漬させたまま、処理室14を5Mpa以上の所定圧力に加圧し、所定圧力を維持する。
ハイドロフルオロエーテルやフッ化アルコールは、揮発性が高いので、揮発して、気液界面ができないように圧力を精密に制御する必要がある。
ステップS5 で、所定圧力に維持された処理室14に液化二酸化炭素を供給しつつ置換液を排出して、置換液を徐々に希釈し、液化二酸化炭素で置換する。
置換液の廃液は、排出液分離装置36で分離され、必要に応じて回収再生される。
【0047】
(3)超臨界乾燥工程
ステップS6 で、処理室14内の二酸化炭素を7.38Mpa以上に加圧し、次いでステップS7 で31.1℃以上に加熱して、二酸化炭素を超臨界状態にする。
具体的には、処理流体供給源18から二酸化炭素を供給し、圧力・温度制御手段18で所定の温度で7.38Mpa以上の所定の圧力で供給ポート30を介して処理室14内に導入する。更に、加熱手段20によって処理室14内に導入された二酸化炭素を31.1℃以上に加熱し、処理室14内の二酸化炭素を超臨界状態にし、その状態を維持する。二酸化炭素の温度制御は温度制御装置38により行う。
【0048】
ステップS8 で、処理室14を降圧して、二酸化炭素を排出し、冷却すると、微小構造体は、気体の二酸化炭素に満たされ、乾燥する。
尚、回収した二酸化炭素は、圧縮冷却して液化する。
【0049】
実施形態例2
本実施形態例は第2の発明方法に係る洗浄及び乾燥方法を微小構造体の犠牲層の湿式エッチング、及びそれに続く洗浄及び乾燥に適用した実施形態の一例であって、図3は本実施形態例の洗浄及び乾燥方法の手順を示すフローチャートである。
本実施形態例でも、処理工程及び置換工程を実施し、続いて超臨界乾燥工程に移行する。
先ず、実施形態例1と同様にして、ステップS1 の処理工程、次いでステップS2 及びステップS3 の置換工程を実施し、リンス水を処理室14から排除し、微小構造体がハイドロフルオロエーテル+5容量%IPAの混合液またはフッ化アルコールで満たされた状態にする。
【0050】
本実施形態例では、次いで、超臨界乾燥工程に移行する。超臨界乾燥工程では、図3に示すように、ステップS4 で、処理室14内の圧力を3.2MPa以上に昇圧する。続いて、ステップS5 で、圧力を維持しつつ、処理室14内の温度を195.9℃以上に昇温して、混合液またはフッ化アルコール自体を超臨界状態にする。
ステップS6 で、処理室14を降圧すると、混合液またはフッ化アルコールが蒸発するので、混合液またはフッ化アルコールで包まれていた微小構造体は乾燥する。
尚、回収した混合液またはフッ化アルコールは、圧縮冷却して液化する。
【0051】
実施形態例3
本実施形態例は、第3の発明方法に係る洗浄及び乾燥方法を微小構造体の犠牲層の湿式エッチング、及びそれに続く洗浄及び乾燥に適用した実施形態の一別の例であって、図4は本実施形態例の洗浄及び乾燥方法の手順を示すフローチャートである。
本実施形態例でも、処理工程、次いで置換工程を実施し、続いて超臨界乾燥工程に移行する。
先ず、実施形態例1と同様にして、ステップS1 の処理工程及びステップS2 の置換工程を実施し、処理室14内の処理流体がリンス水で置換された状態にする。
【0052】
本実施形態例では、次いで超臨界乾燥工程に移行する。超臨界乾燥工程では、ステップS3 で、処理室14内のリンス水を31.1℃以上に加熱する。
次いで、ステップS4 で、処理室14の圧力を7.38Mpa以上に昇圧すると共に、予めテルペン系溶剤を加圧、溶解させた液化二酸化炭素を処理室14に導入しつつリンス水を徐々に排出して、リンス水を希釈し、液化二酸化炭素で置換する。尚、置換を速く行うために、液化二酸化炭素に相溶剤としてテルペン系溶剤を添加しておく。
ステップS5 で、処理室14を降圧して、二酸化炭素を排出し、冷却すると、微小構造体は、気体の二酸化炭素に満たされ、乾燥する。
また、処理室14から排出した二酸化炭素からテルペン系溶剤を分離して、回収、再利用すると共に、回収した二酸化炭素は、圧縮冷却して液化する。
尚、液化二酸化炭素に予め添加するテルペン系溶剤として、日本アルファメタルズのテルペンクリーナーECシリーズ、ヤスハラケミカルのウッディリバーが市販されている。
【0053】
湿式エッチング法に代えて、ドライエッチング法により犠牲層をエッチングしたときには、実施形態例1から3では、エッチング工程に続いて、微小構造体を処理室14に入れ、エッチング残渣を酸洗浄液又はアルカリ洗浄液に浸漬して除去し、大気圧に曝すことなく、次いで上述の手順で、リンス洗浄し、続いて置換工程及び超臨界乾燥工程を実施する。
【0054】
実施形態例4
本実施形態例は、第1の発明方法に係る洗浄及び乾燥方法をドライエッチング済みの微小構造体の洗浄及び乾燥に適用した実施形態の一例であって、図5及び図6は、それぞれ、本実施形態例の洗浄及び乾燥方法の手順を示すフローチャートである。
本実施形態例を適用する微小構造体は、犠牲層は既にドライエッチングにより除去されており、梁構造が形成されているものの、エッチング残渣が残っている。このような微小構造体を処理室14内に収容し、洗浄液を導入すると、洗浄液が梁構造に接触したときに、梁構造が損傷するおそれがあるので、当初から、超臨界流体を供給する必要がある。
そこで、本実施形態例では、それを考慮して、液体浸漬工程、置換工程、及び超臨界乾燥工程を実施する。
【0055】
(1)液体浸漬工程
まず、梁構造の洗浄処理および乾燥処理を行うべき複数個の微小構造体を収納した保持カセット26を開口部12から処理室14内に収納し、蓋16を閉めて処理室14を密閉する。
次いで、ステップS1 で、流体供給源18から気体の二酸化炭素を処理室14内に導入する。ステップS2 で、処理室14内の二酸化炭素を31.1℃以上に加熱し、かつ7.38Mpa以上に加圧し、超臨界状態とする。
次いで、ステップS3 で、処理室14内の二酸化炭素の温度を下げることにより、超臨界二酸化炭素から液化二酸化炭素に相変化させる。
次に、ステップS4 で、置換剤として、ハイドロフルオロエーテル+5%IPAまたはフッ化アルコールを処理室14に供給して、微小構造体を洗浄液で濡らし、必要に応じて減圧しながら、洗浄を行い、エッチング残査を除去する。
洗浄後、ステップS5 で、処理室14を減圧して、常圧に戻して、置換工程に移行する。
【0056】
(2)置換工程
置換工程では、実施形態例1のステップS2 からステップS5 と同様にして、図5に示すように、ステップS6 からステップS9 の操作を行う。
次いで、超臨界乾燥工程では、実施形態例1のステップS6 からステップS8 と同様にして、図6に示すように、ステップS10からステップS12の操作を行う。
【0057】
実施形態例5
本実施形態例は、第3の発明方法に係る洗浄及び乾燥方法をドライエッチング済みの微小構造体の洗浄及び乾燥に適用した実施形態の一例である。
本実施形態例の方法は、実施形態例4と同様に、液体浸漬工程と、置換工程と、超臨界乾燥工程とから構成されている。
先ず、本実施形態例では、実施形態例4のステップS1 からステップS5 と同様にして、液体浸漬工程を実施する。
次いで、置換工程では、実施形態例3のステップS2 と同じようにリンス水による置換工程を実施する。
続いて、超臨界乾燥工程では、実施形態例3のステップS3 からステップS5 と同様にして処理する。
【0058】
以上述べた実施形態例1から5では、気液界面が微小構造体の梁構造を通過しないため、微小構造体は、エッチングまたはエッチング残査除去後の純水によるリンス時に表面張力で破壊されることがない。
本実施形態例では、マイクロマシンとよばれる微小な可動部を有する微小構造体を例に挙げて、微小構造体の湿式エッチング工程、洗浄/乾燥工程、或いはドライエッチング後の洗浄/乾燥工程を説明したが、実施形態例1から5は、可動部を有する微小構造体の洗浄/乾燥に限らず、可動部を有しない半導体装置等の微小構造体、例えば大規模集積回路の高アスペクト比のパターン形成、ホールやレジストパターンの形成、フォトマスクの形成などにも同様に適用できる。
【0059】
【発明の効果】
以上説明したように、第1の発明方法によれば、ハイドロフルオロエーテルとハイドロフルオロエーテルより少量の炭素数4以下のアルコールの混合液、又はフッ化アルコール単体を置換液として洗浄液を置換液で置換し、置換液を二酸化炭素の超臨界流体で置換することにより、引火の危険性なしに超臨界流体で乾燥できるので、微小構造を有する被処理体を安全でしかも大きな洗浄及び乾燥効果で洗浄し、乾燥させることができる。また、オゾン破壊係数もゼロ、地球温暖化指数も比較的小さいため、環境負荷も少ない。
また、第2の発明方法によれば、洗浄液を置換した、ハイドロフルオロエーテルとハイドロフルオロエーテルより少量の炭素数4以下のアルコールの混合液、又はフッ化アルコール単体を超臨界流体化することにより、更に少ない工程数で第1の発明方法と同様の効果を奏する。
【0060】
第3の発明方法によれば、テルペン系溶剤を添加した液化二酸化炭素で洗浄液を置換し、液化二酸化炭素を超臨界流体化することにより、第3の流体を置換液としてを用いることなしに、洗浄液を超臨界二酸化炭素に直接置換できるので、工程数が少なく、更に低コストで安全でしかも大きな洗浄及び乾燥効果で、微小構造を有する被処理体を洗浄し、乾燥させることができる。
テルペン系溶剤は、消防法の危険物第4類第2石油類であって、アルコール類やグリコールエーテル類に比べて、引火性が小さいので、装置の取り扱いが容易になる。また、テルペン系溶剤は生分解性が高く、毒性が小さいので、安全性が高く、取り扱いが容易である。
【0061】
第1から第3の発明方法を適用することにより、従来の犠牲層エッチング法で生じていた選択エッチング時と乾燥時の応力の違いに起因する可動部の破壊や、可動部の基板への張り付き、固着を防止して、しかも、安全性が高く低コストの簡単なプロセスによって、基板上に可動部を備えた微小構造体を高歩留まりで製造することができる。また、微細パターンを有する半導体装置のパターニングに際して、従来生じていたようなパターン倒れを生じさせることなく、パターン形成を行うことができる。
本発明方法を適用することにより、微細な半導体装置或いはマイクロマシン等の電気的及び機械的特性などの品質を向上させ、かつ製品歩留まりを向上させることができる。
【0062】
また、被処理体が、基板との間に狭い間隔を保持して基板に支持された可動部を有するときには、例えば、可動部がドライエッチングにより既に被処理体上に形成されているときには、洗浄液で洗浄する工程の前に、処理室に気体を導入して超臨界流体化し、被処理体を超臨界流体に浸漬させ、次いでハイドロフルオロエーテルとハイドロフルオロエーテルより少量の炭素数4以下のアルコールの混合液、又はフッ化アルコール単体を置換液とし、超臨界流体を置換液で置換することにより、被処理体を大気に曝すことなく置換できるので、気液界面で生じる表面張力の影響によって破壊されることがなく梁形状の可動部を形成できる。本実施態様では、置換工程或いは乾燥工程で、気液界面が生じないので、可動部が損傷するようなことが生じない。
【図面の簡単な説明】
【図1】実施形態例の方法を実施する際に使用する処理装置の構成を示す模式図である。
【図2】実施形態例1の洗浄及び乾燥方法の手順を示すフローチャートである。
【図3】実施形態例2の洗浄及び乾燥方法の手順を示すフローチャートである。
【図4】実施形態例3の洗浄及び乾燥方法の手順を示すフローチャートである。
【図5】実施形態例4の洗浄及び乾燥方法の手順を示すフローチャートである。
【図6】図5に続いて、実施形態例4の洗浄及び乾燥方法の手順を示すフローチャートである。
【図7】図7(a)から(c)は、それぞれ、微小構造体を作製する際の工程毎の断面図である。
【符号の説明】
10……処理装置、12……開口部、14……処理室、15……チャンバ、16……蓋、18……流体供給源、20……加熱手段、22……Oリング、24……締め付け具、26……保持カセット、28……圧力・温度制御手段、30……流体供給ポート、32……流体排出ポート、34……排圧弁、36……排出分離装置、38……温度制御装置、40……微小構造体、42……基板、44……梁構造体層、46……梁構造体可動部、48……梁構造体固定部(柱部)、50……犠牲層、52……構造層。
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for cleaning and drying an object to be processed. More specifically, the object to be processed is cleaned with a large cleaning and drying effect without damaging the microstructure of the object to be processed and without risk of ignition. It relates to a method of drying.
[0002]
[Prior art]
In the process of manufacturing a microstructure such as a semiconductor device or a micromachine, after the process such as an etching process, the cleaning liquid is used for cleaning and removing the etching liquid or the etching residue. The cleaning process and the drying process are necessary.
The microstructure includes a microstructure having no movable part and a microstructure having a movable part. A microstructure having no movable part is a microstructure having a large ratio of opening pattern height and width called aspect ratio (height / width), such as a semiconductor substrate having an LSI fine pattern, or a fine pattern. A fine structure such as a photomask. In addition, a microstructure having a movable portion is a microstructure having a movable portion supported by a substrate while maintaining a narrow space between the substrate and a stationary substrate, for example, a minute driving component called a micromachine. It is a micro structure.
[0003]
Speaking of microstructures that have no moving parts, with the recent increase in the size of MOS LSIs, the miniaturization of LSI patterns has progressed, and now patterns with a line width of 100 nm or less, that is, patterns with a large aspect ratio are formed. It is becoming.
When forming a pattern on a substrate, a resist film is formed on the pattern formation layer, and a processing mask is formed by photolithography. Next, after the pattern forming layer is etched from above the processing mask, a pattern is formed through a cleaning process using a cleaning liquid, followed by a rinsing cleaning process using pure water, and then a drying process.
Also when forming the processing mask, after the development of the resist film by photolithography, a drying process is performed through a cleaning process using a rinse solution. In order to form a fine pattern of an LSI, a processing mask having a fine pattern is required, and thus the resist pattern of the processing mask necessarily has a high aspect ratio.
In other words, the opening pattern of the microstructure having no movable part is increasingly having a high aspect ratio.
[0004]
In addition, miniaturized structures having movable parts such as micromachines are increasingly miniaturized. Here, with reference to FIG. 7, a structure of a microstructure having a movable part such as a micromachine will be described.
As shown in FIG. 7C, the microstructure 40 includes a substrate 42 and a beam structure layer 44 formed on the substrate 42. The beam structure layer 44 is fixed on the substrate 42 by a beam structure layer main body, that is, a plurality of beam structure fixing portions (column portions) 48 formed integrally with a beam structure movable portion 46 described later. The beam portion between the beam structure fixing portions 48 constitutes a beam structure movable portion 46 that approaches and separates toward the substrate. The beam may be a continuous beam or a single beam. Such a beam shape is often used for sensor contacts, vibrators, minute springs, optical elements, and the like.
[0005]
Even when the microstructure 40 is manufactured, an etching process, a cleaning process, and a drying process are necessary.
First, as shown in FIG. 7A, a sacrificial layer (first layer) 50 is formed on the substrate 42 in a predetermined shape. The sacrificial layer 50 is not limited as long as it can be selectively etched with respect to the substrate 42 and the structure layer formed on the sacrificial layer 50. For example, when a Si substrate is used as the substrate 42, SiO 22PSG (phosphorus doped glass) and polysilicon are commonly used.
[0006]
Next, as shown in FIG. 7B, a structural layer (second layer) 52 is formed on the entire surface of the substrate 42. In the formation of the structural layer 52, the portion 48 to be the beam structure fixing portion of the microstructure 40 is brought into direct contact with the substrate 42, and the portion 46 to be the beam structure movable portion between the beam structure fixing portions 48. Is stacked on the sacrificial layer 50 to form a laminated body having a beam structure.
The structural layer 52 of the laminated body is patterned into a predetermined shape by a dry etching method, for example, RIE (reactive ion etching) method, and the structural layer 52 is formed on the substrate 42 as shown in FIG. To do.
The material of the structural layer 52 may be anything as long as the sacrificial layer 50 can be selectively etched after the structural layer 52 is formed. Depending on the purpose of the microstructure 40, a metal film, an oxide film, a semiconductor film, or the like may be used. Although used, a SiN layer, a polysilicon layer, or the like formed by using a low pressure CVD method is generally used because of its good mechanical properties and ease of film forming process.
[0007]
Next, the sacrificial layer 50 is removed by a wet etching method using an etchant such as a hydrofluoric acid (HF) aqueous solution to form a microstructure 40 as shown in FIG. Thereafter, a rinsing process with rinsing water is performed to wash away the etchant, and then a drying process is performed.
Alternatively, the sacrificial layer 50 may be removed by dry etching to form the microstructure 40 as shown in FIG. At the time of dry etching, an etching residue remains. Therefore, the etching residue is removed with an acid cleaning solution or an alkaline water-soluble cleaning solution, and a rinsing step is performed to remove the cleaning solution, and then a drying step is performed.
[0008]
[Problems to be solved by the invention]
By the way, when manufacturing a microstructure having no movable part, for example, a semiconductor device, a pattern forming layer was etched by a dry etching method to form a high aspect ratio pattern, it was used immediately after etching or as an etching mask. In many cases, after the resist mask is peeled off, a minute foreign substance remains on the bottom of the groove portion constituting the pattern.
For example, in a semiconductor device such as an LSI, the remaining minute foreign matter affects the performance of the semiconductor device and causes variations in characteristics. Therefore, it is necessary to remove the remaining minute foreign matters in the cleaning process. And when a washing | cleaning process is implemented, a drying process is needed.
[0009]
However, the cleaning and removing process of the dry etching residue of a fine pattern having a large aspect ratio and the drying process have the following problems.
The first problem is that cleaning and drying are difficult. Since the cleaning liquid such as water has a large surface tension, it is difficult to enter the bottom of the pattern groove portion having a high aspect ratio. For this reason, it has been difficult to remove minute foreign matters with the cleaning liquid. Further, even if the cleaning liquid was cleaned, it was difficult to rinse the cleaning liquid with pure water or the like and discharge the rinse water from the groove to the outside to dry the microstructure.
[0010]
The second problem is that the pattern collapses, that is, the pattern is damaged. Pattern collapse is caused by bending force (surface tension or capillary force) caused by the pressure difference between the rinse liquid remaining between patterns and the external air when the semiconductor device is dried, and this capillary force is generated between patterns. Depends on the surface tension of the rinse liquid generated at the gas-liquid interface. This capillary force not only causes the pattern collapse of the resist mask, but also may distort the pattern formed on the silicon substrate or the like of the semiconductor device. Therefore, the magnitude of the surface tension of the rinsing liquid is an important reason for selecting an important rinsing liquid. Pattern collapse occurs when the rinsing liquid is dried, and is more noticeable in high aspect ratio patterns.
[0011]
In addition, there are the following problems in the wet etching process, the cleaning process, and the drying process of the microstructure having the movable part.
The first problem is that the movable part is damaged during the cleaning / drying process. For example, when the sacrificial layer 50 is selectively etched in the production of the microstructure 40 described above, the etching etchant after the etching is rinsed and removed, and the drying process is used in a normal semiconductor device manufacturing process. When the rinsing process and the drying process with pure water are performed as described above, the structural layer 52 held on the substrate 42 is often fixed to the substrate or destroyed via the gap after the sacrificial layer 50.
[0012]
This phenomenon is a drying process in which the rinse liquid used for cleaning / removing the etchant is evaporated from the microstructure 40, and the rinse liquid remaining between the minute gaps between the structural layer 52 and the substrate 42 is evaporated. As the volume is reduced, a suction force is generated between the substrate 42 and the structural layer 50 due to the surface tension of the rinsing liquid. When the rigidity of the structural layer 50 is insufficient, the structural layer 50 is fixed to the substrate 42. This is a phenomenon that the structural layer 50 itself is destroyed.
[0013]
The second problem is that the microstructure itself is damaged. Since the microstructure 40 formed on the substrate 42 is extremely small and mechanically fragile, it is destroyed in the wet etching process and the rinsing process by the pressure of the etchant or the water pressure by stirring in the rinsing solution. It is.
[0014]
Because of the above problems, there is a cleaning and drying method comprising rinsing with pure water and drying with a spin dryer after rinsing or vapor drying with an organic solvent such as isopropyl alcohol, as used in conventional semiconductor processes. It is actually difficult to apply to the etching process of the sacrificial layer of the microstructure.
[0015]
In the above description, the formation of a fine pattern such as an LSI pattern and the production of a micromachine have been described as examples. However, the above problem is not only a problem of formation of a pattern or production of a micromachine, but a micro structure. This problem is applicable to all objects to be processed.
[0016]
Accordingly, an object of the present invention is to provide a large cleaning and drying effect that is safe and free from danger of igniting and the like without damaging the microstructure of the object to be processed and when drying the object having a microstructure. The object is to provide a method of cleaning and drying the object to be processed.
[0017]
[Means for Solving the Problems]
In the course of the present inventors' research into the cause of damage to the microstructure during cleaning and drying of the microstructure, the following was found.
(1) In the cleaning and drying of the microstructure having the movable portion described above, if the rinse is performed using a rinse liquid having a small surface tension, pattern collapse or damage to the movable portion is unlikely to occur. For example, the surface tension of water is about 72 dyn / cm, but the surface tension of methanol is about 23 dyn / cm. Therefore, it is better to dry the methanol after replacing the water with methanol than to directly dry the water. In addition, it is possible to suppress the pattern collapse and the occurrence of damage to the movable part. However, the surface tension of methanol is also quite high, so it is not a complete solution to the problem.
[0018]
(2) A complete solution to the problem of preventing damage due to surface tension is to make the rinsing liquid a fluid with zero surface tension, or replace the rinsing liquid with a fluid with zero surface tension and dry. A liquid having a surface tension of zero is a supercritical fluid.
A supercritical fluid is a fluid in a phase state that occurs at a temperature and pressure above the critical temperature and pressure that are inherent to the fluid substance. In the supercritical state, the fluid substance has a dissolving power in other liquids and solids. Has a unique property that its viscosity is remarkably small and its diffusion coefficient is extremely large, though it is almost equivalent to the liquid state of the substance. In other words, it can be said to be a liquid having gas properties.
[0019]
As a result, the supercritical fluid does not form a gas-liquid interface, so the surface tension becomes zero. Therefore, if it is dried in a supercritical state, the concept of surface tension disappears, so that pattern collapse and movable part damage do not occur at all.
In supercritical drying, usually, a liquefied fluid is introduced and heated to become a supercritical fluid under temperature and pressure conditions above the critical point, and then the supercritical fluid is discharged and then dried under reduced pressure. Supercritical fluid gasifies quickly when the pressure drops below the critical pressure.
[0020]
As the supercritical fluid, many substances that have been confirmed to be in a supercritical state, such as carbon dioxide, ammonia, water, alcohols, low molecular weight aliphatic saturated hydrocarbons, benzene, diethyl ether, etc. are used. be able to.
Among them, carbon dioxide having a supercritical temperature of 31.3 ° C., which is close to room temperature, is one of the preferable materials for the supercritical fluid because it is easy to handle and the sample does not need to be heated to a high temperature.
[0021]
(3) By the way, since supercritical carbon dioxide has properties like a nonpolar organic solvent, its dissolution performance is capable of removing low molecular weight organic substances, but etching residues made of inorganic organic polymer compounds. It is not necessarily effective for removing contaminants such as inspection and removing oxide films.
For this reason, before performing drying with supercritical carbon dioxide, wet cleaning with a chemical solution (cleaning solution) having a proven track record and excellent in dissolving power and oxidative decomposition power is necessary. After cleaning with the cleaning liquid, the object to be processed is transferred from the chemical solution (cleaning liquid) to the rinsing water without being exposed to the atmosphere, and the target object is washed with rinsing water to remove the cleaning liquid, and then supercritical carbon dioxide. It is necessary to replace the rinse water with.
[0022]
(4) Since supercritical carbon dioxide and water or liquid carbon dioxide and water are difficult to dissolve each other, it is not easy to replace water with supercritical carbon dioxide or liquid carbon dioxide. Even if the replacement can be performed, the replacement takes a very long time.
In view of this, it has been proposed to use lower alcohols (having 4 or less carbon atoms) or glycol ethers as a replacement liquid from water to supercritical carbon dioxide by JP-A-9-43587 and JP-A-9-139374. ing.
However, alcohols are designated as dangerous goods category 4 of the Fire Service Act and are flammable. Glycol ethers are highly toxic and difficult to dispose of waste liquids. Both are unsuitable for use at production sites in the mass production stage from the viewpoint of safety.
Moreover, the time required for washing or drying is increased and the cost is increased by adding one replacement step with the replacement liquid.
[0023]
Therefore, the present inventor has conceived a cleaning and drying method according to the following procedure, repeated experiments to confirm the effectiveness of the idea, and came to invent the present invention.
First, the sacrificial layer is wet-etched with an etchant to form an object to be processed having a microstructure in which the movable part is separated from the substrate, and then the etchant is washed and removed with a washing solution, and then replaced with another substitution solution. To do.
Subsequently, the object to be processed is brought into contact with the supercritical fluid in a state where the replacement liquid is attached to the surface of the object to be processed, and the replacement liquid is dissolved in the supercritical fluid and removed.
Next, while maintaining the temperature of the supercritical fluid at or above the critical temperature, the pressure is reduced below the critical pressure, the supercritical fluid is gasified, the object to be treated is dried, and taken out into the atmosphere.
The same procedure is also applied to an object to be processed on which a finely processed pattern having a large aspect ratio is formed by wet etching.
[0024]
Since the surface tension of the supercritical fluid is extremely small, when the supercritical fluid is removed from the surface of the microstructure in the drying process, the stress applied to the object to be processed by the surface tension is negligible. Therefore, the microstructure of the object to be processed is not deformed or broken.
Thereby, for example, in the manufacture of a semiconductor device, it is possible to effectively remove the cleaning liquid or the like adhering during processing.
[0025]
  In order to achieve the above object, based on the above-mentioned knowledge, the cleaning and drying method according to the present invention (hereinafter referred to as the first invention method) is to clean a target object having a microstructure with a cleaning liquid, A method of drying with a supercritical fluid, a cleaning step of cleaning the object accommodated in a processing chamber of a cleaning / drying apparatus with a cleaning liquid, a hydrofluoroether and a hydrophobic ether having a carbon number of 4 or less. A step of substituting the liquid mixture with alcohol or the fluorinated alcohol alone as a replacement liquid and replacing the cleaning liquid with the replacement liquid;Carbon dioxideAnd a step of replacing with a supercritical fluid.
[0026]
Another cleaning and drying method according to the present invention (hereinafter referred to as the second invention method) is a method of cleaning a target object having a microstructure with a cleaning liquid and then drying with a supercritical fluid,
A cleaning step of cleaning the object to be processed contained in a processing chamber of a cleaning / drying apparatus with a cleaning liquid;
A liquid mixture of hydrofluoroether and a small amount of alcohol having 4 or less carbon atoms than the hydrofluoroether, or a step of replacing the cleaning liquid with the replacement liquid using a fluorinated alcohol alone as a replacement liquid;
Converting the replacement liquid in the processing chamber into a supercritical fluid;
It is characterized by having.
[0027]
In the first and second invention methods, the cleaning liquid / rinsing water can be easily removed after the cleaning process and before the supercritical fluid is supplied and dried, and the replacement liquid has a high affinity for the supercritical fluid. It is replaced with.
For example, by using hydrofluoroether and a small amount of added isopropyl alcohol as the replacement liquid, isopropyl alcohol reduces the surface tension of the substrate surface, making it easier for the cleaning liquid / rinsing water to be separated from the substrate, so that surface tension is not generated on the substrate. Then, the cleaning liquid / rinsing water is removed so that the hydrofluoroether wraps the cleaning liquid / rinsing water.
When the added alcohol is isopropyl alcohol, the addition rate of the isopropyl alcohol to the hydrofluoroether is 1% by volume or more and 10% by volume or less.
A mixture of hydrofluoroether and a trace amount of isopropyl alcohol and fluorinated alcohol have no flash point, so they can be processed without the risk of ignition, and the ozone depletion potential is zero, the global warming potential is relatively small, Environmental impact is also small.
[0028]
Still another cleaning and drying method according to the present invention (hereinafter referred to as a third invention method) is a method of cleaning a target object having a microstructure with a cleaning liquid and then drying with a supercritical fluid,
A cleaning step of cleaning the object to be processed contained in a processing chamber of a cleaning / drying apparatus with a cleaning liquid;
Replacing the cleaning liquid with liquefied carbon dioxide to which a terpene solvent has been added;
Converting the liquefied carbon dioxide into a supercritical fluid;
It is characterized by having.
[0029]
In the third invention method, the second petroleum terpene solvent as a compatibilizer is added to carbon dioxide in a small amount in advance, so that the risk of ignition is small compared to alcohol or glycol ether, and the third fluid ( Without using the replacement liquid), the cleaning liquid / rinsing water can be directly replaced with a supercritical fluid such as supercritical carbon dioxide.
A terpene is a general term for a multimer having a unit of 5 carbon atoms based on the isoprene rule, and is often present in plant essential oils. Among them, volatile oils extracted from pine resin and orange peel are called turpentine oil and orange terpene, respectively, and are already used on many industrial scales. These essential oils are mainly called a terpene hydrocarbon because they are mainly composed of a hydrocarbon compound having 10 carbon atoms and have a unique chemical structure.
The terpene solvent is a solvent that is soluble in a nonpolar solvent and water, and the addition rate is 1% by volume or more and 10% by volume or less.
Some terpene-based solvents contain about 90% limonene, have low toxicity and high biodegradability, so that waste liquid treatment is easy.
[0030]
By applying the first to third invention methods, the movable part is destroyed or the movable part is stuck to the substrate due to the difference in stress between the selective etching and the drying that occurs in the conventional sacrificial layer etching method. In addition, it is possible to prevent sticking, and to manufacture a microstructure having a movable portion on a substrate with a high yield by a simple process with high safety and low cost. In addition, when patterning a semiconductor device having a fine pattern, pattern formation can be performed without causing pattern collapse that has conventionally occurred.
[0031]
  In the first to third invention methods, when the object to be processed has a movable part supported by the substrate while maintaining a narrow gap with the substrate, for example, the movable part is already on the object by dry etching. Are formed in a supercritical fluid by introducing a gas into the processing chamber before the step of cleaning with the cleaning liquid, and the object to be processed is immersed in the supercritical fluid, and hydrofluoroether + carbon number 4 A step of substituting a supercritical fluid with a replacement liquid using a mixed liquid of the following alcohols or a fluorinated alcohol as a replacement liquid;Cleaning liquidAnd substituting with. In this embodiment, the gas-liquid interface does not occur in the replacement process or the drying process, so that the movable part is not damaged.
[0032]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, the present invention will be described in more detail based on exemplary embodiments with reference to the accompanying drawings.
First, with reference to FIG. 1, the structure of the processing apparatus 10 used when implementing the method of Embodiment 1 to 5 is demonstrated. FIG. 1 is a schematic diagram showing the configuration of a processing apparatus used when carrying out the method of this embodiment.
The processing apparatus 10 is a batch type cleaning / drying processing apparatus that stores a plurality of microstructures W as described above in a cassette and performs cleaning / drying processing.
[0033]
As shown in FIG. 1, the processing apparatus 10 has an opening 12 at an upper portion thereof, a chamber 15 having a processing chamber 14 in which a microstructure introduced through the opening 12 is accommodated, and an opening 12. And a lid 16 for sealing the fluid, a fluid supply source 18 for supplying a processing fluid to the processing chamber 14, a fluid supply means for introducing the processing fluid from the fluid supply source 18 into the processing chamber 14, and a microstructure. The fluid discharge means for discharging the processed fluid from the processing chamber 14 and the heating means 20 for heating the processing fluid introduced into the processing chamber 14 are provided.
The processing fluid is an etchant that dissolves the sacrificial layer of the microstructure, a cleaning solution and rinsing water that removes the etchant or etching residue, a supercritical fluid that dries the microstructure, and so on. , Called processing fluid.
[0034]
The microstructure is carried into and out of the processing chamber 14 through the opening 12. An O-ring 22 is disposed as a seal member between the opening edge of the opening 12 of the processing chamber 14 and the lid 16 so that the opening 12 can be sealed with the lid 16. The lid 16 is connected to the processing chamber 14 by a fastening tool 24 such as a screw, and seals the processing chamber 14. That is, the inside of the processing chamber 14 can be completely sealed by fastening the lid 16 via the O-ring 22 with the fastening tool 24.
In addition, a holding cassette 26 for placing and holding a plurality of microstructures can be disposed inside the processing chamber 14.
[0035]
The fluid supply means includes a pressure / temperature control means 28 for controlling the processing fluid to a predetermined pressure and a predetermined temperature, and a fluid supply port 30 disposed in the processing chamber 14. A processing fluid controlled to a predetermined pressure and temperature by the pressure / temperature control means 28 is introduced into the processing chamber 14 through the port 30.
The fluid discharge means includes a fluid discharge port 32 provided in the processing chamber 14, a discharge pressure valve 34, and a discharge separation device 36 connected to the fluid discharge port 32 via the discharge pressure valve 34.
[0036]
The exhaust pressure valve 34 opens when the internal pressure of the processing chamber 14 exceeds a set pressure, and has a function of discharging the processing fluid introduced into the processing chamber 14. That is, the pressure in the processing chamber 14 can be maintained at a predetermined pressure by the exhaust pressure valve 34.
The discharged liquid separator 36 is a gas / liquid separator, and reduces the discharged processing fluid into a gas component and a liquid component by reducing the pressure to atmospheric pressure. The gas component is a vaporized solvent and / or other substance of the processing fluid, and is recovered as an exhaust gas by a gas recovery device (not shown). The liquid component is obtained by separating the remaining medium composed of the replacement liquid or the additive phase solvent as a liquid, and is recovered as a discharge liquid.
The recovered exhaust gas is carbon dioxide or the like and can be reused. The recovered effluent can be reused as well.
[0037]
Further, the side wall 15a of the chamber 15 is provided with a heating unit 20 that heats the processing fluid introduced into the processing chamber 14 and maintains the processing fluid at a predetermined temperature.
The heating means 20 is composed of a heating medium such as a heating wire, and controls the power supplied to the heating wire from a power source (not shown) provided outside the processing chamber 14 so that the temperature of the heating means 20 is predetermined. A temperature control device 38 for controlling the temperature is provided.
[0038]
In the following, the processing apparatus 10 is used to etch the microstructure by a wet etching method using an etchant, then rinse and then dry using a supercritical fluid, that is, from the processing step, rinse water is replaced. A replacement step with a liquid, or a replacement step with a replacement liquid into a supercritical fluid, followed by a replacement step with a replacement liquid or rinse water from the supercritical fluid will be described.
Processing process
In forming the microstructure, when the sacrificial layer is dissolved, the holding cassette 26 storing a plurality of microstructures is first accommodated in the processing chamber 14 from the opening 12 of the processing chamber 14.
Next, the lid 16 is closed to seal the processing chamber 14. Subsequently, a predetermined processing fluid, that is, an etchant is introduced into the processing chamber 14 from the fluid supply source 18. Then, the microstructure is held in the processing chamber 14 at a predetermined temperature for a predetermined time, and the sacrificial layer is etched.
[0039]
Replacement process
For example, in the replacement step with the supercritical fluid, the processing fluid supplied from the processing fluid supply source 18 is set to a predetermined pressure and a predetermined temperature by the pressure / temperature control means 18, and enters the processing chamber 14 via the supply port 30. Introduce. At this time, the processing fluid introduced into the processing chamber 14 by the heating means 20 is heated, and the processing fluid in the processing chamber 14 is heated to a predetermined temperature to become a supercritical fluid.
The temperature of the processing fluid is controlled by the temperature control device 38. When the internal pressure of the processing chamber 14 becomes equal to or higher than a certain pressure, the exhaust pressure valve 34 is opened, and the processing fluid is discharged out of the system via the discharged liquid separator 36. The fluid to be discharged is an etchant, rinse water, supercritical fluid, or the like depending on the fluid to be replaced in the replacement step. The generated waste liquid is separated and recovered and regenerated as necessary.
As described above, by appropriately discharging the processing fluid filled in the processing chamber 14, the pressure and temperature in the processing chamber 14 can be kept constant.
[0040]
Supercritical drying process
For example, when carbon dioxide is used as the supercritical fluid, the temperature is increased to 31.1 ° C. or higher after the carbon dioxide is converted to a supercritical fluid at 31.1 ° C. or higher and 7.38 MPa or higher in the supercritical drying step. While maintaining, the pressure is reduced to atmospheric pressure. Next, the temperature is lowered from 31.1 ° C. or higher to room temperature (for example, 20 ° C.). When the process chamber is depressurized to discharge carbon dioxide and cooled, the substrate is filled with gaseous carbon dioxide, the rinse water or the replacement liquid is removed together with the carbon dioxide, and the inside of the process chamber 14 is dried.
By passing through the supercritical drying step, the microstructure having a beam shape or the fine pattern of the semiconductor device can be dried without breaking.
[0041]
The processing fluid that has entered the effluent separator 36 through the evacuation valve 24 is gas-liquid separated when the pressure is reduced to atmospheric pressure. For example, the substitution liquid and the compatibilizer, which are media, are separated as a liquid and collected as an exhaust liquid. A substance such as carbon dioxide as a solvent is separated as a gas, exhausted, and collected.
The recovered effluent and exhaust gas can be reused. Since the terpene solvent contains limonene, it can be reused for disposal of waste materials such as plastics if its purity is poor and it cannot be regenerated.
[0042]
The above-described processing apparatus 10 and the procedure of the cleaning and drying method can also be applied when the microstructure is provided on the object to be processed by dry etching and then the etching residue is removed.
Further, the above-described processing apparatus 10 can be used as a single wafer type for cleaning / drying the microstructures one by one. Moreover, the procedure of the washing and drying method is the same as that described above.
[0043]
Embodiment 1
This embodiment is an example of an embodiment in which the cleaning and drying method according to the first invention method is applied to wet etching of a sacrificial layer of a microstructure, and subsequent cleaning and drying. FIG. It is a flowchart which shows the procedure of the washing | cleaning and drying method of an example.
In the present embodiment example, first, the processing step and then the replacement step are performed, and then the process proceeds to the supercritical drying step.
(1) Processing process
Step S1Then, the microstructure is placed in the processing chamber 14, immersed in a processing fluid (etchant), and the sacrificial layer is removed by a wet etching method.
(2) Replacement process
Step S2Then, in a state where the microstructure is immersed in the processing fluid in the processing chamber 14, the processing fluid is discharged while supplying the rinsing water to the processing chamber 14, and the processing fluid is gradually diluted. Replace with rinse water.
The processing fluid discharged as the waste liquid is separated by the discharged liquid separation device 36, and is recovered and regenerated as necessary.
[0044]
Step SThreeThen, in a state where the microstructure is immersed in the rinse water, the rinse water is discharged while supplying a mixed liquid of hydrofluoroether + 5% by volume isopropyl alcohol (hereinafter referred to as IPA) to the treatment chamber 14 as a replacement liquid. The rinse water is gradually diluted and replaced with a replacement solution. Hydrofluoroether +5 vol% IPA is sold by Sumitomo 3M as Novec HFE71IPA.
IPA reduces the surface tension of the surface of the microstructure so that the rinsing water can be easily separated from the surface, and surface tension is not generated on the surface. The hydrofluoroether encloses the rinse water and removes the rinse water. Thereby, the microstructure is filled with the hydrofluoroether.
Instead of a mixed liquid of hydrofluoroether + 5% by volume IPA, a fluorinated alcohol may be used as a replacement liquid. Fluorinated alcohol is used in various products as a refrigerant.
[0045]
The mixed waste liquid of hydrofluoroether / 5% IPA and water, or the mixed waste liquid of fluorinated alcohol and water is separated by the discharge liquid separation device 36 and recovered and regenerated as necessary.
[0046]
Step SFourThen, the processing chamber 14 is pressurized to a predetermined pressure of 5 Mpa or more while the microstructure is immersed in the replacement liquid, and the predetermined pressure is maintained.
Hydrofluoroethers and fluorinated alcohols are highly volatile, so it is necessary to control the pressure precisely so that they volatilize and no gas-liquid interface is formed.
Step SFiveThe replacement liquid is discharged while supplying liquefied carbon dioxide to the processing chamber 14 maintained at a predetermined pressure, and the replacement liquid is gradually diluted and replaced with liquefied carbon dioxide.
The waste liquid of the replacement liquid is separated by the drain liquid separator 36 and is recovered and regenerated as necessary.
[0047]
(3) Supercritical drying process
Step S6Then, the carbon dioxide in the processing chamber 14 is pressurized to 7.38 Mpa or more, and then step S7To 31.1 ° C. or higher to bring carbon dioxide into a supercritical state.
Specifically, carbon dioxide is supplied from the processing fluid supply source 18 and introduced into the processing chamber 14 through the supply port 30 at a predetermined pressure of 7.38 Mpa or higher at a predetermined temperature by the pressure / temperature control means 18. . Further, the carbon dioxide introduced into the processing chamber 14 by the heating means 20 is heated to 31.1 ° C. or higher, so that the carbon dioxide in the processing chamber 14 is brought into a supercritical state, and this state is maintained. The temperature control of the carbon dioxide is performed by the temperature control device 38.
[0048]
Step S8When the processing chamber 14 is depressurized to discharge carbon dioxide and cooled, the microstructure is filled with gaseous carbon dioxide and dried.
The recovered carbon dioxide is liquefied by compression cooling.
[0049]
Embodiment 2
This embodiment is an example in which the cleaning and drying method according to the second invention method is applied to wet etching of a sacrificial layer of a microstructure and subsequent cleaning and drying. FIG. 3 shows this embodiment. It is a flowchart which shows the procedure of the washing | cleaning and drying method of an example.
Also in the present embodiment example, the treatment process and the replacement process are performed, and then the process proceeds to the supercritical drying process.
First, as in the first embodiment, step S is performed.1Next, step S2And step SThreeThe rinsing water is removed from the processing chamber 14 and the microstructure is filled with a mixture of hydrofluoroether + 5 vol% IPA or fluorinated alcohol.
[0050]
In this embodiment, the process then proceeds to the supercritical drying process. In the supercritical drying process, as shown in FIG.FourThus, the pressure in the processing chamber 14 is increased to 3.2 MPa or more. Then, step SFiveThen, while maintaining the pressure, the temperature in the processing chamber 14 is raised to 195.9 ° C. or higher to bring the mixed solution or the fluorinated alcohol itself into a supercritical state.
Step S6Thus, when the pressure in the processing chamber 14 is lowered, the mixed solution or the fluorinated alcohol evaporates, so that the microstructure covered with the mixed solution or the fluorinated alcohol is dried.
The collected mixed liquid or fluorinated alcohol is liquefied by compression cooling.
[0051]
Embodiment 3
This embodiment is another example of an embodiment in which the cleaning and drying method according to the third invention method is applied to wet etching of a sacrificial layer of a microstructure and subsequent cleaning and drying. These are the flowcharts which show the procedure of the washing | cleaning and drying method of this embodiment.
Also in the present embodiment example, the processing step and then the replacement step are performed, and then the process proceeds to the supercritical drying step.
First, as in the first embodiment, step S is performed.1Processing steps and step S2The replacement process is performed so that the processing fluid in the processing chamber 14 is replaced with rinsing water.
[0052]
In this embodiment, the process then proceeds to the supercritical drying process. In the supercritical drying process, step SThreeThen, the rinse water in the processing chamber 14 is heated to 31.1 ° C. or higher.
Then step SFourThen, the pressure in the processing chamber 14 is increased to 7.38 Mpa or more, and the rinsing water is gradually discharged while introducing liquefied carbon dioxide in which the terpene solvent has been pressurized and dissolved in advance into the processing chamber 14. Is diluted and replaced with liquefied carbon dioxide. In order to perform the replacement quickly, a terpene solvent is added to the liquefied carbon dioxide as a compatibilizer.
Step SFiveWhen the processing chamber 14 is depressurized to discharge carbon dioxide and cooled, the microstructure is filled with gaseous carbon dioxide and dried.
Further, the terpene solvent is separated from the carbon dioxide discharged from the processing chamber 14 and recovered and reused, and the recovered carbon dioxide is liquefied by compression cooling.
Incidentally, as a terpene solvent to be added in advance to liquefied carbon dioxide, Nippon Alpha Metals' terpene cleaner EC series and Yashara Chemical's Woody River are commercially available.
[0053]
When the sacrificial layer is etched by the dry etching method instead of the wet etching method, in the first to third embodiments, after the etching process, the microstructure is placed in the processing chamber 14 and the etching residue is removed by an acid cleaning solution or an alkali cleaning solution. Then, the substrate is rinsed with the above procedure without being exposed to atmospheric pressure, followed by a replacement step and a supercritical drying step.
[0054]
Embodiment 4
The present embodiment is an example of an embodiment in which the cleaning and drying method according to the first invention method is applied to the cleaning and drying of a microstructure that has been dry-etched. FIGS. It is a flowchart which shows the procedure of the washing | cleaning and drying method of embodiment.
In the microstructure to which this embodiment is applied, the sacrificial layer has already been removed by dry etching, and a beam structure is formed, but etching residues remain. If such a microstructure is accommodated in the processing chamber 14 and the cleaning liquid is introduced, the beam structure may be damaged when the cleaning liquid contacts the beam structure. Therefore, it is necessary to supply a supercritical fluid from the beginning. There is.
In view of this, in the present embodiment example, the liquid immersion process, the replacement process, and the supercritical drying process are performed.
[0055]
(1) Liquid immersion process
First, the holding cassette 26 storing a plurality of microstructures to be subjected to the cleaning and drying processes for the beam structure is stored in the processing chamber 14 through the opening 12, and the lid 16 is closed to seal the processing chamber 14.
Then step S1Then, gaseous carbon dioxide is introduced from the fluid supply source 18 into the processing chamber 14. Step S2Then, the carbon dioxide in the processing chamber 14 is heated to 31.1 ° C. or higher and pressurized to 7.38 Mpa or higher to obtain a supercritical state.
Then step SThreeThus, the phase of carbon dioxide in the processing chamber 14 is lowered to change the phase from supercritical carbon dioxide to liquefied carbon dioxide.
Next, step SFourThen, hydrofluoroether + 5% IPA or fluorinated alcohol is supplied to the processing chamber 14 as a replacement agent, the microstructure is wetted with a cleaning solution, and is cleaned while reducing the pressure as necessary to remove etching residues. To do.
Step S after washingFiveThus, the processing chamber 14 is depressurized and returned to normal pressure, and the process proceeds to the replacement step.
[0056]
(2) Replacement process
In the replacement process, step S of Embodiment 1 is performed.2To step SFiveIn the same manner as shown in FIG.6To step S9Perform the operation.
Next, in the supercritical drying process, Step S of Embodiment 1 is performed.6To step S8As shown in FIG. 6, as shown in FIG.TenTo step S12Perform the operation.
[0057]
Embodiment 5
The present embodiment is an example of an embodiment in which the cleaning and drying method according to the third invention method is applied to cleaning and drying of a microstructure that has been dry-etched.
As in the fourth embodiment, the method of the present embodiment includes a liquid immersion process, a replacement process, and a supercritical drying process.
First, in the present embodiment example, step S of the embodiment example 4 is performed.1To step SFiveIn the same manner as described above, the liquid immersion process is performed.
Next, in the replacement step, step S of Embodiment 3 is performed.2The rinsing water replacement step is performed in the same manner as described above.
Subsequently, in the supercritical drying process, Step S of Embodiment 3 is performed.ThreeTo step SFiveProcess in the same way.
[0058]
In Embodiments 1 to 5 described above, since the gas-liquid interface does not pass through the beam structure of the microstructure, the microstructure is destroyed by surface tension during rinsing with pure water after etching or etching residue removal. There is nothing.
In this embodiment, a microstructure having a minute movable part called a micromachine is taken as an example, and a wet etching process, a cleaning / drying process, or a cleaning / drying process after dry etching is described. However, the first to fifth embodiments are not limited to cleaning / drying of a microstructure having a movable part, but include a microstructure such as a semiconductor device having no movable part, for example, pattern formation with a high aspect ratio of a large scale integrated circuit. It can be similarly applied to formation of holes and resist patterns, formation of photomasks, and the like.
[0059]
【The invention's effect】
  As described above, according to the first invention method, a cleaning liquid is replaced with a replacement liquid using a mixture of hydrofluoroether and alcohol having a carbon number of 4 or less less than hydrofluoroether, or a fluorinated alcohol alone as a replacement liquid. And replace the solutionCarbon dioxideBy substituting with the supercritical fluid, it can be dried with the supercritical fluid without risk of ignition, and thus the object to be processed having a microstructure can be cleaned and dried with a great cleaning and drying effect. In addition, since the ozone depletion coefficient is zero and the global warming index is relatively small, there is little environmental impact.
  Further, according to the second invention method, by replacing the cleaning liquid, a mixture of hydrofluoroether and alcohol having a carbon number of 4 or less smaller than hydrofluoroether, or fluorinated alcohol alone is converted into a supercritical fluid, Further, the same effects as those of the first invention method can be obtained with a smaller number of steps.
[0060]
According to the third invention method, the cleaning liquid is replaced with liquefied carbon dioxide to which a terpene solvent is added, and the liquefied carbon dioxide is converted into a supercritical fluid without using the third fluid as a replacement liquid. Since the cleaning liquid can be directly replaced with supercritical carbon dioxide, the object to be processed having a microstructure can be cleaned and dried with a small number of steps, a low cost, and a safe cleaning and drying effect.
The terpene-based solvent is a dangerous material class 4 second petroleum under the Fire Service Law, and is less flammable than alcohols and glycol ethers, so that the apparatus can be handled easily. Terpene solvents are highly biodegradable and have low toxicity, so they are highly safe and easy to handle.
[0061]
By applying the first to third invention methods, the movable part is destroyed or the movable part is stuck to the substrate due to the difference in stress between the selective etching and the drying that occurs in the conventional sacrificial layer etching method. In addition, it is possible to manufacture a microstructure having a movable portion on a substrate with a high yield by a simple process which prevents sticking and is high in safety and low in cost. In addition, when patterning a semiconductor device having a fine pattern, pattern formation can be performed without causing pattern collapse that has conventionally occurred.
By applying the method of the present invention, it is possible to improve quality such as electrical and mechanical characteristics of a fine semiconductor device or micromachine, and to improve product yield.
[0062]
  In addition, when the object to be processed has a movable part supported on the substrate while maintaining a narrow gap with the substrate, for example, when the movable part is already formed on the object to be processed by dry etching, the cleaning liquid Before the step of cleaning with (3), a gas is introduced into the processing chamber to form a supercritical fluid, the object to be processed is immersed in the supercritical fluid, and then a hydrofluoroether and a hydrocarbon having a carbon number of 4 or less less than the hydrofluoroether. A mixed liquid or a fluorinated alcohol simple substance as a replacement liquid,Supercritical fluidBy substituting with a replacement liquid, the object to be processed can be replaced without being exposed to the atmosphere, so that a beam-shaped movable part can be formed without being destroyed by the influence of the surface tension generated at the gas-liquid interface. In this embodiment, the gas-liquid interface does not occur in the replacement process or the drying process, so that the movable part is not damaged.
[Brief description of the drawings]
FIG. 1 is a schematic diagram showing the configuration of a processing apparatus used when carrying out a method of an embodiment.
FIG. 2 is a flowchart showing a procedure of a cleaning and drying method according to Embodiment 1;
FIG. 3 is a flowchart showing a procedure of a cleaning and drying method according to Embodiment 2;
FIG. 4 is a flowchart showing a procedure of a cleaning and drying method according to Embodiment 3;
FIG. 5 is a flowchart showing a procedure of a cleaning and drying method according to Embodiment 4;
FIG. 6 is a flowchart illustrating the procedure of the cleaning and drying method according to the fourth embodiment, following FIG. 5;
FIGS. 7A to 7C are cross-sectional views for each process when a microstructure is manufactured. FIG.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 10 ... Processing apparatus, 12 ... Opening part, 14 ... Processing chamber, 15 ... Chamber, 16 ... Lid, 18 ... Fluid supply source, 20 ... Heating means, 22 ... O-ring, 24 ... Tightening tool, 26 ... holding cassette, 28 ... pressure / temperature control means, 30 ... fluid supply port, 32 ... fluid discharge port, 34 ... discharge pressure valve, 36 ... discharge separator, 38 ... temperature control Device: 40 ... Microstructure, 42 ... Substrate, 44 ... Beam structure layer, 46 ... Beam structure movable part, 48 ... Beam structure fixing part (column part), 50 ... Sacrificial layer, 52: Structural layer.

Claims (7)

微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、
洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、
ハイドロフルオロエーテルと前記ハイドロフルオロエーテルより少量の炭素数4以下のアルコールとの混合液、又はフッ化アルコール単体を置換液とし、前記洗浄液を前記置換液で置換する工程と、
前記置換液を二酸化炭素の超臨界流体で置換する工程と
を有することを特徴とした洗浄及び乾燥方法。
A method of cleaning a workpiece having a microstructure with a cleaning liquid and then drying with a supercritical fluid,
A cleaning step of cleaning the object to be processed contained in a processing chamber of a cleaning / drying apparatus with a cleaning liquid;
A liquid mixture of hydrofluoroether and a small amount of alcohol having 4 or less carbon atoms than the hydrofluoroether, or a step of replacing the cleaning liquid with the replacement liquid using a fluorinated alcohol alone as a replacement liquid;
And a step of replacing the replacement liquid with a supercritical fluid of carbon dioxide .
微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、
洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、
ハイドロフルオロエーテルと前記ハイドロフルオロエーテルより少量の炭素数4以下のアルコールとの混合液、又はフッ化アルコール単体を置換液とし、前記洗浄液を前記置換液で置換する工程と、
前記処理室内の置換液を超臨界流体化する工程と
を有することを特徴とした洗浄及び乾燥方法。
A method of cleaning a workpiece having a microstructure with a cleaning liquid and then drying with a supercritical fluid,
A cleaning step of cleaning the object to be processed contained in a processing chamber of a cleaning / drying apparatus with a cleaning liquid;
A liquid mixture of hydrofluoroether and a small amount of alcohol having 4 or less carbon atoms than the hydrofluoroether, or a step of replacing the cleaning liquid with the replacement liquid using a fluorinated alcohol alone as a replacement liquid;
And a step of converting the substitution liquid in the processing chamber into a supercritical fluid.
微小構造を有する被処理体を洗浄液で洗浄し、次いで超臨界流体によって乾燥する方法であって、
洗浄/乾燥装置の処理室内に収容した前記被処理体を洗浄液で洗浄する洗浄工程と、
テルペン系溶剤を添加した液化二酸化炭素で前記洗浄液を置換する工程と、
前記液化二酸化炭素を超臨界流体化する工程と
を有することを特徴とする洗浄及び乾燥方法。
A method of cleaning a workpiece having a microstructure with a cleaning liquid and then drying with a supercritical fluid,
A cleaning step of cleaning the object to be processed contained in a processing chamber of a cleaning / drying apparatus with a cleaning liquid;
Replacing the cleaning liquid with liquefied carbon dioxide to which a terpene solvent has been added;
And a step of converting the liquefied carbon dioxide into a supercritical fluid.
前記被処理体が、基板との間に狭い間隔を保持して前記基板に支持された可動部を有するときには、前記洗浄工程の前に、前記処理室に気体を導入して超臨界流体化し、前記被処理体を超臨界流体に浸漬させる工程と、ハイドロフルオロエーテルと前記ハイドロフルオロエーテルより少量の炭素数4以下のアルコールとの混合液、又はフッ化アルコール単体を置換液とし、前記超臨界流体を前記置換液で置換する工程と、前記置換液を前記洗浄液で置換する工程とを有することを特徴とする請求項1から3のいずれか1項に記載の洗浄及び乾燥方法。When the object to be processed has a movable part supported by the substrate while maintaining a narrow gap with the substrate, before the cleaning step, a gas is introduced into the processing chamber to form a supercritical fluid, said to a step of immersing the object to be processed in the supercritical fluid, a mixture of a small amount of 4 or less carbon atoms of the alcohol from the hydrofluoroether and hydrofluoroethers, or a fluorinated alcohol alone as substitution fluid, the supercritical fluid The cleaning and drying method according to any one of claims 1 to 3 , further comprising a step of replacing the replacement liquid with the cleaning liquid, and a step of replacing the replacement liquid with the cleaning liquid. 前記アルコールがイソプロピルアルコールであって、前記イソプロピルアルコールの前記ハイドロフルオロエーテルに対する添加率が1容量%以上10容量%以下であることを特徴とする請求項1、2、及び4のうちのいずれか1項に記載の洗浄及び乾燥方法。It said alcohol is a isopropyl alcohol, any one of claims 1, 2 and 4, characterized in that the addition rate for the hydrofluoroether of the isopropyl alcohol is 10% by volume or less than 1 volume% 1 The cleaning and drying method according to Item. 前記被処理体が、基板上に成膜された第1の層及び第2の層を有するとき、前記洗浄工程の前に、前記処理室内で前記第1の層を湿式エッチング法により選択的にエッチングして、前記基板との間に狭い間隔を保持して前記基板に支持された、前記第2の層からなる可動部を有する微小構造を被処理体に形成する工程を有することを特徴とする請求項1から5のうちのいずれか1項に記載の洗浄及び乾燥方法。When the object to be processed has a first layer and a second layer formed on a substrate, the first layer is selectively removed by a wet etching method in the processing chamber before the cleaning step. Etching to form a microstructure having a movable part made of the second layer supported on the substrate while maintaining a narrow space between the substrate and the substrate. The cleaning and drying method according to any one of claims 1 to 5 . 前記洗浄工程では、リンス水によるリンス洗浄を洗浄工程の最後に行うことを特徴とする請求項1から6のうちのいずれか1項に記載の洗浄及び乾燥方法。The cleaning and drying method according to any one of claims 1 to 6 , wherein in the cleaning step, rinsing with rinsing water is performed at the end of the cleaning step.
JP2002004328A 2002-01-11 2002-01-11 Cleaning and drying method Expired - Fee Related JP4042412B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002004328A JP4042412B2 (en) 2002-01-11 2002-01-11 Cleaning and drying method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002004328A JP4042412B2 (en) 2002-01-11 2002-01-11 Cleaning and drying method

Publications (2)

Publication Number Publication Date
JP2003206497A JP2003206497A (en) 2003-07-22
JP4042412B2 true JP4042412B2 (en) 2008-02-06

Family

ID=27643679

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002004328A Expired - Fee Related JP4042412B2 (en) 2002-01-11 2002-01-11 Cleaning and drying method

Country Status (1)

Country Link
JP (1) JP4042412B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160033624A (en) * 2014-09-18 2016-03-28 램 리써치 코포레이션 Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US11189503B2 (en) 2018-11-14 2021-11-30 Samsung Electronics Co., Ltd. Substrate drying method, photoresist developing method, photolithography method including the same, and substrate drying system

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
JP4499604B2 (en) * 2005-04-22 2010-07-07 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Supercritical processing method
KR20080108510A (en) 2006-04-05 2008-12-15 아사히 가라스 가부시키가이샤 Device substrate washing method
JP4833753B2 (en) * 2006-06-29 2011-12-07 アルバック成膜株式会社 Manufacturing method of semiconductor device
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
JP2012151398A (en) * 2011-01-21 2012-08-09 Toshiba Corp Supercritical drying apparatus and method
JP5607269B1 (en) * 2014-01-17 2014-10-15 株式会社東芝 Substrate processing method and apparatus
KR101736845B1 (en) 2015-06-12 2017-05-18 세메스 주식회사 Apparatus and method for treating a substrate
JP6441176B2 (en) * 2015-07-10 2018-12-19 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, and storage medium
JP6498573B2 (en) * 2015-09-15 2019-04-10 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, and storage medium
KR101910796B1 (en) * 2016-06-02 2018-10-25 세메스 주식회사 Apparatus and Method for treating substrate
KR20180013337A (en) * 2016-07-29 2018-02-07 세메스 주식회사 Apparatus and method for treating substrate
JPWO2023054055A1 (en) * 2021-09-30 2023-04-06

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03127832A (en) * 1989-10-13 1991-05-30 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device and drying equipment
JP3693143B2 (en) * 1997-12-26 2005-09-07 株式会社ジャパンエナジー Wafer cleaning composition and cleaning method using the same
AU5440200A (en) * 1999-06-11 2001-01-02 Raytheon Company Liquid carbon dioxide cleaning utilizing natural and modified natural solvents
US6589355B1 (en) * 1999-10-29 2003-07-08 Alliedsignal Inc. Cleaning processes using hydrofluorocarbon and/or hydrochlorofluorocarbon compounds
JP3553856B2 (en) * 2000-05-08 2004-08-11 日本電信電話株式会社 Supercritical drying method
JP3553838B2 (en) * 1999-12-06 2004-08-11 日本電信電話株式会社 Supercritical drying method
JP3494939B2 (en) * 1999-12-17 2004-02-09 日本電信電話株式会社 Supercritical drying method and apparatus
US6310018B1 (en) * 2000-03-31 2001-10-30 3M Innovative Properties Company Fluorinated solvent compositions containing hydrogen fluoride

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160033624A (en) * 2014-09-18 2016-03-28 램 리써치 코포레이션 Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
KR102516922B1 (en) 2014-09-18 2023-03-31 램 리써치 코포레이션 Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US11189503B2 (en) 2018-11-14 2021-11-30 Samsung Electronics Co., Ltd. Substrate drying method, photoresist developing method, photolithography method including the same, and substrate drying system

Also Published As

Publication number Publication date
JP2003206497A (en) 2003-07-22

Similar Documents

Publication Publication Date Title
JP4042412B2 (en) Cleaning and drying method
JP4464125B2 (en) Structure manufacturing method and silicon oxide film etching agent
US6624127B1 (en) Highly polar cleans for removal of residues from semiconductor structures
US8323420B2 (en) Method for removing material from semiconductor wafer and apparatus for performing the same
US7517809B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US6509141B2 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US7160815B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP2004249189A (en) Washing method
WO2003065434A1 (en) Method of treating surface, semiconductor device, process for producing semiconductor device, and apparatus for treatment
KR20110068825A (en) Surface treatment apparatus and method for semiconductor substrate
US20090165819A1 (en) Method for treating fine structure, system for treating fine structure, and method for producing electronic device
US20080006291A1 (en) Cleaning method and cleaning apparatus
US20110076853A1 (en) Novel process method for post plasma etch treatment
Hattori Non-aqueous cleaning challenges for preventing damage to fragile nano-structures: A review
CA2472478A1 (en) Method for cleaning an article
Hattori Nonaqueous Cleaning Challenges for Preventing Damage to Fragile Nanostructures
JP2004088095A (en) Washing method
JP2007536730A (en) Compositions and methods for drying patterned wafers during the manufacture of integrated circuit products
JP2004152925A (en) Washing method
JP3658117B2 (en) Supercritical fluid exhaust method and supercritical fluid cleaning device
JP2007035714A (en) Method for cleaning base material surface
JP2007281148A (en) Method for washing base body, method for manufacturing semiconductor device, and washing device
KR20090066938A (en) Method for fabricating in semicondutor device using dry etching apparatus
KR100205096B1 (en) Removing method of photoresist film in the semiconductor device
US20040079388A1 (en) Removing fluorine-based plasma etch residues

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040319

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040604

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071105

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees