JP3974667B2 - Manufacturing method of semiconductor light emitting device - Google Patents

Manufacturing method of semiconductor light emitting device Download PDF

Info

Publication number
JP3974667B2
JP3974667B2 JP21367695A JP21367695A JP3974667B2 JP 3974667 B2 JP3974667 B2 JP 3974667B2 JP 21367695 A JP21367695 A JP 21367695A JP 21367695 A JP21367695 A JP 21367695A JP 3974667 B2 JP3974667 B2 JP 3974667B2
Authority
JP
Japan
Prior art keywords
layer
gallium nitride
semiconductor
type
compound semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP21367695A
Other languages
Japanese (ja)
Other versions
JPH08116090A (en
Inventor
幸男 尺田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm Co Ltd
Original Assignee
Rohm Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm Co Ltd filed Critical Rohm Co Ltd
Priority to JP21367695A priority Critical patent/JP3974667B2/en
Publication of JPH08116090A publication Critical patent/JPH08116090A/en
Application granted granted Critical
Publication of JP3974667B2 publication Critical patent/JP3974667B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Lasers (AREA)
  • Led Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体発光素子の製法に関する。さらに詳しくは、青色発光に好適なチッ化ガリウム系化合物半導体を用いた半導体発光素子の製法に関する。
【0002】
ここにチッ化ガリウム系化合物半導体とは、III 族元素のGaとV族元素のNとの化合物またはIII 族元素のGaの一部がAl、Inなど他のIII 族元素と置換したものおよび/またはV族元素のNの一部がP、Asなど他のV族元素と置換した化合物からなる半導体をいう。
【0003】
また、半導体発光素子とは、pn接合またはpinなどダブルヘテロ接合を有する発光ダイオード(以下、LEDという)、スーパルミネッセントダイオード(SLD)または半導体レーザダイオード(LD)などの光を発生する半導体素子をいう。
【0004】
【従来の技術】
従来青色のLEDは赤色や緑色に比べて輝度が小さく実用化に難点があったが、近年チッ化ガリウム系化合物半導体を用い、Mgをドーパントした低抵抗のp型半導体層がえられたことにより、輝度が向上し脚光をあびている。
【0005】
従来のチッ化ガリウム系のLEDは、たとえば図5に示されるような構造になっている。このLEDを製造するには、まずサファイア(Al2 3 単結晶)基板21に400〜700℃の低温で有機金属化合物気相成長法(以下、MOCVD法という)によりキャリアガスH2 とともに有機金属化合物ガスであるトリメチルガリウム(以下、TMGという)、トリメチルアルミニウム(以下、TMAという)、トリメチルインジウム(以下、TMIという)およびアンモニア(NH3 )を供給し、Alx Gay In1-x-y (0≦x<1、0<y≦1、x+y≦1)からなる低温バッファ層22を0.01〜0.2μm程度形成し、ついで700〜1200℃の高温で同じガスを供給し同じ組成のn型のAlx Gay In1-x-y Nからなる高温バッファ層23を2〜4μm程度形成する。
【0006】
ついで前述と同じ比率のガスを供給して同じ組成のn型のAlx Gay In1-x-y N層24を0.1〜0.3μm程度形成し、ダブルヘテロ接合形成のためのn型クラッド層を形成する。これらのn型層を形成するには、チッ化ガリウム系化合物半導体のばあい、n型不純物をドープしなくてもn型になるという性質を利用している。
【0007】
つぎに、クラッド層の組成よりAlの量を減らしInの量を多くしてバンドギャップエネルギーがクラッド層のそれより小さくなる材料Alp Gaq In1-p-q N(0≦p<1、0<q≦1、p+q≦1、p<x、1−p−q>1−x−y)からなる活性層25を形成する。
【0008】
ついで、n型クラッド層の形成と同じ原料ガスにさらにp型不純物としてのMgまたはZnのためのビスシクロペンタジエニルマグネシウム(以下、Cp2 Mgという)またはジメチル亜鉛(以下、DMZnという)の有機金属化合物ガスを加えて反応管に導入し、p型Alx Gay In1-x-y Nからなるp型クラッド層26を形成する。
【0009】
さらにキャップ層27とするため、前述と同様のガスを供給してp型のAlx Gay In1-x-y N層を気相成長させる。
【0010】
そののち、SiO2 などの保護膜を半導体の成長層表面全面に設け、400〜800℃、20〜60分間程度のアニールを行い、p型Alx Gay In1-x-y Nからなるp型クラッド層26の活性化を図る。ついで保護膜を除去したのちn型の電極を形成するため、レジストを塗布しパターニングして、図5に示されるように、成長した各半導体層の一部を塩素プラズマなどによるドライエッチングを行ってn型Alx Gay In1-x-y N層23を露出させる。ついでAu、Alなどの金属膜をスパッタリングなどにより形成して両電極28、29を形成し、ダイシングすることによりLEDチップを形成している。
【0011】
【発明が解決しようとする課題】
従来のチッ化ガリウム系化合物半導体を用いた半導体発光素子は裏面側がサファイア基板で絶縁体であるため、裏面側の電極をとるためにエッチングなどの複雑なプロセスが必要となる。
【0012】
また、サファイア基板は高温に耐えることができ、比較的種々の結晶面に合わせることができるため有利に用いられているが、サファイア基板とチッ化ガリウム系半導体結晶との格子定数はそれぞれ4.758Åと3.189Åで相当異なり、さらに熱膨脹係数も異なるため、図6のAに示されるように、サファイア基板と接するバッファ層に転位や結晶欠陥が発生し、その結晶欠陥が動作層であるチッ化ガリウム系化合物半導体単結晶層にも進展し動作領域が狭くなるとともに、半導体層の光学的品質も低下するという問題がある。
【0013】
さらに、サファイア基板を劈開することはできず、前述の構造では劈開により半導体発光素子チップを製造することができないため、半導体レーザのように端面が精度のよい平行な2つの鏡面を必要とするデバイスには不向きであるという問題がある。
【0014】
本発明はこのような問題を解決し、格子定数の不整合や熱膨張係数の相違に基づく結晶欠陥や転位の発生を極力抑えた半導体発光素子の製法を提供することを目的とする。
【0015】
本発明のさらに他の目的は半導体レーザのように端面に平行な2つの鏡面を必要とする半導体発光素子にもチッ化ガリウム系化合物半導体を用いて劈開により端面の鏡面をうることができる半導体発光素子の製法を提供することを目的とする。
【0016】
【課題を解決するための手段】
本発明の半導体発光素子の製法は、(a)GaAs、GaP、InPおよびSiよりなる群から選ばれた少なくとも1種の半導体で、かつ、結晶面が(111)面の半導体単結晶基板上に400〜700℃の低温でチッ化ガリウム系化合物半導体層からなる低温バッファ層を形成したのちに700〜1200℃の高温でチッ化ガリウム系化合物半導体層をMOCVD法により成膜する工程、(b)前記半導体単結晶基板および前記低温バッファ層を研磨により除去する工程、および(c)該半導体結晶基板および前記低温バッファ層を除去して残余した前記チッ化ガリウム系化合物半導体層を新たな基板として、少なくともn型層およびp型層を含むチッ化ガリウム系化合物半導体単結晶層をさらに成長する工程を有する。
【0018】
前記(a)工程、前記低温バッファ層を形成したのちに700〜1200℃の高温でチッ化ガリウム系化合物半導体層を成膜することにより、前記低温バッファ層が基板との不整合を和らげるバッファ層となり、結晶欠陥や転位の発生を防止できる。
【0019】
前記(c)工程のチッ化ガリウム系化合物半導体単結晶層の成長前に400〜700℃の低温でチッ化ガリウム系化合物半導体からなる低温バッファ層を成膜し、さらに700〜1200℃の高温でチッ化ガリウム系化合物半導体からなる高温バッファ層を成膜し、そののち前記チッ化ガリウム系化合物半導体単結晶層を成長することが、チッ化ガリウム系化合物半導体層基板に生じた結晶欠陥や転位の影響を最小限に抑制することができるため好ましい。
【0020】
前記少なくともn型層およびp型層を含むチッ化ガリウム系化合物半導体単結晶層がn型クラッド層、活性層、p型クラッド層のサンドイッチ構造を有し、該サンドイッチ構造の各層は該活性層のバンドギャップエネルギーが該n型およびp型クラッド層のバンドギャップエネルギーより小さい半導体材料で構成し、かつ、該n型クラッド層、p型クラッド層、前記高温バッファ層および前記チッ化ガリウム系化合物半導体層基板を同一組成の半導体材料で形成することが、発光効率の高い発光素子がえられるため好ましい。
【0021】
前記チッ化ガリウム系化合物半導体単結晶層が形成された半導体ウェハを劈開によりチップ化することが、端面を鏡面化することができるため好ましい。
【0022】
【発明の実施の形態】
つぎに、図面を参照しながら本発明の半導体発光素子の製法について説明する。図1は本発明の半導体発光素子の製法の一実施形態の工程断面説明図、図2〜4は本発明の製法により製造された半導体発光素子の例の断面説明図である。
【0023】
まず、図1(a)に示されるように、半導体単結晶基板1の表面にMOCVD法によりチッ化ガリウム系化合物半導体層からなる低温バッファ層2および高温バッファ層3を成長する。
【0024】
半導体単結晶基板1としては、たとえば結晶面がそれぞれ(111)面であるGaAs単結晶基板、GaP単結晶基板、InP単結晶基板またはSi単結晶基板を使用することができる。結晶面が(111)面の半導体単結晶基板を使用するのは、チッ化ガリウム系化合物半導体層の結晶品質のためである。またGaAsなど前述の半導体単結晶基板を使用するのは、他の材料と比較してチッ化ガリウム系化合物半導体と格子定数などが比較的近く、チッ化ガリウム系化合物半導体層にかかる歪を小さくできるためである。
【0025】
またMOCVD法により半導体層を成長させるには、反応炉内に基板を配設し、気相成長のための原料ガス、たとえばAlx Gay In1-x-y N層を成長させるにはキャリアガスのH2 にAlの原料ガスとして有機金属ガスであるTMA、Gaの原料ガスとして有機金属ガスであるTMG、Inの原料ガスとして有機金属ガスであるTMI、およびNの原料ガスとしてNH3 を所望の比率になるようにそれぞれの流量で導入して炉内で反応させる。異なる組成の半導体層を成長させるばあいは、その導入比率を変えたり、またはその組成の元素に必要な原料ガスを導入して反応させることにより所望の組成の半導体層を成長させることができる。
【0026】
チッ化ガリウム系化合物半導体を成長させる際の成長温度は単結晶を成長させるには700〜1200℃の高温で反応させて成長させるが、格子定数などが異なる異種材料の基板上に直接成長させるばあいは完全には単結晶の結晶方向が一致しないため400〜700℃の低温で多結晶膜として成長させる低温バッファ層2を0.01〜0.2μm程度介在させ、その上に700〜1200℃の高温で50〜200μm程度の高温バッファ層3を成長させることが好ましい。この高温バッファ層3を成長させる際に低温で多結晶膜として成長した低温バッファ層2も単結晶化し、高温バッファ層3と整合化される。
【0027】
つぎに図1(b)に示されるように、半導体単結晶基板1の裏面側から機械的研磨または化学的研磨をし、半導体結晶基板1および低温バッファ層2を除去する。この機械的研磨は、たとえばダイヤモンド粉を使用する研磨装置により行い、化学的研磨は、たとえば硫酸と過酸化水素の混合液により行う。
【0028】
つぎに図1(c)に示されるように、残されたチッ化ガリウム系化合物半導体層からなる高温バッファ層(チッ化ガリウム系化合物半導体層)3を新たな基板として反応炉内に配設し、前述と同様の方法でチッ化ガリウム系化合物半導体からなる低温バッファ層4を0.01〜0.2μm程度、高温バッファ層5を1〜40μm程度設ける。チッ化ガリウム系化合物半導体を成長する基板は同種のチッ化ガリウム系単結晶層であるため、低温バッファ層4および高温バッファ層5を設けないで直接つぎのクラッド層や活性層とするチッ化ガリウム系化合物半導体単結晶を成長させてもよいが、ここで新たに基板とするチッ化ガリウム系化合物半導体層基板3は異種の半導体結晶基板1上に形成されたもので、格子不整合に基づく結晶欠陥や転位が発生している可能性があり、そのばあい、その上に成膜されるチッ化ガリウム系化合物半導体単結晶層にも結晶欠陥や転位が進む可能性がある。そのため、再度低温バッファ層4および高温バッファ層5を設けることが好ましい。この低温バッファ層4および高温バッファ層5の成長方法や効用などは図1(a)の低温バッファ層2および高温バッファ層(チッ化ガリウム系化合物半導体層基板)3と同様である。
【0029】
つぎに図1(d)に示されるように、n型クラッド層6、ノンドープまたはn型もしくはp型の活性層7、p型クラッド層8、キャップ層9を順次形成する。クラッド層6、8は通常0.1〜2μm程度の厚さに形成され、活性層7は0.05〜0.1μm程度の厚さに形成される。活性層7は結晶欠陥や転位が発生しえない程度に非常に薄く形成されるが、クラッド層は薄くするのに限界があり、厚いため、これらが異種材料で構成されると歪みが入り易く、高温バッファ層5とともに厚い層は同じ組成の材料で形成されることが好ましい。
【0030】
前述のクラッド層などの半導体層でn型層にするためには、Si、Ge、SnをSiH4 、GeH4 、SnH4 などのガスとして反応ガス内に混入することによりえられる。またp型層を形成するためには、MgやZnをCp2 MgやDMZnの有機金属ガスとして原料ガスに混入することによりp型層とすることができる。このp型層はキャップ層9上にSiO2 などからなる保護膜を設けて400〜800℃でアニール処理をすることにより、または電子線を照射することによりMgと化合したH(キャリヤガスとしてのH2 や反応ガスであるNH3 ガスのHが化合する)を切り離してMgを動き易くし、低抵抗化することができる。
【0031】
この例では、活性層7の両側をp型層とn型層の両クラッド層6、8により挟むダブルヘテロ接合構造とされ、クラッド層6、8は活性層7のバンドギャップエネルギーより大きなバンドギャップエネルギーを有する材料で構成されている。前述のAlx Gay In1-x-y Nの材料でバンドギャップエネルギーを大きくするには、xを大きくし、1−x−yを小さくすることによりえられる。このようなバンドギャップエネルギーを有するクラッド層6、8でサンドイッチ構造とすることにより、活性層に注入されたキャリアが発光層である活性層とクラッド層のあいだにできるエネルギー障壁で閉じ込められるため、単純な同一材料でpn接合を作ったホモ接合構造より発光再結合の確立が格段に向上し、発光効率も高くなる。しかし本発明の製法はこのようなダブルヘテロ接合構造に限定されることはなく、ホモ接合やヘテロ接合のpn接合でも成長する半導体層の組成を変えるだけで同様に適用される。また、半導体レーザでストライプ溝を形成することにより屈折率導波構造とする半導体発光素子なども同様に製造できる。なお、キャップ層9は電極金属10との接触抵抗の低下のためのもので、0.2μm以下程度の厚さに形成される。
【0032】
つぎに、前述のように、半導体層の表面にSiO2 、Si3 4 、Al2 3 などの保護膜を設けて400〜800℃で20〜60分間程度のアニール処理を行うか、保護膜を設けないで、直接表面から3〜20kV程度の加速電圧で電子線照射をする。その結果、p型層のドーパントであるMgとHとの接合が切られ、活性化が達成され、p型層の低抵抗化が図られる。
【0033】
ついで、Au、Alなどの電極材料を蒸着やスパッタ法などにより成膜し、裏面側には全面に下部(n側)電極11が形成され、表面側はLEDのばあいは発光領域を確保するため、または半導体レーザのばあいは電流注入領域を規制するため、中心部のみに残るようにパターニングして上部(p側)電極10が形成され、そののち各チップに劈開することにより、図1(e)に斜視図で示されるように半導体発光素子チップが形成される。
【0034】
この半導体発光素子チップをリードフレームに載置し、ワイヤボンディングしたのちエポキシ樹脂でモールドすることによりLEDが、またチップをステムに載置し、ワイヤボンディングしたのちキャップでシールすることによりレーザダイオードが完成する。
【0035】
本発明によれば、半導体単結晶基板上にチッ化ガリウム系化合物半導体層を成長させたのち、半導体単結晶基板を除去し、チッ化ガリウム系化合物半導体層を新たな基板としてその上に動作層のチッ化ガリウム系化合物半導体単結晶層を成長しているため、格子定数や熱膨張係数は非常に近くなり、格子欠陥や転位は発生しにくい。
【0036】
一方、半導体単結晶基板上に成長させた新たな基板とするチッ化ガリウム系化合物半導体層と半導体単結晶基板とのあいだの格子不整合に基因して新たな基板とするチッ化ガリウム系化合物半導体層に結晶欠陥が発生し、その結晶欠陥が動作層とするチッ化ガリウム系化合物半導体単結晶層へ広がり、転位や結晶欠陥が発生することが懸念されるが、その転位や欠陥については、その間に低温バッファ層および高温バッファ層を設けることにより有効に防止することができる。
【0037】
さらに、バッファ層とクラッド層の1μm以上に厚く形成される層の半導体単結晶層の組成を同じにすることにより、きれいな劈開面がえられ、鏡面がえられ易くなる。
【0038】
つぎに、さらに具体的な発光素子により本発明の製法を詳細に説明する。
【0039】
【実施例】
実施例1
図2は本発明の製法により製造したチッ化ガリウム系ダブルヘテロ接合LEDの断面説明図である。チッ化ガリウム系化合物半導体としてAlx Gay In1-x-y N(0≦x<1、0<y≦1、0<x+y≦1)を用い、Al、Ga、Inの比率を変えることによりダブルヘテロ接合を形成したものである。
【0040】
まず、前述の図1(b)に示されるような50〜200μmの厚さに形成されたn型Alx Gay In1-x-y Nの半導体層からなる新たな基板とされたチッ化ガリウム系化合物半導体層基板3の表面に400〜700℃の低温でn型Alv Gaw In1-v-w N(0≦v<1、0<w≦1、0<v+w≦1、v≦x、1−x−y≦1−v−w)からなる低温バッファ層4を0.01〜0.2μm程度MOCVD法により成長し、ついで700〜1200℃の高温でチッ化ガリウム系化合物半導体層基板3と同じ組成のn型Alx Gay In1-x-y Nからなる高温バッファ層5を1〜40μm程度の厚さに設けた。さらに700〜1200℃でn型Alx Gay In1-x-y Nからなるn型クラッド層6を0.1〜2μm程度の厚さに設け、ノンドープのAlp Gaq In1-p-q N(0≦p<1、0<q≦1、0<p+q≦1、p<x、1−p−q>1−x−y)からなる活性層7を0.05〜0.1μm程度の厚さに成長させ、さらにp型Alx Gay In1-x-y Nからなるp型クラッド層8を1〜2μm成長させた。その上にAlr Gas In1-r-s N(0≦r<1、0<s≦1、0<r+s≦1、r≦x、1−x−y≦1−r−s)からなるキャップ層9を0.2μm程度の厚さ設ける。
【0041】
前記構造で、両クラッド層6、8は同じ組成で、かつ、これらの層は活性層7の組成よりバンドギャップエネルギーが大きい組成で形成されている。すなわち、Alの量を多くして、Inの量を少なくすることによりバンドギャップエネルギーの大きい材料がえられ、バンドギャップエネルギーの大きい材料からなるクラッド層6、8によりバンドギャップエネルギーが小さい材料からなる活性層7がサンドイッチされる構造になっており、活性層に注入されたキャリアをエネルギー障壁で閉じ込め、発光効率を高くしている。
【0042】
そののち前述のように電子線照射によりp型層の低抵抗化を図り、電極を形成して劈開することにより0.5カンデラ(cd)程度の輝度のダブルヘテロ接合の青色LEDがえられた。
【0043】
本実施例によれば、バンドギャップエネルギーの小さい材料からなる活性層をサンドイッチ構造とするダブルヘテロ接合としているため、発光効率を高めることができるとともに、クラッド層やバッファ層などの厚い半導体層は同じ組成の材料で構成し、異なる組成の半導体層は結晶欠陥が生じない程度の薄さに形成されているため、欠陥のない膜質の優れた半導体層がえられ、さらに劈開が容易となる。
【0044】
実施例2
本実施例は半導体レーザ型発光素子の実施例で、各層の形成および電極の形成までは実施例1と全く同様に形成し、電極形成後に上部電極11の両側のキャップ層9およびp型クラッド層8の上部をエッチングしてメサ型形状にしたものである。このような構造にすることにより電流を活性層の中心部だけに集中させることができ、しかも劈開により端面が鏡面になっているため、端面で反射させて発振させることができ、出力が0.2mW程度の青色半導体レーザ型発光素子がえられた。
【0045】
実施例3
本実施例はpn接合のLEDの実施例で、チッ化ガリウム系化合物半導体層基板3にn型GaNからなる低温バッファ層4を0.01〜0.2μm程度、n型GaNからなる高温バッファ層5を1〜40μm程度の厚さだけ実施例1と同様の条件で成長し、そののちn型Alt Ga1-t N(0≦t<1)からなるn型層12を1〜2μm程度、p型Inu Ga1-u N(0≦u<1)からなるp型層13を0.1〜0.3μm程度、それぞれ成膜し、ついでp型Alz Ga1-z N(0≦z<1)からなるキャップ層14を成膜し、p型層13に3〜20kV程度の加速電圧で電子線照射をし、アニールを行ったのち、下部(n側)電極11および上部(p側)電極10を形成し、ヘテロ接合のpn接合LEDを製造した。このヘテロ接合構造とすることにより発光効率が増加し、0.2カンデラ(cd)程度の輝度の青色LEDがえられた。
【0046】
【発明の効果】
本発明によれば、基板が絶縁基板でないため、下部側の電極を基板の裏面に形成すればよく、従来のように上面側からエッチングして下部の導電型層を露出させて電極を形成する必要がない。そのため、ドライエッチング工程が不要になり、構造プロセスが簡単になるとともにエッチング時に発生しやすいコンタミネーションによる抵抗に基因する特性劣化も生じない。
【0047】
さらに基板もクラッド層などの厚い層と同じチッ化ガリウム系化合物半導体層からなっているため、同種の結晶が揃うことになり容易に劈開することができ、簡単に鏡面をうることができる。その結果、青色の半導体レーザも容易にうることができる。
【0048】
また基板もチッ化ガリウム系化合物半導体層からなっているため、動作層と同種の半導体層であり、格子定数などが一致して格子整合がとれ、結晶欠陥や転位の発生を防止できる。その結果、半導体層が高品質になり、素子の発光効率や寿命が向上する。
【図面の簡単な説明】
【図1】本発明の半導体発光素子の製法の一実施形態の製造工程を示す図である。
【図2】本発明の製法の一実施例により製造したLEDの断面説明図である。
【図3】本発明の製法の他の実施例により製造した半導体レーザの断面説明図である。
【図4】本発明の製法のさらに他の実施例により製造したLEDの断面説明図である。
【図5】従来のGaN系LEDの断面説明図である。
【図6】従来のサファイア基板上に形成されたバッファ層に発生する転位の状況を説明する図である。
【符号の説明】
1 半導体単結晶基板
3 チッ化ガリウム系化合物半導体層基板
4 低温バッファ層
5 高温バッファ層
6 n型クラッド層
7 活性層
8 p型クラッド層
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for manufacturing a semiconductor light emitting device. More specifically, the present invention relates to a method for manufacturing a semiconductor light emitting device using a gallium nitride compound semiconductor suitable for blue light emission.
[0002]
Here, the gallium nitride compound semiconductor is a compound in which a group III element Ga and a group V element N or a part of a group III element Ga is substituted with another group III element such as Al or In, and / or Alternatively, it refers to a semiconductor formed of a compound in which a part of N of the group V element is substituted with another group V element such as P or As.
[0003]
A semiconductor light emitting element is a semiconductor element that generates light, such as a light emitting diode (hereinafter referred to as LED) having a double heterojunction such as a pn junction or a pin, a super luminescent diode (SLD), or a semiconductor laser diode (LD). Say.
[0004]
[Prior art]
Conventionally, blue LEDs have lower brightness than red and green, and there were difficulties in practical use. Recently, gallium nitride compound semiconductors were used, and a low-resistance p-type semiconductor layer doped with Mg was obtained. , The brightness has been improved and attracted attention.
[0005]
A conventional gallium nitride LED has a structure as shown in FIG. 5, for example. In order to manufacture this LED, first, an organic metal and a carrier gas H 2 are formed on a sapphire (Al 2 O 3 single crystal) substrate 21 at a low temperature of 400 to 700 ° C. by a metal organic compound vapor deposition method (hereinafter referred to as MOCVD method). trimethylgallium a compound gas (hereinafter, TMG hereinafter), trimethyl aluminum (hereinafter, referred to as TMA), trimethyl indium (hereinafter, TMI hereinafter) supplies and ammonia (NH 3), Al x Ga y in 1-xy (0 ≦ x <1, 0 <y ≦ 1, x + y ≦ 1) is formed to a thickness of about 0.01 to 0.2 μm, and then the same gas is supplied at a high temperature of 700 to 1200 ° C. A high-temperature buffer layer 23 made of Al x Ga y In 1-xy N is formed to a thickness of about 2 to 4 μm.
[0006]
Next, an n-type Al x Ga y In 1-xy N layer 24 having the same composition is formed by supplying a gas in the same ratio as described above to a thickness of about 0.1 to 0.3 μm, and an n-type cladding for forming a double heterojunction is formed. Form a layer. In order to form these n-type layers, in the case of a gallium nitride compound semiconductor, the property of becoming n-type without doping with n-type impurities is utilized.
[0007]
Next, Al p Ga q In 1-pq N (0 ≦ p <1, 0 <), in which the amount of Al is decreased from the composition of the cladding layer and the amount of In is increased to make the band gap energy smaller than that of the cladding layer. An active layer 25 made of q ≦ 1, p + q ≦ 1, p <x, 1-pq> 1-xy) is formed.
[0008]
Next, an organic material such as biscyclopentadienylmagnesium (hereinafter referred to as Cp 2 Mg) or dimethylzinc (hereinafter referred to as DMZn) for Mg or Zn as a p-type impurity is added to the same source gas as that for forming the n-type cladding layer. A metal compound gas is added and introduced into the reaction tube to form a p-type cladding layer 26 made of p-type Al x Ga y In 1-xy N.
[0009]
Further, in order to form the cap layer 27, the same gas as described above is supplied to vapor-phase grow the p-type Al x Ga y In 1 -xy N layer.
[0010]
After that, a protective film such as SiO 2 on the semiconductor growth layer over the entire surface, 400 to 800 ° C., subjected to annealing at about 20 to 60 minutes, p-type cladding made of p-type Al x Ga y In 1-xy N The layer 26 is activated. Next, in order to form an n-type electrode after removing the protective film, a resist is applied and patterned, and as shown in FIG. 5, a part of each grown semiconductor layer is dry-etched by chlorine plasma or the like. The n-type Al x Ga y In 1 -xy N layer 23 is exposed. Next, a metal film such as Au or Al is formed by sputtering or the like to form both electrodes 28 and 29, and an LED chip is formed by dicing.
[0011]
[Problems to be solved by the invention]
Since a conventional semiconductor light emitting device using a gallium nitride compound semiconductor has a sapphire substrate on the back side and is an insulator, a complicated process such as etching is required to form an electrode on the back side.
[0012]
The sapphire substrate can withstand high temperatures and can be adjusted to relatively various crystal planes, so that it is advantageously used. However, the lattice constants of the sapphire substrate and the gallium nitride semiconductor crystal are 4.75875 each. And 3.189mm, and the coefficient of thermal expansion is also different. Therefore, as shown in FIG. 6A, dislocations and crystal defects are generated in the buffer layer in contact with the sapphire substrate, and the crystal defects are the active layer. There is also a problem that the gallium-based compound semiconductor single crystal layer progresses and the operating region becomes narrower, and the optical quality of the semiconductor layer also deteriorates.
[0013]
Furthermore, since a sapphire substrate cannot be cleaved and a semiconductor light emitting device chip cannot be produced by cleaving with the above-described structure, a device that requires two mirror surfaces with parallel end faces with high accuracy, such as a semiconductor laser. Has the problem of being unsuitable.
[0014]
An object of the present invention is to solve such problems and to provide a method for manufacturing a semiconductor light emitting device in which generation of crystal defects and dislocations based on mismatch of lattice constants and differences in thermal expansion coefficients is suppressed as much as possible.
[0015]
Still another object of the present invention is to provide a semiconductor light emitting device capable of obtaining a mirror surface of an end surface by cleaving using a gallium nitride compound semiconductor for a semiconductor light emitting device that requires two mirror surfaces parallel to the end surface, such as a semiconductor laser. It aims at providing the manufacturing method of an element.
[0016]
[Means for Solving the Problems]
The method for producing a semiconductor light emitting device of the present invention comprises: (a) at least one semiconductor selected from the group consisting of GaAs, GaP, InP and Si, and a crystal plane on a semiconductor single crystal substrate having a (111) plane. Forming a gallium nitride compound semiconductor layer by MOCVD at a high temperature of 700 to 1200 ° C. after forming a low temperature buffer layer comprising a gallium nitride compound semiconductor layer at a low temperature of 400 to 700 ° C. , (b) (C) removing the semiconductor single crystal substrate and the low-temperature buffer layer by polishing, and (c) removing the semiconductor crystal substrate and the low-temperature buffer layer and leaving the remaining gallium nitride compound semiconductor layer as a new substrate, And a step of further growing a gallium nitride compound semiconductor single crystal layer including at least an n-type layer and a p-type layer.
[0018]
In the step (a), by forming a gallium nitride based compound semiconductor layer at a high temperature before SL 700 to 1200 ° C. after forming the low temperature buffer layer, the low-temperature buffer layer is a mismatch between the substrate serves as a buffer layer to relieve, Ru can prevent the occurrence of crystal defects and dislocations.
[0019]
Before the growth of the gallium nitride compound semiconductor single crystal layer in the step (c), a low temperature buffer layer made of a gallium nitride compound semiconductor is formed at a low temperature of 400 to 700 ° C., and further at a high temperature of 700 to 1200 ° C. Forming a high-temperature buffer layer made of a gallium nitride compound semiconductor and then growing the gallium nitride compound semiconductor single crystal layer may cause crystal defects and dislocations generated in the gallium nitride compound semiconductor layer substrate. This is preferable because the influence can be minimized.
[0020]
The gallium nitride compound semiconductor single crystal layer including at least the n-type layer and the p-type layer has a sandwich structure of an n-type clad layer, an active layer, and a p-type clad layer, and each layer of the sandwich structure is composed of the active layer. The n-type cladding layer, the p-type cladding layer, the high-temperature buffer layer, and the gallium nitride compound semiconductor layer are made of a semiconductor material having a band gap energy smaller than that of the n-type and p-type cladding layers. It is preferable to form the substrate using a semiconductor material having the same composition because a light-emitting element with high emission efficiency can be obtained.
[0021]
It is preferable to chip the semiconductor wafer on which the gallium nitride-based compound semiconductor single crystal layer is formed by cleaving because the end surface can be mirror-finished.
[0022]
DETAILED DESCRIPTION OF THE INVENTION
Next, a method for manufacturing the semiconductor light emitting device of the present invention will be described with reference to the drawings. FIG. 1 is a cross-sectional explanatory view of an embodiment of a method for manufacturing a semiconductor light emitting device of the present invention, and FIGS. 2 to 4 are cross-sectional explanatory views of examples of a semiconductor light emitting device manufactured by the manufacturing method of the present invention.
[0023]
First, as shown in FIG. 1A, a low temperature buffer layer 2 and a high temperature buffer layer 3 made of a gallium nitride compound semiconductor layer are grown on the surface of a semiconductor single crystal substrate 1 by MOCVD.
[0024]
As the semiconductor single crystal substrate 1, for example, a GaAs single crystal substrate, a GaP single crystal substrate, an InP single crystal substrate, or a Si single crystal substrate each having a (111) crystal plane can be used. The reason why the semiconductor single crystal substrate having a crystal face of (111) is used is the crystal quality of the gallium nitride compound semiconductor layer. In addition, the use of the above-mentioned semiconductor single crystal substrate such as GaAs is relatively close to the gallium nitride compound semiconductor and the lattice constant compared to other materials, and the strain applied to the gallium nitride compound semiconductor layer can be reduced. Because.
[0025]
In order to grow a semiconductor layer by the MOCVD method, a substrate is disposed in a reaction furnace, and a source gas for vapor phase growth, for example, an Al x Ga y In 1-xy N layer is grown by using a carrier gas. In H 2 , TMA, which is an organometallic gas, is used as a source gas of Al, TMG, which is an organometallic gas, is used as a source gas of Ga, TMI, which is an organometallic gas, and NH 3 is desired as a source gas of N It introduce | transduces at each flow volume so that it may become a ratio, and is made to react in a furnace. When growing semiconductor layers having different compositions, a semiconductor layer having a desired composition can be grown by changing the introduction ratio or introducing a necessary source gas to the element having the composition and reacting them.
[0026]
The growth temperature at the time of growing a gallium nitride compound semiconductor is to grow by reacting at a high temperature of 700 to 1200 ° C. to grow a single crystal. However, when growing directly on a substrate of a different material having a different lattice constant or the like. In the meantime, since the crystal directions of the single crystals do not completely coincide with each other, a low temperature buffer layer 2 for growing as a polycrystalline film at a low temperature of 400 to 700 ° C. is interposed by about 0.01 to 0.2 μm, and 700 to 1200 ° C. thereon. It is preferable to grow the high temperature buffer layer 3 of about 50 to 200 μm at a high temperature. When the high temperature buffer layer 3 is grown, the low temperature buffer layer 2 grown as a polycrystalline film at a low temperature is also monocrystallized and aligned with the high temperature buffer layer 3.
[0027]
Next, as shown in FIG. 1B, mechanical polishing or chemical polishing is performed from the back side of the semiconductor single crystal substrate 1 to remove the semiconductor crystal substrate 1 and the low-temperature buffer layer 2. This mechanical polishing is performed by, for example, a polishing apparatus using diamond powder, and chemical polishing is performed by, for example, a mixed solution of sulfuric acid and hydrogen peroxide.
[0028]
Next, as shown in FIG. 1C, the remaining high-temperature buffer layer (gallium nitride compound semiconductor layer) 3 made of the gallium nitride compound semiconductor layer is disposed in the reactor as a new substrate. The low temperature buffer layer 4 made of a gallium nitride compound semiconductor is provided in an amount of about 0.01 to 0.2 [mu] m and the high temperature buffer layer 5 is provided in an amount of about 1 to 40 [mu] m by the same method as described above. Since the substrate on which the gallium nitride compound semiconductor is grown is the same kind of gallium nitride single crystal layer, gallium nitride is used directly as the next cladding layer or active layer without providing the low temperature buffer layer 4 and the high temperature buffer layer 5. Although a compound compound semiconductor single crystal may be grown, the gallium nitride compound semiconductor layer substrate 3 as a new substrate here is formed on a different kind of semiconductor crystal substrate 1, and is a crystal based on lattice mismatch. Defects and dislocations may have occurred, and in that case, crystal defects and dislocations may also progress to the gallium nitride compound semiconductor single crystal layer formed thereon. Therefore, it is preferable to provide the low temperature buffer layer 4 and the high temperature buffer layer 5 again. The growth method and effects of the low temperature buffer layer 4 and the high temperature buffer layer 5 are the same as those of the low temperature buffer layer 2 and the high temperature buffer layer (gallium nitride compound semiconductor layer substrate) 3 in FIG.
[0029]
Next, as shown in FIG. 1D, an n-type cladding layer 6, an undoped or n-type or p-type active layer 7, a p-type cladding layer 8, and a cap layer 9 are sequentially formed. The clad layers 6 and 8 are usually formed to a thickness of about 0.1 to 2 μm, and the active layer 7 is formed to a thickness of about 0.05 to 0.1 μm. The active layer 7 is formed very thin to the extent that crystal defects and dislocations cannot occur, but the cladding layer has a limit in making it thin. The thick layer together with the high temperature buffer layer 5 is preferably formed of a material having the same composition.
[0030]
In order to make the semiconductor layer such as the above-described cladding layer an n-type layer, Si, Ge, and Sn are obtained by mixing them in the reaction gas as a gas such as SiH 4 , GeH 4 , and SnH 4 . In order to form the p-type layer, the p-type layer can be formed by mixing Mg or Zn into the source gas as an organic metal gas of Cp 2 Mg or DMZn. This p-type layer is provided with a protective film made of SiO 2 or the like on the cap layer 9 and annealed at 400 to 800 ° C., or H combined with Mg by irradiation with an electron beam (as a carrier gas) H 2 and the H of the NH 3 gas that is a reaction gas are separated to make Mg easy to move and lower resistance.
[0031]
In this example, the active layer 7 has a double heterojunction structure in which both sides of the p-type layer and the n-type clad layer 6 and 8 are sandwiched between the active layer 7 and the clad layers 6 and 8 have a band gap larger than the band gap energy of the active layer 7. It consists of a material with energy. In order to increase the band gap energy with the aforementioned Al x Ga y In 1-xy N material, x can be increased and 1-xy can be decreased. By adopting a sandwich structure with the clad layers 6 and 8 having such band gap energy, carriers injected into the active layer are confined by an energy barrier that can be formed between the active layer and the clad layer as the light emitting layer. The establishment of luminescence recombination is significantly improved and the luminous efficiency is higher than a homojunction structure in which a pn junction is made of the same material. However, the manufacturing method of the present invention is not limited to such a double heterojunction structure, and can be similarly applied only by changing the composition of a semiconductor layer grown even in a homojunction or a heterojunction pn junction. Also, a semiconductor light emitting device having a refractive index waveguide structure can be manufactured in the same manner by forming a stripe groove with a semiconductor laser. The cap layer 9 is for reducing the contact resistance with the electrode metal 10 and is formed to a thickness of about 0.2 μm or less.
[0032]
Next, as described above, a protective film such as SiO 2 , Si 3 N 4 , or Al 2 O 3 is provided on the surface of the semiconductor layer and annealed at 400 to 800 ° C. for about 20 to 60 minutes, or protection is performed. Without providing a film, electron beam irradiation is performed directly from the surface with an acceleration voltage of about 3 to 20 kV. As a result, the junction between Mg and H, which are dopants in the p-type layer, is cut, activation is achieved, and the resistance of the p-type layer is reduced.
[0033]
Next, an electrode material such as Au or Al is formed by vapor deposition or sputtering, and a lower (n-side) electrode 11 is formed on the entire surface on the back side, and a light emitting area is secured on the front side in the case of an LED. In order to control the current injection region in the case of a semiconductor laser, the upper (p-side) electrode 10 is formed by patterning so as to remain only in the central portion, and then cleaved into each chip. A semiconductor light emitting element chip is formed as shown in a perspective view in FIG.
[0034]
Place this semiconductor light-emitting element chip on the lead frame, wire bond and mold with epoxy resin, then place the chip on the stem, wire bond and seal with cap to complete the laser diode To do.
[0035]
According to the present invention, after a gallium nitride compound semiconductor layer is grown on a semiconductor single crystal substrate, the semiconductor single crystal substrate is removed, and the gallium nitride compound semiconductor layer is used as a new substrate and an operating layer thereon. Since the single crystal layer of gallium nitride compound semiconductor is grown, the lattice constant and the thermal expansion coefficient are very close, and lattice defects and dislocations are hardly generated.
[0036]
On the other hand, a gallium nitride compound semiconductor used as a new substrate due to a lattice mismatch between the semiconductor single crystal substrate and the gallium nitride compound semiconductor layer used as a new substrate grown on the semiconductor single crystal substrate. There is a concern that a crystal defect occurs in the layer, and that the crystal defect spreads to the gallium nitride compound semiconductor single crystal layer as the operation layer, causing dislocations and crystal defects. This can be effectively prevented by providing a low temperature buffer layer and a high temperature buffer layer.
[0037]
Furthermore, by making the composition of the semiconductor single crystal layer of the buffer layer and the clad layer thicker than 1 μm the same, a clean cleaved surface can be obtained and a mirror surface can be easily obtained.
[0038]
Next, the production method of the present invention will be described in detail with more specific light-emitting elements.
[0039]
【Example】
Example 1
FIG. 2 is a cross-sectional explanatory view of a gallium nitride double heterojunction LED manufactured by the manufacturing method of the present invention. Al x Ga y In 1-xy N (0 ≦ x <1, 0 <y ≦ 1, 0 <x + y ≦ 1) is used as the gallium nitride compound semiconductor, and doubled by changing the ratio of Al, Ga, and In. A heterojunction is formed.
[0040]
First, a gallium nitride system formed as a new substrate made of an n-type Al x Ga y In 1-xy N semiconductor layer formed to a thickness of 50 to 200 μm as shown in FIG. N-type Al v Ga w In 1 -vw N (0 ≦ v <1, 0 <w ≦ 1, 0 <v + w ≦ 1, v ≦ x, 1 at a low temperature of 400 to 700 ° C. on the surface of the compound semiconductor layer substrate 3. -X-y ≦ 1-vw) is grown by a MOCVD method of about 0.01 to 0.2 μm, and then the gallium nitride compound semiconductor layer substrate 3 is formed at a high temperature of 700 to 1200 ° C. A high-temperature buffer layer 5 made of n-type Al x Ga y In 1-xy N having the same composition was provided to a thickness of about 1 to 40 μm. Further, an n-type cladding layer 6 made of n-type Al x Ga y In 1-xy N is provided at a thickness of about 0.1 to 2 μm at 700 to 1200 ° C., and non-doped Al p Ga q In 1 -pq N (0 ≦ p <1, 0 <q ≦ 1, 0 <p + q ≦ 1, p <x, 1-pq> 1-xy), and the active layer 7 has a thickness of about 0.05 to 0.1 μm. Further, a p - type cladding layer 8 made of p-type Al x Ga y In 1-xy N was grown by 1 to 2 μm. A cap made of Al r Ga s In 1-rs N (0 ≦ r <1, 0 <s ≦ 1, 0 <r + s ≦ 1, r ≦ x, 1-xy ≦ 1- rs ). The layer 9 is provided with a thickness of about 0.2 μm.
[0041]
In the above structure, both the cladding layers 6 and 8 have the same composition, and these layers have a band gap energy larger than that of the active layer 7. That is, by increasing the amount of Al and decreasing the amount of In, a material having a large band gap energy is obtained, and the cladding layers 6 and 8 made of a material having a large band gap energy are made of a material having a small band gap energy. The structure is such that the active layer 7 is sandwiched, and carriers injected into the active layer are confined by an energy barrier to increase luminous efficiency.
[0042]
After that, as described above, the resistance of the p-type layer was reduced by electron beam irradiation, and an electrode was formed and cleaved to obtain a double heterojunction blue LED having a luminance of about 0.5 candela (cd). .
[0043]
According to this embodiment, since the active layer made of a material having a small band gap energy is a double heterojunction having a sandwich structure, the light emission efficiency can be improved and the thick semiconductor layers such as the cladding layer and the buffer layer are the same. Since the semiconductor layers having different compositions are formed so as not to cause crystal defects, a semiconductor layer having a defect-free film quality is obtained and cleavage is further facilitated.
[0044]
Example 2
This example is an example of a semiconductor laser type light emitting device, and is formed in the same manner as Example 1 until the formation of each layer and the electrode, and the cap layer 9 and the p-type cladding layer on both sides of the upper electrode 11 after the electrode formation. 8 is etched into a mesa shape. With such a structure, the current can be concentrated only in the central portion of the active layer, and the end face is mirror-finished by cleavage, so that it can be reflected and oscillated by the end face, and the output is 0. A blue semiconductor laser type light emitting element of about 2 mW was obtained.
[0045]
Example 3
This embodiment is an embodiment of a pn junction LED. A gallium nitride compound semiconductor layer substrate 3 is provided with a low-temperature buffer layer 4 made of n-type GaN of about 0.01 to 0.2 μm and a high-temperature buffer layer made of n-type GaN. 5 is grown under the same conditions as in Example 1 by a thickness of about 1 to 40 μm, and then an n - type layer 12 made of n-type Al t Ga 1-t N (0 ≦ t <1) is about 1 to 2 μm. The p-type layer 13 made of p-type In u Ga 1-u N (0 ≦ u <1) is formed to a thickness of about 0.1 to 0.3 μm, respectively, and then p-type Al z Ga 1-z N (0 ≦ z <1) is formed, and the p-type layer 13 is irradiated with an electron beam at an acceleration voltage of about 3 to 20 kV and annealed, and then the lower (n-side) electrode 11 and the upper ( A p-side) electrode 10 was formed to manufacture a heterojunction pn junction LED. With this heterojunction structure, the luminous efficiency increased, and a blue LED with a luminance of about 0.2 candela (cd) was obtained.
[0046]
【The invention's effect】
According to the present invention, since the substrate is not an insulating substrate, the lower electrode may be formed on the back surface of the substrate, and the electrode is formed by etching from the upper surface side to expose the lower conductive type layer as in the prior art. There is no need. This eliminates the need for a dry etching process, simplifies the structural process, and does not cause characteristic deterioration due to resistance that is likely to occur during etching.
[0047]
Furthermore, since the substrate is made of the same gallium nitride compound semiconductor layer as a thick layer such as a clad layer, the same kind of crystals are aligned and can be easily cleaved, and a mirror surface can be easily obtained. As a result, a blue semiconductor laser can be easily obtained.
[0048]
Further, since the substrate is also made of a gallium nitride compound semiconductor layer, it is a semiconductor layer of the same type as the operation layer, and lattice matching is achieved by matching the lattice constant and the like, so that generation of crystal defects and dislocations can be prevented. As a result, the quality of the semiconductor layer is improved, and the light emission efficiency and lifetime of the element are improved.
[Brief description of the drawings]
FIG. 1 is a diagram showing a manufacturing process of an embodiment of a method for manufacturing a semiconductor light emitting device of the present invention.
FIG. 2 is an explanatory cross-sectional view of an LED manufactured according to an embodiment of the manufacturing method of the present invention.
FIG. 3 is a cross-sectional explanatory view of a semiconductor laser manufactured by another embodiment of the manufacturing method of the present invention.
FIG. 4 is an explanatory cross-sectional view of an LED manufactured by still another embodiment of the manufacturing method of the present invention.
FIG. 5 is a cross-sectional explanatory diagram of a conventional GaN-based LED.
FIG. 6 is a diagram for explaining a state of dislocations generated in a buffer layer formed on a conventional sapphire substrate.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 Semiconductor single crystal substrate 3 Gallium nitride compound semiconductor layer substrate 4 Low-temperature buffer layer 5 High-temperature buffer layer 6 n-type cladding layer 7 active layer 8 p-type cladding layer

Claims (4)

(a)GaAs、GaP、InPおよびSiよりなる群から選ばれた少なくとも1種の半導体で、かつ、結晶面が(111)面の半導体単結晶基板上に400〜700℃の低温でチッ化ガリウム系化合物半導体層からなる低温バッファ層を形成したのちに700〜1200℃の高温でチッ化ガリウム系化合物半導体層をMOCVD法により成膜する工程、
(b)前記半導体単結晶基板および前記低温バッファ層を研磨により除去する工程、および
(c)該半導体結晶基板および前記低温バッファ層を除去して残余した前記チッ化ガリウム系化合物半導体層を新たな基板として、少なくともn型層およびp型層を含むチッ化ガリウム系化合物半導体単結晶層をさらに成長する工程
を有する半導体発光素子の製法。
(A) At least one semiconductor selected from the group consisting of GaAs, GaP, InP and Si, and gallium nitride at a low temperature of 400 to 700 ° C. on a semiconductor single crystal substrate having a crystal plane of (111) plane Forming a gallium nitride compound semiconductor layer by MOCVD at a high temperature of 700 to 1200 ° C. after forming a low-temperature buffer layer comprising a compound compound semiconductor layer;
(B) removing the semiconductor single crystal substrate and the low-temperature buffer layer by polishing; and (c) removing the semiconductor crystal substrate and the low-temperature buffer layer to remove the remaining gallium nitride-based compound semiconductor layer. A method for producing a semiconductor light emitting device, comprising a step of further growing a gallium nitride compound semiconductor single crystal layer including at least an n-type layer and a p-type layer as a substrate.
前記(c)工程のチッ化ガリウム系化合物半導体単結晶層の成長前に400〜700℃の低温でチッ化ガリウム系化合物半導体からなる低温バッファ層を成膜し、さらに700〜1200℃の高温でチッ化ガリウム系化合物半導体からなる高温バッファ層を成膜し、そののち前記チッ化ガリウム系化合物半導体単結晶層を成長する請求項1記載の半導体発光素子の製法。Before the growth of the gallium nitride compound semiconductor single crystal layer in the step (c), a low temperature buffer layer made of a gallium nitride compound semiconductor is formed at a low temperature of 400 to 700 ° C., and further at a high temperature of 700 to 1200 ° C. forming a high-temperature buffer layer composed of a gallium nitride-based compound semiconductor, manufacturing method of the semiconductor light emitting device according to claim 1 Symbol placement Thereafter growing the gallium nitride-based compound semiconductor single crystal layer. 前記少なくともn型層およびp型層を含むチッ化ガリウム系化合物半導体単結晶層がn型クラッド層、活性層、p型クラッド層のサンドイッチ構造を有し、該サンドイッチ構造の各層は該活性層のバンドギャップエネルギーが該n型およびp型クラッド層のバンドギャップエネルギーより小さい半導体材料で構成し、かつ、該n型クラッド層、p型クラッド層、前記高温バッファ層および前記チッ化ガリウム系化合物半導体層基板を同一組成の半導体材料で形成する請求項記載の半導体発光素子の製法。The gallium nitride compound semiconductor single crystal layer including at least the n-type layer and the p-type layer has a sandwich structure of an n-type clad layer, an active layer, and a p-type clad layer, and each layer of the sandwich structure is composed of the active layer. The n-type cladding layer, the p-type cladding layer, the high-temperature buffer layer, and the gallium nitride compound semiconductor layer are made of a semiconductor material whose band gap energy is smaller than the band gap energy of the n-type and p-type cladding layers. The method for producing a semiconductor light emitting device according to claim 2 , wherein the substrate is formed of a semiconductor material having the same composition. 前記チッ化ガリウム系化合物半導体単結晶層が形成された半導体ウェハを劈開によりチップ化する請求項1または記載の半導体発光素子の製法。Preparation of a semiconductor light emitting device according to claim 1 or 3, wherein into chips by cleaving a semiconductor wafer in which the gallium nitride based compound semiconductor single crystal layer is formed.
JP21367695A 1994-08-22 1995-08-22 Manufacturing method of semiconductor light emitting device Expired - Fee Related JP3974667B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP21367695A JP3974667B2 (en) 1994-08-22 1995-08-22 Manufacturing method of semiconductor light emitting device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP6-196852 1994-08-22
JP19685294 1994-08-22
JP21367695A JP3974667B2 (en) 1994-08-22 1995-08-22 Manufacturing method of semiconductor light emitting device

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2004352636A Division JP2005094037A (en) 1994-08-22 2004-12-06 Manufacturing method of semiconductor light emitting device
JP2007096161A Division JP2007184645A (en) 1994-08-22 2007-04-02 Manufacturing method of semiconductor light emitting element

Publications (2)

Publication Number Publication Date
JPH08116090A JPH08116090A (en) 1996-05-07
JP3974667B2 true JP3974667B2 (en) 2007-09-12

Family

ID=26510017

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21367695A Expired - Fee Related JP3974667B2 (en) 1994-08-22 1995-08-22 Manufacturing method of semiconductor light emitting device

Country Status (1)

Country Link
JP (1) JP3974667B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100813674B1 (en) * 2001-12-27 2008-03-14 주식회사 엘지이아이 Bleach and softener storage for washing machine

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007184645A (en) * 1994-08-22 2007-07-19 Rohm Co Ltd Manufacturing method of semiconductor light emitting element
TW389939B (en) * 1996-05-31 2000-05-11 Sumitomo Electric Industries Light emitting device, wafer for light emitting device, and manufacturing
JP3164016B2 (en) * 1996-05-31 2001-05-08 住友電気工業株式会社 Light emitting device and method for manufacturing wafer for light emitting device
CN1292458C (en) 1997-04-11 2006-12-27 日亚化学工业株式会社 Nitride semiconductor growth method, nitride semiconductor substrate and device
DE59814431D1 (en) * 1997-09-29 2010-03-25 Osram Opto Semiconductors Gmbh Semiconductor light source and method for its production
CA2311132C (en) 1997-10-30 2004-12-07 Sumitomo Electric Industries, Ltd. Gan single crystalline substrate and method of producing the same
JP4314887B2 (en) * 1997-11-26 2009-08-19 日亜化学工業株式会社 Nitride semiconductor device
JP3522114B2 (en) 1998-07-21 2004-04-26 株式会社村田製作所 Semiconductor light emitting device, method of manufacturing the same, and method of forming ZnO film
JP2000174392A (en) * 1998-12-04 2000-06-23 Nichia Chem Ind Ltd Nitride semiconductor light-emitting element
US6320206B1 (en) * 1999-02-05 2001-11-20 Lumileds Lighting, U.S., Llc Light emitting devices having wafer bonded aluminum gallium indium nitride structures and mirror stacks
US6280523B1 (en) * 1999-02-05 2001-08-28 Lumileds Lighting, U.S., Llc Thickness tailoring of wafer bonded AlxGayInzN structures by laser melting
JP3555500B2 (en) 1999-05-21 2004-08-18 豊田合成株式会社 Group III nitride semiconductor and method of manufacturing the same
US6580098B1 (en) 1999-07-27 2003-06-17 Toyoda Gosei Co., Ltd. Method for manufacturing gallium nitride compound semiconductor
JP4432180B2 (en) 1999-12-24 2010-03-17 豊田合成株式会社 Group III nitride compound semiconductor manufacturing method, group III nitride compound semiconductor device, and group III nitride compound semiconductor
JP2001185493A (en) 1999-12-24 2001-07-06 Toyoda Gosei Co Ltd Method of manufacturing group iii nitride-based compound semiconductor, and group iii nitride based compound semiconductor device
JP2001267242A (en) 2000-03-14 2001-09-28 Toyoda Gosei Co Ltd Group iii nitride-based compound semiconductor and method of manufacturing the same
CN1213462C (en) 2000-03-14 2005-08-03 丰田合成株式会社 Production method of III nitride compound semiconductor and III nitride compound semiconductor element
TW518767B (en) 2000-03-31 2003-01-21 Toyoda Gosei Kk Production method of III nitride compound semiconductor and III nitride compound semiconductor element
US6878563B2 (en) 2000-04-26 2005-04-12 Osram Gmbh Radiation-emitting semiconductor element and method for producing the same
JP2003533030A (en) 2000-04-26 2003-11-05 オスラム オプト セミコンダクターズ ゲゼルシャフト ミット ベシュレンクテル ハフツング Manufacturing method of light emitting diode chip and light emitting diode structure element based on GaN
JP2001313259A (en) 2000-04-28 2001-11-09 Toyoda Gosei Co Ltd Method for producing iii nitride based compound semiconductor substrate and semiconductor element
TWI289944B (en) 2000-05-26 2007-11-11 Osram Opto Semiconductors Gmbh Light-emitting-diode-element with a light-emitting-diode-chip
US7619261B2 (en) 2000-08-07 2009-11-17 Toyoda Gosei Co., Ltd. Method for manufacturing gallium nitride compound semiconductor
KR20030074824A (en) 2001-02-14 2003-09-19 도요다 고세이 가부시키가이샤 Production method for semiconductor crystal and semiconductor luminous element
JP2002280314A (en) 2001-03-22 2002-09-27 Toyoda Gosei Co Ltd Manufacturing method of iii nitride compound semiconductor group, and the iii nitride compound semiconductor element based thereon
JP4035971B2 (en) * 2001-09-03 2008-01-23 豊田合成株式会社 Manufacturing method of semiconductor crystal
JP3690326B2 (en) 2001-10-12 2005-08-31 豊田合成株式会社 Method for producing group III nitride compound semiconductor
KR100593909B1 (en) * 2004-05-31 2006-06-30 삼성전기주식회사 Growth method of nitride semiconductor single crystal and nitrde semiconductor light emtting diode
JP2007258277A (en) * 2006-03-20 2007-10-04 Matsushita Electric Works Ltd Semiconductor light emitting device
JP5012629B2 (en) * 2008-04-07 2012-08-29 日亜化学工業株式会社 Method of manufacturing nitride semiconductor device
WO2017221519A1 (en) * 2016-06-20 2017-12-28 ソニー株式会社 Nitride semiconductor element, nitride semiconductor substrate, method for manufacturing nitride semiconductor element, and method for manufacturing nitride semiconductor substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100813674B1 (en) * 2001-12-27 2008-03-14 주식회사 엘지이아이 Bleach and softener storage for washing machine

Also Published As

Publication number Publication date
JPH08116090A (en) 1996-05-07

Similar Documents

Publication Publication Date Title
JP3974667B2 (en) Manufacturing method of semiconductor light emitting device
US6087681A (en) GaN semiconductor light emitting device having a group III-V substrate
JP2809691B2 (en) Semiconductor laser
JP3448450B2 (en) Light emitting device and method for manufacturing the same
US6617061B2 (en) Group III nitride compound semiconductor device and group III nitride compound semiconductor light-emitting device
JP3087829B2 (en) Method for manufacturing nitride semiconductor device
JP3325713B2 (en) Manufacturing method of semiconductor light emitting device
JPH04213878A (en) Semiconductor light-emitting element
JPH0851235A (en) Manufacture of semiconductor light emitting element
JPH08255929A (en) Fabrication of semiconductor light emitting element
JP4631214B2 (en) Manufacturing method of nitride semiconductor film
JPH10242569A (en) Semiconductor laser
JPH0864913A (en) Semiconductor light emitting element and its manufacture
JP3010412B2 (en) Semiconductor light emitting device
JPH08116092A (en) Semiconductor light emitting element and its manufacture
JPH10242567A (en) Semiconductor laser
JP2005094037A (en) Manufacturing method of semiconductor light emitting device
JP3005115B2 (en) Semiconductor light emitting device
JP2007184645A (en) Manufacturing method of semiconductor light emitting element
JPH11214750A (en) Manufacture of gallium nitride compound semiconductor light-emitting device
JP2001257432A (en) Semiconductor substrate, manufacturing method therefor, and semiconductor light emitting element
JP2009088230A (en) Semiconductor light-emitting element and manufacturing method thereof
JPH08116091A (en) Semiconductor light emitting element
JP2002141552A (en) Group iii nitride semiconductor, semiconductor substrate laser using semiconductor and semiconductor device
JP3684841B2 (en) Gallium nitride compound semiconductor light emitting device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20031226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041005

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041206

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20041209

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20050114

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070615

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees