JP3949286B2 - テクノロジマッピング方法及び記憶媒体 - Google Patents

テクノロジマッピング方法及び記憶媒体 Download PDF

Info

Publication number
JP3949286B2
JP3949286B2 JP22304098A JP22304098A JP3949286B2 JP 3949286 B2 JP3949286 B2 JP 3949286B2 JP 22304098 A JP22304098 A JP 22304098A JP 22304098 A JP22304098 A JP 22304098A JP 3949286 B2 JP3949286 B2 JP 3949286B2
Authority
JP
Japan
Prior art keywords
node
pattern
match
matching
representative pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP22304098A
Other languages
English (en)
Other versions
JP2000057176A (ja
Inventor
裕介 松永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP22304098A priority Critical patent/JP3949286B2/ja
Publication of JP2000057176A publication Critical patent/JP2000057176A/ja
Priority to US09/739,746 priority patent/US6625799B2/en
Application granted granted Critical
Publication of JP3949286B2 publication Critical patent/JP3949286B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はテクノロジマッピング方法及び記憶媒体に係り、特に特定の回路テクノロジに依存しない論値回路を特定のセルライブラリを用いた回路へ自動的に変換するテクノロジマッピング方法及びコンピュータにそのようなテクノロジマッピング方法を用いてテクノロジマッピング処理を行わせるプログラムが格納されたコンピュータ読み取り可能な記憶媒体に関する。
【0002】
論理回路を設計する際には、その論理的な仕様とは別に、回路面積、遅延時間や消費電力等といった様々な制約条件を考慮する必要がある。このため、論理回路を自動的に設計する論理合成処理を、回路テクノロジに依存しないテクノロジ独立な合成処理と回路テクノロジに依存したテクノロジ依存の合成処理との2つに分けて、夫々の部分目標に従って合成処理を行う方法が広く用いられている。
【0003】
テクノロジ依存の合成処理は、テクノロジマッピングとも呼ばれ、テクノロジに依存しない論理回路を実際の回路へ変換する処理を行う。現在、セルベース設計手法と呼ばれる手法を用いるテクノロジマッピングが広く用いられている。セルベース設計手法とは、予め用意された論理素子の部品、即ち、セルを組み合わせて論理回路を構成する回路の実現方法である。
【0004】
【従来の技術】
従来実用化されているテクノロジマッピングの技術は、Kurt Keutzer,「DAGON:Technology Binding and Local Optimization」,Proc. 24th ACM/IEEEDesign Automation Conference,pp.341〜247,June 1987で提案されたDAGONと呼ばれるアルゴリズムが基になっている。この提案されたDAGONなるアルゴリズムは、設計対象の回路を一旦2入力NANDゲートとNOTゲートのような基本セルを用いた回路に変換し、NANDゲートとNOTゲートの部分回路に対してより大きなセルを割り当てて行くことで実際の回路を生成する。
【0005】
このDAGONなるアルゴリズムを用いた設計の一例について説明すると、先ずセルライブラリの各セルに対して、その論理的な機能を表す2入力NANDゲートとNOTゲートからなるパターンを予め用意しておく。ただし、このようなセルの分解は一意に求まるものではなく、幾つかの形の異なる分解パターンが考えられる。このため、各セルの全ての分解パターンを考慮する。
【0006】
図1及び図2は、夫々セルライブラリのセルとセルの分解パターンの一例を示す図である。図1において、(a)はセルNOT、(b)はセルNAND2、(c)はセルNAND3、(d)はセルNAND4、(e)はセルNAND4、(f)はセルAOI21、(g)はセルAOI22、(h)はセルAND2の分解パターンを夫々示す。又、図2において、(a)はセルNOR2、(b)はセルNOR3、(c)はセルNOR4、(d)はセルNOR4、(e)はセルOAI21、(f)はセルOAI22、(g)はセルAOR2の分解パターンを夫々を示す。図1では、セルNAND4が同図(d),(e)に示すように2通りの分解パターンを有する。図2では、セルNOR4が同図(c),(d)に示すように2通りの分解パターンを有する。
【0007】
設計対象のテクノロジに独立な論理回路は、仮想的なANDゲート、ORゲート及びNOTゲートで構成されているものとすると、図3に示すように、ANDゲートは同図(a)に示す如く2入力NANDゲートとNOTゲートを用いて実現できるので、ORゲートも、同図(b)に示す如く2入力NANDゲートとNOTゲートを用いて実現できる。従って、初期回路を2入力NANDゲートとNOTゲートのみからなる回路に変換することは、容易に行える。この場合も、複数の分解パターンが考えられるが、全ての分解パターンを考慮することは困難であるため、通常は1つの分解パターンのみを考える。
【0008】
図4は、上記の如く分解された初期回路の一例を示す。同図に示す初期回路に対して、図5に示すように部分回路を割り当てることができる。図5では、太い実線で囲まれた部分回路の夫々が、1つのセルに割り当てられる。しかし、このような部分回路のセルに対する割り当ては一意に求まるものではなく、図4に示す初期回路に対しては、例えば図6に示すように部分回路を割り当てることもできる。図6においても、太い実線で囲まれた部分回路の夫々が、1つのセルに割り当てられる。
【0009】
このように、部分回路のセルに対する割り当てを行う際に、回路面積、遅延時間、消費電力等の目的関数や制約条件を考慮して、最も望ましい割り当てを選択することが、テクノロジマッピング処理である。従って、テクノロジマッピング処理には、マッチング処理と、カバリング処理との2段階の処理が必要となる。マッチング処理では、初期回路の部分回路にマッチするセルを列挙する。又、カバリング処理では、マッチするセルを組み合わせて実際の回路を生成する。ここで、マッチとは、図5及び図6において、太い実線で囲まれた部分回路と、部分回路の横に記載されたセルとの組を指す。マッチング処理では、マッチが結果的に得られるか否かに拘わらず、可能なマッチを全て列挙する。
【0010】
マッチング処理に関しては、グラフマッチングと呼ばれるアルゴリズムが、R.L.Rudell,「Logic Synthesis For VLSI Design」,PhD Thesis,UCB/ERL M89/49,1989にて提案されている。
図7は、典型的なマッチング処理を示すフローチャートである。同図中、ステップS1は、処理回路上で試していないノードがあるか否かを判定し、判定結果がNOであると処理は終了する。他方、ステップS1の判定結果がYESであると、ステップS2はノードを1つ取り出してvとする。ステップS3は、試していないパターンがあるか否かを判定し、判定結果がNOであると処理はステップS1へ戻る。ステップS3の判定結果がYESであると、ステップS4は、パターンを1つ取り出してpとする。ステップS5は、ノードvにおけるパターンpに対するマッチを求め、処理はステップS3へ戻る。上記グラフマッチングは、ステップS5の処理で用いられる。
【0011】
従って、後述する図17(a)に示す初期回路に対して図1及び図2の全てのパターンのマッチングを試す場合、従来のマッチング処理では初期回路の全ての節点1,2,3,...に対して全てのパターンに対するマッチングを順次行うする必要がある。
ここで、説明の便宜上、図7のマッチング処理により、図17(a)に示す初期回路に対して1つのパターンのみのマッチングを調べる場合について説明する。この場合、図17(a)に示す初期回路の各節点に対して図17(b)に示すパターンOAI21がマッチするか否かを調べる。尚、図17(b)中、a1〜h1は、節点を示すと共に入出力信号名をも示し、図1及ぶ図2における節点名とは無関係である。
【0012】
(1)先ず、節点1を根とするマッチが存在するか否かを調べる。この場合、節点1はインバータであるが、節点a1は2入力NANDであるので、マッチは存在しない。
(2)次に、節点2を根とするマッチが存在するか否かを調べる。この場合、節点2と節点a1を調べると同じ2入力NANDなので、マッチが存在する。
【0013】
2入力NANDの入力は2つあるので、まず節点3と節点b1がマッチするか否かを調べる。この場合、節点3と節点b1は共にインバータなので、マッチが存在する。
同様にして、節点4と節点c1は共にインバータなので、マッチが存在することがわかり、節点5と節点d1は共にインバータなので、マッチが存在することがわかる。
【0014】
節点d1の2つの入力e1とg1は、夫々節点6及び節点10とマッチし、これらの入力であるf1とh1も節点7及び節点11とマッチする。そして、節点7及び節点11は終端となる。
節点a1の残りの入力の節点i1と節点14がマッチするか否かを調べると、節点i1は終端なので、無条件でマッチが存在する。
【0015】
上記の如く、パターンOAI21の全ての部分がマッチするので、この場合はパターン全体のマッチングが成功したことになり、終端の対応付けは節点f1と節点7、節点h1と節点11、節点i1と節点14となる。
節点a1の入力について、節点b1と節点14という組み合わせを試すと、共にインバータなのでマッチが存在する。
【0016】
節点b1の入力について、節点c1と節点15もインバータなので、マッチが存在する。又、同様にして、節点d1と節点16のマッチも存在する。
節点d1の入力e1とg1が節点17と節点19とマッチし、これらの入力である節点f1と節点h1も節点18及び節点20とマッチする。そして、節点18及び節点20は終端となる。
【0017】
節点a1の残りの入力の節点i1と節点3がマッチするので、節点3も終端となる。
このようにして、もう1つのマッチングが求まり、終端の対応付けは節点f1と節点18、節点h1と節点20、節点i1と節点3となる。
(3)節点3及び節点4はインバータなので、節点a1とはマッチが存在しない。
【0018】
(4)節点5と節点a1のマッチを試すと、この場合、節点5と節点a1のマッチは存在する。
節点5の入力と節点a1の入力のマッチを調べると、先ず、節点6と節点b1は共にインバータなので、マッチは存在する。
ところが、節点7と節点c1のマッチは存在しないので、結果としては節点6と節点b1のマッチは存在しない。
【0019】
節点6と節点i1のマッチを調べると、節点6と節点i1のマッチは存在する。
残りの入力の節点10と節点b1のマッチを調べると、共にインバータなので、マッチは存在する。
ところが、節点11と節点c1のマッチは存在しないので、結果としては節点10と節点b1のマッチは存在しない。
【0020】
節点5の入力のマッチが全て存在しないので、節点5と節点a1のマッチも存在しない。
以上のようにして、初期回路の全ての節点に対してパターンマッチングを行う。尚、実際には、初期回路に対して1つのパターンのみのマッチングを調べるのではなく、初期回路に対して複数のパターンのマッチングを調べる。
【0021】
マッチング処理の次段のカバリング処理では、マッチング処理で得られたマッチを部品として、あたかもタイルを敷き詰めるように回路全体をカバーするマッチの組み合わせを生成する。この際に、回路面積を最小にするカバリングアルゴリズム、遅延時間を最短にするアルゴリズム等は、上記R.L.Rudell,「Logic Synthesis For VLSI Design」,PhD Thesis,UCB/ERL M89/49,1989にて提案されている。
【0022】
テクノロジマッピング処理を行う実際のプログラムでは、上記の如きマッチング処理とカバリング処理とは、明確に切り離されているわけではなく、マッチング処理でマッチを求めながらカバリング処理を行うが、ここでは説明の便宜上、マッチング処理に注目しているので、マッチング処理とカバリング処理とを分離して説明する。
【0023】
他方、インバータチェーンヒューリスティックと呼ばれる技術が提案されている。このインバータチェーンヒューリスティックとは、初期回路に対して、インバータの無い結線に2つのインバータを直列に挿入するもので、図8に示すように、他の技術では得ることのできなかったマッチを見つけることができるので、良い回路を合成できる可能性がある。同図中、太い実線で囲まれていないインバータの組は、最終的な回路からは取り除かれる。
【0024】
【発明が解決しようとする課題】
上記の如く、1つのセルに対して複数のパターンが必要となる場合が存在し、更に、セルの入力信号数が増加するに従って必要とされるパターン数も増加する傾向がある。最悪の場合では、セルの入力信号数の指数乗に比例した数のパターンが必要となる。このような場合、使用するセルライブラリのセルが複雑、且つ、大規模になってしまい、全てのパターンを列挙して、全てのパターンに対してマッチを検索するには多大な時間が必要となり、実用的ではないという問題があった。
【0025】
又、最近では、セルライブラリで使用可能なセル数自体も増加する傾向にあり、従来の単純なマッチングアルゴリズムを用いたのでは、必要となるメモリ容量及び計算時間が共に増加してしまい、処理速度の低下を招いたり、或いは、処理が不可能となってしまうという問題もあった。
そこで、本発明は、大規模化及び複雑化する傾向にあるセルライブラリに対して効率良くマッチング処理を行うことのできるテクノロジマッピング方法及び記憶媒体を提供することを目的とする。
【0026】
【課題を解決するための手段】
上記の課題は、コンピュータを用いて、特定の回路テクノロジに依存しない論理回路を特定のセルライブラリを用いた回路へ自動的に変換するテクノロジマッピング方法であって、各セルに対するパターン相互の構造の包含関係に基づいてマッチング対象を絞り込む絞り込みステップを含むテクノロジマッピング方法によって達成できる。
【0027】
前記絞り込みステップは、マッチの求まらないパターンを包含するパターンに対するマッチングを省略しても良い。
前記絞り込みステップは、入力及び出力におけるインバータの有無のみが異なるパターンを類似パターンと定義して各類似パターンのグループに対して1つの代表パターンを決定し、テクノロジマッピング方法は、前記代表パターンに対してのみマッチングを行うマッチングステップを更に含んでも良い。
【0028】
前記マッチングステップは、前記代表パターンに対するマッチをどのように変換すれば該代表パターンが属するグループ内の類似パターンに対するマッチになるかを示す変換マップに基づいて、該グループ内の類似パターンに対するマッチを求めても良い。
上記の課題は、コンピュータに、特定の回路テクノロジに依存しない論理回路を特定のセルライブラリを用いた回路へ自動的に変換するテクノロジマッピング処理を行わせるプログラムを格納したコンピュータ読み取り可能な記憶媒体であって、コンピュータに、各セルに対するパターン相互の構造の包含関係に基づいてマッチング対象を絞り込ませる絞り込み手段を備えた記憶媒体によっても達成できる。
【0029】
前記絞り込み手段は、前記コンピュータに、マッチの求まらないパターンを包含するパターンに対するマッチングを省略させても良い。
前記絞り込み手段は、前記コンピュータに、入力及び出力におけるインバータの有無のみが異なるパターンを類似パターンと定義して各類似パターンのグループに対して1つの代表パターンを決定させ、記憶媒体は、該コンピュータに、前記代表パターンに対してのみマッチングを行わせるマッチング手段を更に備えても良い。
【0030】
前記マッチング手段は、前記コンピュータに、前記代表パターンに対するマッチをどのように変換すれば該代表パターンが属するグループ内の類似パターンに対するマッチになるかを示す変換マップに基づいて、該グループ内の類似パターンに対するマッチを求めさせても良い。
本発明によれば、大規模化及び複雑化する傾向にあるセルライブラリに対して効率良くマッチング処理を行うことが可能となる。
【0031】
【発明の実施の形態】
本発明では、各セルに対するパターン数の増加に対して計算時間が増加しないように、類似パターンに対するマッチングを同時に行う処理と、パターン相互の構造の包含関係を考慮してマッチング対象のパターンを絞り込む処理とを用いて、マッチングの回数自体を減らすようにしている。
【0032】
先ず、類似パターンの一括マッチングについて説明する。本明細書では、パターンの入力側及び出力側にインバータがあるかないかだけが異なるパターンを、類似パターンと呼ぶ。例えば、図1(b)に示すNAND2と、図1(h)、図2(a)及び図2(g)に示すAND2、NOR2及びOR2が類似パターンとなる。類似パターン同士の違いは、入力側及び出力側のインバータの有無だけであるため、これらの類似パターンのマッチングの結果の違いも同様にして、境界部分のインバータの有無だけとなる。
【0033】
上記インバータチェーンヒューリスティックを用いた場合、どのような場合であってもマッチの境界部分の前後に必ず1つはインバータが含まれることになる。そこで、類似パターンのうち、1つの類似パターンに対してマッチが求まれば、残りの類似パターンに対しても必ずマッチが求まることになる。
図9は、NAND2に対するマッチ及びその類似パターンに対するマッチを示す図である。同図(a)では、類似パターンはOR2及び出力側の1つのインバータからなる。又、同図(b)では、類似パターンはNOR2からなるか、或いは、AND2及び入力側の2つのインバータからなる。
【0034】
このように、類似パターンに対するマッチは、元のパターンに対するマッチの境界部分のインバータを含めたり外したりすることで求めることができるので、全く独立にマッチング処理を行う場合に比べて効率が良い。このような処理を行うために、類似パターンの中の代表パターンを1つ決めて、残りの類似パターンに対しては代表パターンに対する変換マップを用意する。変換マップには、代表パターンに対するマッチをどのように変換すれば自分の類似パターンに対するマッチになるかが記述されている。例えば、NAND2、AND2、NOR2及びOR2なる類似パターンの中のNAND2を代表パターンと定義すると、残りの類似パターンに対する変換マップは、反転(バー)を「*」で示すと、夫々AND2:{a→a,b→b,f→*f}、NOR2:{a→*a,b→*b,f→*f}、OR2:{a→*a,b→*b,f→f}となる。
【0035】
図9に示すマッチの場合、NAND2に対するマッチはa=3,b=4,f=5であるので、これにAND2に対する変換マップ{a→a,b→b,f→*f}を組み合わせると、fに対応する5の部分をその否定である6に変更すればAND2に対するマッチのa=3,b=4,f=6を得ることができる。
次に、パターン相互の包含関係を利用したパターンの絞り込みについて説明する。図1に示すパターンの中で、図1(c)及び図10(a)に示すNAND3のパターンは、図1(d)及び図10(b)に示すNAND4のパターンの出力部分に現われている。図10(b)では、NAND3のパターンが現われているNAND4のパターンの出力部分を太い実線で囲んである。本明細書では、このように他のパターンの出力部分を含んだ部分パターンとなっているパターンを、包含されたパターンと呼ぶ。包含されたパターンに対するマッチが存在しない場合には、包含するパターンに対するマッチも存在しない。
【0036】
そこで、この関係を利用して、任意のパターンに対するマッチが存在しないと、この任意のパターンを包含するパターンに対するマッチも存在しないことが判るので、この任意のパターンを包含するパターンに対するマッチングは試さないようにすることで、マッチング処理を行う回数を効果的に削減できる。このような処理を行うために、包含関係グラフを作成する。包含関係グラフは、各パターンに対応するノードと、包含関係にあるノード間に包含されているノード向きの枝とを有する。
【0037】
図11は、図1及び図2に示すセルの包含関係グラフの一部を示す図である。図11において、丸印はノードを示し、矢印は枝を示す。マッチングを行う際には、先ずこのような包含関係グラフ上の全てのノードに対してマークを付けておき、マッチが求まるとそのマークを消すという処理を行う。従って、あるノードが指している、即ち、包含している所定のノードにマークが付いたままであると、この所定のノード、即ち、パターンに対してはマッチが存在しなかったか、或いは、未だにマッチングが行われていないことを示す。そこで、マークが付いているノードに対応するパターンに対してはマッチングを行わないという規則を定めておけば、マッチが存在しないパターンを包含するパターンに対するマッチングは行われず、マッチング処理を高速化することができる。
【0038】
以下、図12以降と共に、本発明の実施例を説明する。
【0039】
【実施例】
図12は、本発明になるテクノロジマッピング方法の一実施例を実現するコンピュータシステムを示す斜視図である。同図に示すコンピュータシステムは、パーソナルコンピュータ等の汎用コンピュータシステムで構成されている。
図12に示すコンピュータシステム100は、CPUやディスクドライブ等を内蔵した本体部101、本体部101からの指示により表示画面102a上に画像を表示するディスプレイ102、コンピュータシステム100に種々の情報を入力するためのキーボード103、ディスプレイ102の表示画面102a上の任意の位置を指定するマウス104、外部のデータベース等にアクセスして他のコンピュータシステムに記憶されているプログラム等をダウンロードするモデム105等を備えている。ディスク110等の可搬型記録媒体に格納されるか、モデム105等の通信装置を使って他のコンピュータシステムの記録媒体106からダウンロードされるプログラムは、コンピュータシステム100に入力されてコンパイルされる。このプログラムは、コンピュータシステム100のCPUに本実施例のテクノロジマッピング方法によりデータを処理させるプログラムを含む。
【0040】
本発明になる記憶媒体の一実施例は、上記プログラムを格納したディスク110等のコンピュータ読み取り可能な記録媒体である。尚、記録媒体は、ICカードメモリ、フロッピィディスク、光磁気ディスク、CD−ROM、各種半導体メモリデバイス等の取り外し可能な記録媒体に限定されず、モデムやLAN等の通信装置や通信手段を介して接続されるコンピュータシステムでアクセス可能な記録媒体を含む。
【0041】
図13は、コンピュータシステム100の本体部101内の要部の構成を示すブロック図である。同図中、本体部101は、大略バス200により接続されたCPU201と、RAMやROM等からなるメモリ部202と、ディスク110用のディスクドライブ203と、ハードディスクドライブ204とからなる。
尚、コンピュータシステム100の構成は、図12及び図13に示す構成に限定されるものではなく、代わりに各種周知の構成を使用しても良い。
【0042】
図14は、テクノロジマッピング方法の一実施例を採用するCPU201のテクノロジマッピング処理を説明するフローチャートである。記憶媒体の一実施例は、CPU201に図14に示すテクノロジマッピング処理を行わせるプログラムを格納したディスク110等の記録媒体である。
図14において、ステップS11は、初期回路の包含関係グラフ上で試していないノードがあるか否かを判定し、判定結果がNOであると処理は終了する。他方、ステップS11の判定結果がYESであると、ステップS12は、包含関係グラフからノードを1つ取り出してvとする。ステップS13は、全ての代表パターンにマークを付ける。ステップS14は、包含関係グラフに枝が有り、且つ、マークの消されたパターンに対する枝のみを有する代表パターンがあるか否かを判定する。ステップS14の判定結果がNOであると、処理は上記ステップS11へ戻る。
【0043】
ステップS14の判定結果がYESであると、マークの消されたパターンに対する枝のみを有する代表パターンを1つ取り出してpとする。ステップS16は、ノードvにおけるパターンpに対するマッチを求め、ステップS17は、マッチがあったか否かを判定する。ステップS17の判定結果がNOであると、処理はステップS14へ戻る。他方、ステップS17の判定結果がYESであると、ステップS18は、変換マップを用いて類似パターンに対するマッチを求める。ステップS19は、パターンpのマークを消し、処理はステップS14へ戻る。
【0044】
次に、図1及び図2に示すセルライブラリのパターンに対して本実施例を適用する場合のデータ構造を説明する。
先ず、各々のパターンは、入力及び出力の反転で一致する類似パターンのグループに分類する。ここでは、各々のパターンを、以下の5グループの類似パターンに分類する。
・{NAND2,NOR2,AND2,OR2}
・{NAND3,NOR3}
・{NAND4,NOR4}
・{OAI21,AOI21}
・{OAI22,AOI22}
上記5グループの類似パターン中、各グループの先頭にあるパターンをそのグループの代表パターンとする。例えば、グループ{NAND2,NOR2,AND2,OR2}の場合、先頭のNAND2をこのグループの代表パターンとする。又、各グループにおいて、先頭の代表パターン以外の残りのパターンに対しては、代表パターン名と代表パターンに変換するための手順を記録した類似パターンの変換マップを作成しておく。図15は、類似パターンの変換マップの一実施例を示す図である。
【0045】
次に、各代表パターンに対して包含関係を調べて、包含しているパターン及び包含されているパターンを求め、代表パターン間の包含関係を示す包含関係テーブルを作成しておく。図16は、包含関係テーブルの一実施例を示す図である。図14に示すテクノロジマッピング処理では、ステップS18においてマッチを求める際に図15に示す如き類似パターンの変換マップ及び図16に示す如き包含関係テーブルを用いる。
【0046】
図17(a)に示す初期回路に対して図1及び図2の全てのパターンのマッチングを試す場合、従来のマッチング処理では初期回路の全ての節点1,2,3,...に対して全てのパターンに対するマッチングを順次行う必要があり、図17(a)に示す初期回路では節点数が12でパターン数が14であるため、全部で168回のパターンマッチングを行う必要がある。これに対し、本実施例では、図14に示す如きテクノロジマッピング処理を行うため、より少ない回数のパターンマッチングで従来と全く同じマッチング結果を得ることができる。
【0047】
ここで、説明の便宜上、本実施例において図14に示すテクノロジマッピング処理により、図17(a)に示す初期回路に対してパターンマッチングを調べる場合について説明する。
先ず、初期回路の節点1に対するマッチングを求める。
代表パターンNAND2,NAND3,NAND4,OAI21,OAI22にマークを付ける(ステップS13)。
【0048】
包含しているパターンがないか、即ち、包含関係グラフに枝が有り、且つ、マークの消されたパターンに対する枝のみを有する代表パターンがあるか否かを判定する(ステップS14)。
この場合、NAND2が、包含関係グラフに枝が有り、且つ、マークの消されたパターンに対する枝のみを有する代表パターンに該当する(ステップS15)。
【0049】
節点1に対するNAND2のマッチングを求めるが、マッチは存在しない(ステップS17)。
この場合、該当するパターンはNAND2以外にはないので、節点1に対するマッチングは終了する。
次に、初期回路の節点2に対するマッチングを求める。
【0050】
代表パターンNAND2,NAND3,NAND4,AOI21,AOI22にマークを付ける(ステップS13)。
包含しているパターンがないか、即ち、包含関係グラフに枝が有り、且つ、マークの消されたパターンに対する枝のみを有する代表パターンがあるか否かを判定する(ステップS14)。
【0051】
この場合、NAND2が、包含関係グラフに枝が有り、且つ、マークの消されたパターンに対する枝のみを有する代表パターンに該当する(ステップS15)。
節点2に対するNAND2のマッチングを求め、マッチが存在する(ステップS17)。つまり、節点2と節点f1、節点3と節点a1、節点14と節点b1がマッチする。
【0052】
NAND2の類似パターンに対するマッチングを変換マップを用いて生成する(ステップS18)。具体的には、NOR2のマッチングを求め、節点a1,b1,f1がいずれも否定されているので、元のマッチにインバータを含めたものを新たなマッチとし、節点1と節点f1、節点4と節点a1、節点15と節点b1が新たなマッチとなる。同様にして、AND2のマッチングを生成することで、節点1と節点f1、節点3と節点a1、節点14と節点b1が新たなマッチとなる。更に、OR2のマッチングを生成することで、節点2と節点f1、節点4と節点a1、節点15と節点b1が新たなマッチとなる。
【0053】
NAND2のマークを消す(ステップS19)。
NAND2のマークが消えたので、NAND2のみを包含しているNAND3及びOAI21が処理対象のパターンとなる(ステップS14)。
先ず、NAND3を取り出して(ステップS15)、節点2に対するNAND3のマッチングを求める(ステップS16)。この場合、節点2に対するNAND3のマッチングは存在しない(ステップS17)。
【0054】
次に、OAI21を取り出して(ステップS15)、節点2に対するOAI21のマッチングを求める(ステップS16)。この場合、節点2に対するOAI21のマッチングは存在する。尚、図2(e)に示すパターンOAI21では、内部のインバータチェーンを省略しているが、実際には図17(b)に示すようになっている。図17(b)中、a1〜h1は、節点を示すと共に入出力信号名としても使用するものとする。従って、節点7と節点a1、節点11と節点b1、節点14と節点c1、節点2と節点f1というマッチングと、節点18と節点a1、節点20と節点b1、節点3と節点c1、節点2と節点f1というマッチングとが得られる(ステップS17)。
【0055】
次に、OAI21の類似パターンに対するマッチングを求める(ステップS18)。具体的には、AOI21は全ての極性を判定すれば良いので、節点6と節点a1、節点10と節点b1、節点14と節点c1、節点1と節点f1というマッチングと、節点17と節点a1、節点19と節点b1、節点4と節点c1、節点1と節点f1というマッチングとが得られる。
【0056】
OAI21のマークを消す(ステップS19)。
OAI21のマークが消えると、今度はOAI22が処理の対象となる。
節点2に対するOAI22のマッチングを求める(ステップS16)。この場合、節点7と節点a1、節点11と節点b1、節点18と節点c1、節点20と節点d1、節点2と節点f1というマッチングが得られる。尚、節点18と節点a1、節点20と節点b1、節点7と節点c1、節点11と節点d1、節点2と節点f1というマッチングも存在するが、全く同じマッチであるので省略する。
【0057】
次に、類似パターンに対するマッチングを求める(ステップS18)。具体的には、AOI22は全ての極性を判定すれば良いので、節点6と節点a1、節点10と節点b1、節点17と節点c1、節点19と節点d1、節点1と節点f1というマッチングが得られる。
OAI22のマークを消す(ステップS19)。
【0058】
OAI22のマークが消えると、処理対象のパターンはもう存在しないので、節点2に対するマッチングが終了する。
このようにして、テクノロジマッピング処理が進み、節点2の場合、NAND3がマッチしないので、NAND4のマッチングは試されない。同様に、節点5に対するマッチングの場合、OAI21はマッチしないので、OAI22のマッチングは試されない。従って、本実施例では、マッチングを行う以前に、マッチングの求まる可能性のないことを判断し、無駄なマッチングを回避している。
【0059】
本発明者は、上記実施例及び上記従来例を4種類のセルライブラリに対して用い、2入力NANDノードに分解された状態で10990ゲートの論理回路に対してマッチング処理を実行する実験を行ったところ、図18に示す結果が得られた。
セルライブラリA〜Dについてもそうであるように、セルライブラリによってパターン数と代表パターン数の比が異なるものの、本実施例によると、従来例に比べてマッチング処理の処理時間が約数倍から15倍程度高速化できることが確認された。又、このマッチング処理の高速化の傾向は、セルライブラリのパターン数が増加するに従ってより顕著に現われ、本発明が特に大規模なセルライブラリに対して非常に有効であることも確認できた。
【0060】
以上、本発明を実施例により説明したが、本発明は上記実施例に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能であることは言うまでもない。
【0061】
【発明の効果】
本発明によれば、大規模化及び複雑化する傾向にあるセルライブラリに対して効率良くマッチング処理を行うことが可能なテクノロジマッピング方法及び記憶媒体を実現できる。
【図面の簡単な説明】
【図1】セルライブラリのセルとセルの分解パターンの一例を示す図である。
【図2】セルライブラリのセルとセルの分解パターンの一例を示す図である。
【図3】ANDゲート及びORゲートの分解を説明する図である。
【図4】分解された初期回路の一例を示す図である。
【図5】図4に示す初期回路に対して部分回路を割り当てる一例を説明する図である。
【図6】図4に示す初期回路に対して部分回路を割り当てる一例を説明する図である。
【図7】典型的なマッチング処理を示すフローチャートである。
【図8】インバータチェーンヒューリスティックによりマッチを見つける場合を説明する図である。
【図9】NAND2に対するマッチ及びその類似パターンに対するマッチを示す図である。
【図10】パターン相互の包含関係を利用したパターンの絞り込みについて説明する図である。
【図11】図1及び図2に示すセルの包含関係グラフの一部を示す図である。
【図12】本発明になるテクノロジマッピング方法の一実施例を実現するコンピュータシステムを示す斜視図である。
【図13】コンピュータシステムの本体部内の要部の構成を示すブロック図である。
【図14】テクノロジマッピング方法の一実施例を採用するCPUのテクノロジマッピング処理を説明するフローチャートである。
【図15】類似パターンの変換マップの一実施例を示す図である。
【図16】包含関係テーブルの一実施例を示す図である。
【図17】初期回路に対してパターンマッチングを調べる場合を説明する図である。
【図18】マッチング処理の実験結果を示す図である。
【符号の説明】
100 コンピュータシステム
101 本体部
110 ディスク
201 CPU
202 メモリ部

Claims (4)

  1. コンピュータのプロセッサにより特定の回路テクノロジに依存しない論理回路を特定のセルライブラリを用いた回路へ自動的に変換するテクノロジマッピング方法であって、
    入力及び出力におけるインバータの有無のみが異なるパターンを類似パターンと定義して各類似パターンのグループに対して1つの代表パターンが予め決定されており、
    各セルに対するパターン相互の構造の包含関係を示す包含関係テーブルを参照して、該論理回路のマッチング対象となる代表パターンを絞り込む絞り込みステップと、
    絞り込みステップで絞り込まれた代表パターンに対してのみマッチングを行うマッチングステップとを含み、
    該マッチングステップは、該絞り込まれた代表パターンに対するマッチをどのように変換すれば該絞り込まれた代表パターンが属するグループ内の類似パターンに対するマッチになるかを示す変換マップを参照して、該絞り込まれた代表パターンが属するグループ内の類似パターンに対するマッチを求める、テクノロジマッピング方法。
  2. 前記絞り込みステップは、マッチの求まらない代表パターンを包含する代表パターンに対するマッチングを省略する、請求項1記載のテクノロジマッピング方法。
  3. コンピュータのプロセッサに、特定の回路テクノロジに依存しない論理回路を特定のセルライブラリを用いた回路へ自動的に変換するテクノロジマッピング処理を行わせるプログラムを格納したコンピュータ読み取り可能な記憶媒体であって、該プログラムは、
    入力及び出力におけるインバータの有無のみが異なるパターンを類似パターンと定義して各類似パターンのグループに対して1つの代表パターンが予め決定されており、
    該コンピュータのプロセッサに、各セルに対するパターン相互の構造の包含関係を示す包含関係テーブルを参照させて、該論理回路のマッチング対象となる代表パターンを絞り込ませる絞り込み手順と、
    該コンピュータのプロセッサに、該絞り込み手順で絞り込まれた代表パターンに対してのみマッチングを行わせるマッチング手順とを含み、
    該マッチング手順は、該コンピュータのプロセッサに、該絞り込まれた代表パターンに対するマッチをどのように変換すれば該絞り込まれた代表パターンが属するグループ内の類似パターンに対するマッチになるかを示す変換マップを参照させて、該絞り込まれた代表パターンが属するグループ内の類似パターンに対するマッチを求めさせる、記憶媒体。
  4. 前記絞り込み手順は、前記コンピュータのプロセッサに、マッチの求まらない代表パターンを包含する代表パターンに対するマッチングを省略させる、請求項3記載の記憶媒体。
JP22304098A 1998-08-06 1998-08-06 テクノロジマッピング方法及び記憶媒体 Expired - Fee Related JP3949286B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP22304098A JP3949286B2 (ja) 1998-08-06 1998-08-06 テクノロジマッピング方法及び記憶媒体
US09/739,746 US6625799B2 (en) 1998-08-06 2000-12-20 Technology mapping method and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22304098A JP3949286B2 (ja) 1998-08-06 1998-08-06 テクノロジマッピング方法及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2000057176A JP2000057176A (ja) 2000-02-25
JP3949286B2 true JP3949286B2 (ja) 2007-07-25

Family

ID=16791910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22304098A Expired - Fee Related JP3949286B2 (ja) 1998-08-06 1998-08-06 テクノロジマッピング方法及び記憶媒体

Country Status (2)

Country Link
US (1) US6625799B2 (ja)
JP (1) JP3949286B2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6854097B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US6854098B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US6990650B2 (en) 2002-01-31 2006-01-24 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7076760B2 (en) * 2002-01-31 2006-07-11 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US7100143B2 (en) * 2002-01-31 2006-08-29 Cadence Design Systems, Inc. Method and apparatus for pre-tabulating sub-networks
US6848086B2 (en) * 2002-01-31 2005-01-25 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7383524B2 (en) 2002-01-31 2008-06-03 Cadence Design Systems, Inc Structure for storing a plurality of sub-networks
US7024639B2 (en) * 2002-01-31 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US6895562B2 (en) * 2002-08-27 2005-05-17 Agilent Technologies, Inc. Partitioning integrated circuit hierarchy
US20050066109A1 (en) * 2003-09-23 2005-03-24 Veazey Judson E. Method and apparatus for designing a computer system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0469773A (ja) 1990-07-10 1992-03-04 Nec Corp 論理合成システム
JPH04127275A (ja) 1990-09-19 1992-04-28 Fujitsu Ltd Lsi論理回路自動合成における組合せ回路のテクノロジーマッピング方式
JPH0561937A (ja) 1991-09-03 1993-03-12 Nec Corp 論理回路の自動合成方式
US5521835A (en) * 1992-03-27 1996-05-28 Xilinx, Inc. Method for programming an FPLD using a library-based technology mapping algorithm
US5787010A (en) * 1992-04-02 1998-07-28 Schaefer; Thomas J. Enhanced dynamic programming method for technology mapping of combinational logic circuits
JPH06149930A (ja) 1992-11-10 1994-05-31 Nippon Telegr & Teleph Corp <Ntt> 論理回路置換可否判断方法およびテクノロジマッピング装置

Also Published As

Publication number Publication date
US20010013113A1 (en) 2001-08-09
JP2000057176A (ja) 2000-02-25
US6625799B2 (en) 2003-09-23

Similar Documents

Publication Publication Date Title
US5349659A (en) Hierarchical ordering of logical elements in the canonical mapping of net lists
US6304790B1 (en) System design/evaluation CAD system and program storage medium
US5493504A (en) System and method for processing logic function and fault diagnosis using binary tree representation
US7853917B2 (en) System for building binary decision diagrams efficiently in a structural network representation of a digital circuit
US6560758B1 (en) Method for verifying and representing hardware by decomposition and partitioning
US6598215B2 (en) Datapath design methodology and routing apparatus
JP3949286B2 (ja) テクノロジマッピング方法及び記憶媒体
EP0259703A2 (en) Rule structure for insertion of new elements in a circuit design synthesis procedure
JPH0877221A (ja) 消費電力を低減する有限状態マシンを設計するシステム及び方法
US7000206B2 (en) Timing path detailer
US6397370B1 (en) Method and system for breaking complex Boolean networks
US7095251B2 (en) Clock gating for synchronous circuits
Wurth et al. Functional multiple-output decomposition with application to technology mapping for lookup table-based FPGAs
US6505340B2 (en) Circuit synthesis method
Chang et al. Post-placement rewiring and rebuffering by exhaustive search for functional symmetries
US20030187815A1 (en) Symbolic simulation driven netlist simplification
Fujita et al. Delay estimation and optimization of logic circuits: a survey
Chen et al. JRouter: A multi-terminal hierarchical length-matching router under planar Manhattan routing model for RSFQ circuits
US7131090B2 (en) Clocked gating based on measured performance
Ranjan et al. Dynamic reordering in a breadth-first manipulation based BDD package: Challenges and solutions
US20040153977A1 (en) Clock gating for hierarchical structure
Karplus ITEM: an if-then-else minimizer for logic synthesis
Miller et al. QMDD Minimization using Sifting for Variable Reordering.
KR20240037159A (ko) 출력 위상 조정을 위한 복합 로직 셀을 생성하는 방법 및 이를 생성하는 컴퓨팅 시스템
Chowdhary et al. A methodology for synthesis of data path circuits

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040720

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040831

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050120

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20050225

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070319

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070418

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees