JP3691784B2 - Low frequency induction type high frequency plasma reactor - Google Patents

Low frequency induction type high frequency plasma reactor Download PDF

Info

Publication number
JP3691784B2
JP3691784B2 JP2001347159A JP2001347159A JP3691784B2 JP 3691784 B2 JP3691784 B2 JP 3691784B2 JP 2001347159 A JP2001347159 A JP 2001347159A JP 2001347159 A JP2001347159 A JP 2001347159A JP 3691784 B2 JP3691784 B2 JP 3691784B2
Authority
JP
Japan
Prior art keywords
plasma
faraday shield
reaction chamber
split faraday
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001347159A
Other languages
Japanese (ja)
Other versions
JP2002237489A (en
Inventor
エドワード サバス スティーブン
Original Assignee
マトソン テクノロジー,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マトソン テクノロジー,インコーポレイテッド filed Critical マトソン テクノロジー,インコーポレイテッド
Publication of JP2002237489A publication Critical patent/JP2002237489A/en
Application granted granted Critical
Publication of JP3691784B2 publication Critical patent/JP3691784B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は一般にウエハ加工システムに関し、特にプラズマが主として誘導結合された電力によって発生するウエハ加工プロセス用のプラズマ反応装置に関する。
【0002】
尚、図面において、参照番号の最初の数字は、その参照番号によって示された構成要素(部材)が表れている最初の図面を示している。
【0003】
【従来の技術】
プラズマエッチングや蒸着は、異方性があり、化学的に選択性があり、しかも熱力学的平衡から離れた条件下で加工を行うことができるので、回路製作におけるプラズマエッチングや蒸着は、魅力的な方法である。異方性プロセスはマスキング層の縁からほぼ垂直に延びた側壁を有する集積回路パターンの作製を可能とする。このことは、エッチング深さ、パターン幅及びパターン間隔が全て同等である現在及び将来のULSI装置においては重要である。
【0004】
図1には、典型的なウエハ加工用のプラズマ反応装置10が示されている。この反応装置には、プラズマ反応室12を囲む絶縁被覆された金属壁11が設けられている。壁11は接地されており、プラズマ電極の一方の側として機能する。ガス供給源13から反応室12にガスが供給されており、そのガスは、プラズマプロセスに適切な低圧状態を持続するために、当該反応装置からガスを強制排気する排気システム14によって排気される。
【0005】
第2の電極16に接続された高周波電源15は、反応室12内のプラズマに静電的に電力を供給する。加工のため、ウエハ17は電極16上又はその近傍に配置される。ウエハ17は、スリットバルブ18のようなポートを介して反応室12内に搬入され、又、反応室12から搬出される。
【0006】
プラズマ反応装置には、13.56MHzの高周波電源(RF電源)が広範に用いられている。というのも、この周波数は、ISM基準周波数(ISMとは、工業、科学、医療の分野を意味する)であるからであり、ISM基準周波数の政府規制放射限度は、非ISM周波数、特に通信帯域の周波数における場合よりも、規制が緩やかだからである。このISM基準のために、その周波数で利用される設備が多いため、更に13.56MHzの全世界的な使用が助長されている。他のISM基準周波数は、27.12MHzと40.68MHzであり、これらは13.56MHzのISM基準周波数の第1次、及び第2次ハーモニクスである。
【0007】
プラズマは質的に異なった二つの部位、即ち、準中性で等電位の伝導性プラズマ体19とプラズマシース(plasma sheath)と呼ばれている境界層110とからなっている。プラズマ体は、ラジカルや安定中性分子はもちろん、ほぼ同じ濃度の負電荷及び正電荷を帯びた分子からなっている。反応室に供給された高周波電力は、自由電子にエネルギーを供給する。そして、これら自由電子の多くに十分なエネルギーを伝え、その結果、この電子がガス分子と衝突することによってイオンが生成される。プラズマシースとは、空間ポテンシャル(即ち、電界強度)の勾配が大きく、かつ、電子が不足した伝導性の低い領域である。かかるプラズマシースは、プラズマ体と、プラズマ反応室の壁や電極のような界面との間に生成する。
【0008】
電極が高周波電源に静電的に結合されると、この電極における電圧の負極側の直流成分Vdc(即ち、直流バイアス)が生じる(例えば、H.S.バトラー及びG.S.キング 流体物理学、6巻、1348頁(1963年)を参照)。このバイアスは、不均衡な電子及びイオンの移動性と、電極及び壁面におけるシースキャパシタンス(静電容量)の不均等の結果である。シースキャパシタンスの大きさは、プラズマ室の形状及び該室内における電極と壁との相対面積と同様に、プラズマ濃度の関数となる。電極における数百ボルト程度のシース電圧が一般に作られている(例えば、J.コバーン及びE.ケイ、高周波ダイオードグロー放電スパッタリングにおける基質の正イオン衝撃 応用物理誌、43巻4965頁(1972年)を参照のこと)。
【0009】
パワー供給された電極におけるシース電位の直流成分は、イオンをその電極に対してほぼ垂直方向に、より高いエネルギー状態まで加速するのに有益である。それ故、プラズマエッチングプロセスにおいては、陽イオンの束がウェハ面に対してほぼ垂方に投射されるように、エッチングされるべきウエハ17が当該電極上又は僅かにその上方に配置される。これにより、ウエハの非保護域のほぼ垂直なエッチングを可能としている。商業的エッチングプロセスに要求されるエッチング速度(以下、「エッチレート」という)を生み出すには、幾つかのプロセス(シリカ(SiO2)のエッチング等)において、このような高いシース電圧(及び高い放電電圧)が不可欠である。
【0010】
【発明が解決しようとする課題】
最新のMOS集積回路におけるトランジスタ速度仕様と高集積度は、浅い接合を用いることと、数千オングストロームの厚さのポリシリコンゲート下における薄い(10ナノメートル程度)のゲート酸化物を要求する。残念なことに、そのようなIC構造は、図1の従来のプラズマエッチング装置におけるような高エネルギー(100電子ボルトを超えるエネルギー)イオンによる衝撃に敏感であるため、ゲートを形成するポリシリコン層のエッチング工程の間、ゲート酸化物の損傷を避けるのが難しくなっている。イオンエネルギーと関連するシース電圧の減少に伴って、ウエハ損傷は減少するので、より少ない放電パワーレベルと放電電圧において操作することは有利となろう。しかしながら、13.56MHzの静電結合電力では、電圧の低下は、多くのプロセスにおけるエッチレートを比例的に低下させる結果となり、そのためにプロセスの効率を大きく低下させる。
【0011】
シリカ及びある種の珪素のエッチングプロセスにおけるエッチレートは、プラズマからウエハに伝達されるイオン衝撃電力密度の関数である。この電力は電極のシース電圧とウエハのイオン流密度との積に等しいので、低減されたシース電圧においてほぼ一定したエッチレートを維持するためには、ウエハのイオン流密度は増大されねばならない。このことは、ウエハ近くのプラズマイオン密度を増やすことを要求する。残念ながら、従来のプラズマエッチング装置では、電極のシース電圧と電極近くのイオン密度とは相互に比例的であり、かつ、それらは電極に印加される高周波電圧の振幅に単調増加な関数である。
【0012】
このように、高周波信号の電圧を低くすることによってシース電圧が減少されるならば、ウエハにおけるイオンビームの電流密度もまた減少し、それによって、シース電圧又はイオン電流における場合よりもエッチレートにおける更なる割合の減少を生じさせる。それ故、商業的に十分なエッチレートを有するソフトエッチングプロセス(ウエハにおける低いシース電圧を有するエッチングプロセス)が実行されるためには、ウエハのシース電圧とイオン密度とを独立して調節可能であることが有利となろう。
【0013】
ウエハ近くのプラズマイオン密度を高めることでエッチレートを増大させる1つの方法は、磁石を利用してウエハの近傍に電子をトラップする磁気的な閉じ込め場を作り、それにより、ウエハにおけるイオン生成率と関連する密度を増やすことである。磁気的な閉じ込め場は、磁力線の周りの螺旋軌道に沿って活性電子をうず巻き状に進ませることにより、活性電子を閉じ込めている。
【0014】
あいにく、例えば「磁気強化された」プラズマエッチングシステムの磁気的な閉じ込め場の不均一性によって、ウエハ表面でのエッチレートの均一性が減じられている。シース内及びその近傍の電場によるE(電場)×B(磁場)ドリフトはまた、そのようなシステムにおけるエッチレートの均一性を減少させる。かかるシステムにおけるウエハ表面上の均一性を改善するために、ウエハは、電極面に垂直かつその面の中心となる軸線の周りに回転される。これは、ウエハ上の改善された平均均一性を有する円筒対称な時平均場をウエハに生じさせ、それにより、エッチングの均一性の向上が図られる。しかしながら、かかる回転は、微粒子を生じさせて汚染を増大させる好ましからぬ機械的な動きをプラズマ室内に生じさせる。
【0015】
低いイオン衝撃エネルギーでの許容できる程度のエッチレートを生じさせる可能性のあるもう一つの技術は、最近開発された電子サイクロトロン共鳴プラズマ生成法である。この技術には、ウエハのクリーニング、エッチング、及び蒸着プロセスに対する適用事例がある。この技術においては、マイクロ波電源と磁気的な閉じ込め構造を用いてプラズマが生成される。残念ながら、エッチングあるいは化学蒸着法に適用された場合、この方法は、高レベルで微粒子を生成し、放射方向へのエッチレートの均一性が低く、しかも低効率である。
【0016】
ラジカルの生成に振り向けられるエネルギーの割合は、約0.13パスカルを超えると急激に増大するので、このシステムの圧力はそのレベル以下に保たなければならない。これは、(1):非常に高速の排気速度(毎秒3,000リットル以上であって、これは普通のタイプの10倍の体積である)を有し、かつこのプロセスに要求される極低圧(0.013〜0.13パスカル)を生み出す真空ポンプシステムと、(2):時として大きな電磁石を含む巨大な磁気的閉じ込めシステムと、を含んでなる高価な装置を必要とする。
【0017】
更に、イオン密度を高める別の技術は、ウエハ上少なくとも10センチメートルの領域にイオンを発生させるマイクロ波プラズマ発生装置を使用するものである。これらイオンは、ウエハ上の空間に流れ込み、ウエハのイオン密度に貢献する。しかしながら、この方法は、多量の自由ラジカルを生成させる傾向にあり、1平方センチメートル当たりほんの数ミリアンペアのイオン流密度をウエハに発生させるだけである。
【0018】
ジョセフ・フレジンガー及びホースト・W.ローブによる「融合炉用の中性分子注入器 RIG」原子核エネルギー・核技術(Atomkernenergie−Kerntechnik)、44巻(1984年)No.1,81〜86頁では、粒子の中性ビームを発生させて、トカマク融合炉のエネルギー生成における均衡点を設けるために必要とされている追加のパワー量を供給している。このビームは、誘導結合電力によってイオンビームを発生させることと、融合炉内に入る前にガスを通過させることにより、そのビームを中性化することによって作られる。そのイオンビームは、この出願における高周波フィールドの代わりに直流フィールドによって抽出されている。
【0019】
J.フレジンガーらの「反応性ガスの物質プロセスのための高周波イオン電源と題された論文(ガス放電とその応用についての第9回国際会議 1988年9月19〜23日)に示された反応装置においては、電子を加熱するためにパワーが反応室内に供給されており、ウエハヘのイオンビームは高周波フィールドによる代わりに直流フィールドによって発生されている。
【0020】
【課題を解決するための手段】
説明された好ましい実施例に基づいて、プラズマ反応装置が示されている。そのプラズマ反応装置においては、低周波(0.1〜6MHz)の高周波電源(RF電源)がウエハを保持する電極近傍のガスのイオン化エネルギーを供給するためにプラズマに誘導的に結合されており、しかも、より低電力の高周波電圧が電極に印加されて、その電極上のウエハのイオン衝撃エネルギーを制御している。ウエハは、加工のために、この電極表面又はその直上方に配置される。
【0021】
このプラズマ反応装置は、低周波RF電源につながれた誘導コイルによって取り囲まれた非伝導性の反応室壁を備えている。スプリットファラデーシールドは誘導コイルと反応装置の側壁との間に配置され、その反応装置を取り囲んで、誘導コイルとプラズマ反応装置との間における変位電流(displacement current)の発生をほぼ取り除いている。実際に、このシールドは、低周波RF電場のプラズマヘの電気的結合を大幅に削減する(J.L.ボッセンによる「プラズマエッチング及びプラズマ蒸着におけるグロー放電現象」と題する論文 電気化学会誌 固体状態の科学と技術126巻No.2 1979年2月 319頁)。その結果、反応装置壁のイオン衝撃エネルギー、並びに、反応装置壁の関連するエッチング及びスパッタリングがほぼ除かれ、低周波におけるウエハシース電圧の変調が低減される。
【0022】
このファラデーシールドは、プラズマとシールドとの間のキャパシタンス(静電容量)を変えることができるように、移動可能となっている。このファラデーシールドはほぼ反応室外壁に接触配置され、ウエハ加工プロセスの間、高キャパシタンスを生み出している。これは高周波プラズマ電位を減少させ、それによって反応装置の壁のプラズマエッチングを減少させている。ファラデーシールドと反応室壁との間おける、低減されたキャパシタンスを生み出すところの増大した間隔は、増大した高周波・時平均プラズマ電位レベルを生み出すためにウエハエッチング時以外でも利用可能であり、これにより、エッチングレベルを制御した状態で反応装置壁の浄化を可能とするより高いイオン衝撃エネルギーを生じる。
【0023】
好ましくは、そのファラデーシールドはキャパシタンスを変えるために半径方向に移動されるが、キャパシタンスはまたファラデーシールドの垂直方向への移動によっても変え得る。そのシールドを垂直方向に移動可能とした態様においては、当該シールドは、反応室と各誘導コイルとの間に存在しなくなるほど垂直方向へ移動することを許容されるべきでない。反応装置の壁によって提供される有効な高周波接地電極へのプラズマのキャパシタンスを増大させるために、伝導性シートが反応室の上部に含まれてもよい。このプレートもまた、プラズマ体とファラデーシールドのこの部分との間のキャパシタンスを変えるために、移動可能であってよい。
【0024】
電子を反応室壁から離れて閉じ込めることによって、低圧力でのイオン発生を促進するために直流磁場が含まれてもよい。低圧下において電子は、反応室壁との衝突により反応室からのロス比率を増加させる、増大した平均自由行程を有する。この磁場は、壁との衝突前に反応室内でのイオン化衝突の割合を増加させる螺旋状行路内に電子を進入させる。
【0025】
電子をプラズマ内へ跳ね返すために、反応室の上部近くほど強くなっている分散磁場が含まれてもよく、これにより、反応室壁の上部での電子の減損を防ぐことができる。この磁場は(反応室上部近くでは数万分の1テスラ程度)、反応室上部に配置され、かつ互い違いの磁場方向を有する永久磁石の配列によってか、直流電流が流れているソレノイドコイルによってか、あるいは、強磁性のディスクによって発生される。
【0026】
誘導結合された高周波電力は、反応室の大きさに応じて、0.1〜6MHzの範囲の周波数で10kWのレベルまで供給される。電極に印加される電圧はイオンが電極のシースを横切る平均時間の逆数よりも高い周波数にある。この電圧信号の周波数fhの好ましいものは、全てのISM標準周波数、即ち、13.56MHz,27.12MHz,40.68MHzである。それほど広く分散されないイオン衝撃エネルギーを生じるために、より高密度のプラズマには、より高い周波数が必要とされるであろう。
【0027】
電極のシースは、ほぼウエハ面に対して垂直な強い電場を持っており、それにより、ほぼ垂直なイオン衝撃と、ほぼ垂直な又は制御されたテーパーなウエハエッチングが生み出される。電極に提供される静電結合電力の量は、プラズマヘ誘導的に供給される電力よりもずっと少ない。それ故、ウエハにおけるイオン電流の平均は、第一義的には誘導結合電力によって決定される。そして、ファラデーシールドにより、ウエハにおける平均イオンエネルギーは、電極への高周波信号(rf信号)の振幅だけの関数にほぼなる。
【0028】
これとは対照的に、図1に示される典型的なプラズマ反応装置においては、平均イオン密度(一般には幾分低い)とエネルギーの双方は、電極への高周波信号の振幅によって制御される。それ故、誘導結合された反応装置は、シース電圧を減少させ、イオン密度を高くすることを可能にする。また、シース電圧とイオン密度は別々に変えられ得る。結果として、商業的にみて受け入れ可能なエッチレートでのソフトエッチングが達成され、そのソフトエッチングは、100電子ボルト程度かそれ以上の衝突エネルギーを有するイオンによって損傷され得る最近の型の集積回路を損傷させることがない。
【0029】
誘導結合されたプラズマ反応装置における電磁場は、ウエハ上における非常に均一なプラズマイオン密度分布を生じ、非常に均一なウエハ加工プロセスを実現する。誘導的に発生させた電場はほぼ円筒状であり、それ故、反応装置の側壁にほぼ平行に電子を加速する。プラズマの伝導性のために、この電場の強さは前記側壁から離れて急速に減少し、電子加速がその側壁近くの領域で主として起こる。
【0030】
電子が速度を増すに従い、その慣性によって、分子との一連の弾力衝突、及び/又は、側壁のシースとのかすめ接触を包含する軌道が描かれる。そのような衝突や接触は、電子をプラズマ体の中へはじきとばす。このことは、壁の近傍のみにおいて有意義な電子加速を生ずる結果となるが、また反応室中のいたるところでイオンを発生させることとなる。これら電子及びイオンの拡散、並びに電子の放射状E×Bドリフトは、ウエハの近傍において、非常に均一な密度を有する放射対称なイオン密度を生じる。側壁近くで電子がエネルギーを得る領域から離れた電子の散乱を促進するために、反応室内は、低圧(一般に0.13〜3.9パスカル程度)に保たれる。
【0031】
このデザインはまた、電力をイオンの生成に結び付ける上で非常に有効であり、それ故、プラズマ中のイオンによって行なわれるウエハ加工プロセス用の他の反応装置を超越して重要な利点を提供している(例えば、J/フレジンガーらによる「反応性ガスでの材料加工用のRFイオン電源 RIM10」と題された論文 ガス放電とその応用についての第9回国際会議 1988年9月19〜23日を参照)。この重要性は下記に述べる通りである。
【0032】
プラズマヘの高周波電力は、中性のラジカル、イオン、自由電子、並びに、自由電子による分子及び原子の励起状態を作る。反応性イオンによる垂直エッチングは、高周波電力の多くをイオン生成へ振り向ける反応室には好都合である、過剰なラジカル集中のために、ラジカルによるウエハ表面での反応は、目的とされる製作加工プロセスにとって有害となり得るので、プラズマによる自由ラジカルの相対生成を減少させることは、多くの応用事例において有益である。それ故、反応性イオンエッチングプロセス、又は、高イオン集中によって好都合となるか、あるいは重大な自由ラジカル集中によって品位を落とされる他のプロセスに対して、このプラズマ反応装置は特に適している。
【0033】
この反応装置はまた、従来のプラズマ反応装置よりもずっと少ない静電結合電力を必要とするに過ぎない。このシステムは、全電力が静電的に結合されている従来のプラズマ反応装置用の500〜1000ワットに対して、数百ワット程度の高周波電力を用いている。このシステムはまた、イオン流とイオン衝突エネルギーとを別個に制御する能力を備えている。
【0034】
図1に示す従来のプラズマ反応装置では、電極16に印加される高周波信号の振幅は、プラズマ内のイオン密度だけでなく、その電極のシース電圧をも制御する。ソフトエッチング(即ち、ウエハのイオン衝撃エネルギー100ボルト程度かそれ以下)を達成するためには、静電的に印加される高周波電力は、そのような反応装置において伝統的に用いられてきた電力よりも低くされるべきである。
【0035】
残念ながら、この静電印加電力の減少は、このシースを横切っての電圧降下を減少させるだけでなく、このシースでのイオン密度をも低下させる。電極に対する高いRF電圧下においてさえ、そのような静電結合電力は相対的に低いイオン密度だけを生じさせる。ウエハエッチレートは、このシースにおけるイオン密度と、そのシースを横切っての電圧降下との積に比例するため、ウエハエッチレートは、これら2つのパラメータのいずれかよりも速く減少する。このように、ソフトエッチングは、商業的な集積回路の作製プロセスと両立し難い効率の減少を生む。
【0036】
このシステムにおけるシース電圧が電極に印加される高周波信号の振幅に拘束されることは、図2及び図3を参照して示され得る。高周波電源15と電極16との間にあるコンデンサー21は、このシース電圧が直流成分を持つことを可能とする。この直流成分は、電極の不均等な領域と、電子及びイオンの不均等な移動性との相乗効果によって生み出されたものである。各プラズマシースは、抵抗体、コンデンサー及びダイオードの並列的な組合せに電気的に等価である。シースを横切る電場は、104オーム程度の大きなシース抵抗を生じるシース領域の外へ、ほとんどの電子をはじきとばす。
【0037】
周波数を増加させる関数としてのシースインピーダンスの静電成分は、約500kHzにおいて意義を有する程度に十分に小さくなると共に、その周波数以下では無視され得る。500kHzを超える周波数では、シース抵抗は非常に大きく、それは無視できる。これは、静電結合された電力の周波数における高周波シース電圧成分の場合である。
【0038】
図2の等価回路において、プラズマ及びシース内のイオンよりもずっと大きい電子の移動性の影響は、ダイオード24,28によって模式化されている。このように、もし仮にプラズマがそのプラズマに近接した全ての電極に関して負となるならば、プラズマ中の電子はその電極に対して効果的に短絡するだろう。故に、シースインピーダンスは、要素22〜24及び26〜28によって模式化される。プラズマ休は、電極に印加されるRF電圧に用いられる高い周波数fh(好ましくは、ISM周波数13.56MHz,27.12MHz又は40.68MHzの一つである)において無視され得る低インピーダンスの抵抗25として模式化される。
【0039】
図3は、電極に印加される周波数fhの220ボルトピーク対ピーク高周波信号31、プラズマの結果電圧32、及び電極のシース電圧36の間の関係を示す。シースキャパシタンスCS1及びCS2は、静電結合電力の周波数fhにおいて顕著(優勢)であるので、抵抗RS1及びRS2は無視され得ると共に、信号31の各周期における短区間を除いて、ダイオード24及び28は無視され得る。故に、最も働く条件下では、プラズマ等価回路は静電ディバイダーに換算されて、プラズマ電位VPとキャパシタンスCS1及びCS2を横切る電圧の高周波成分はほぼ位相内にあり、大きさはVP=Vrf・CS2/(CS1+CS2)で表される。
【0040】
電極面積の数倍の壁面積がある典型的な反応装置では、壁でのシースキャパシタンスCS2は、電極でのシースキャパシタンスCS1の10倍程度である。それ故、220ボルトピーク対ピーク高周波信号31にとっては、プラズマ電位VPがピーク対ピーク20ボルト程度になる。信号31及び32は位相内にあるので、信号32のピーク33は信号31のピーク34と並んでいる。ダイオード24のために、信号31と32の最小電圧差(各ピーク34において起こる)は、kTe/e程度なる。同様に、プラズマの反応装置壁への短絡を防ぐために、VPはグランド35よりも正極側で少なくともkTe/eはなければならない。
【0041】
これらの様々な条件により、電極(即ち、高周波信号31の直流成分)の平均シース電圧36は、ほぼ−90ボルトとなる。シース電圧の直流成分は、−Vrf・CS1/(CS1+CS2)/2にほぼ等しく、ここで、Vrfは高周波電圧のピーク対ピーク強度である。高周波信号の電場成分はほぼ電極に対して垂直であるため、シース電圧は高周波信号強度と共に直接的に変化する。このことは、電圧31の直流成分36が電極に印加される高周波電圧のピーク対ピーク振幅と直接的に関係があることを意味する。
【0042】
従来のプラズマ反応装置の電極でのイオン流密度は、電力低下と共に低下するプラズマ中のイオン密度に比例しており、シース電圧を下げるために高周波電圧の振幅が小さくされると、電流密度も低下することになる。それ故、図1のプラズマ反応装置では、よりソフトなエッチングを行うために電圧が下げられた時でも、エッチング電力を維持するためにウエハでの電流密度を高くすることはできない。
【0043】
電極でのシースを横切る電圧降下は、印加される高周波信号31とプラズマの電圧32との間の差に等しい。この電圧降下は、0ボルトから約−220ボルトの範囲で変化する。イオンが、高周波信号の1/fhの周期に比して短い時間間隔でこのシースを通過したとしても、高周波信号31のピーク34付近のシースを通過するならば、その衝撃エネルギーはほぼ0になる。そのような低エネルギー衝撃イオンは、必ずしもウエハ面にほぼ垂直な軌跡を描くとは限らず、それ故、目的とするウエハの垂直エッチングを低下させ得る。
【0044】
従って、周期1/fhが、イオンがこのシースを通過する平均時間の半分を超えないことが重要である。この通過時間は50万分の1秒程度かそれより短いため、fhは少なくとも4MHzはなければならない。より高いイオン密度及び低シース電圧のためには、周期1/fhは、0.1マイクロ秒(μs)以下になる。ISM周波数に関するゆるやかな規制故に、fhはISM周波数である13.56MHz,27.12MHz,40.68MHzのうちの1つに等しいことが好ましい。
【0045】
【発明の実施の形態】
図4には、シース電圧及びウエハでのイオン流密度を独立して調整できるプラズマ反応装置が示されている。この反応装置はまた、ウエハにおけるイオン流密度及び電圧の非常に均一な分布を生じると共に、純粋に静電的ないし、より高周波の誘導放電に関するプラズマ中でのイオン生成速度と自由ラジカル生成速度との間の比率を引き上げることを可能とする。故に、このシステムは、自由ラジカルに対するイオンの比率が大きい応用事例には特に有益である。
【0046】
べース40上には、プラズマ反応室50(図5参照)を囲んでいる円筒形の反応室壁41がある。反応室壁41は7〜30センチメートルの高さであり、加工されるウエハの直径に依存する側方直径を有している。直径15センチのウエハの加工システムにあっては、この反応室壁は25〜30センチ程度の側方直径を有し、直径20センチのウエハの加工システムにあっては、この反応室は30〜38センチの側方直径を有する。反応室壁41は、石英やアルミナのような非伝導性物質からなっている。
【0047】
包囲している壁41は、伝統的なインピーダンス整合回路又はトランス(変圧器)44を介して第1の高周波電源43(以下、「RF電源」という)に接続された誘導コイル42そのものである。商業的に好都合なリアクタンス値を用いている伝統的な整合回路44によってか、あるいは、誘導インピーダンス(通常、10オーム以下)を電源43のインピーダンス(通常、50オーム)に整合させるトランスによって、RF電源43に都合良く整合するインダクタンスを生むために、このコイルはほんの少しだけ巻き付いている(2〜8巻き程度)。整合回路は、電源43へ戻る電力の反射をほぼ取り除くように設計されている。
【0048】
この誘導コイルは、反応室50内に、その軸がほぼ垂直である軸対称な高周波磁場と、ほぼ円筒状の電場を生じさせる。これら二つの磁場と電場は、中心軸Aの周りに対して回転対称となる。この回転対称性は、ウエハ加工の均一性に貢献する。
【0049】
プラズマの高い伝導性のために、誘導結合された場は、誘導結合RF場の周波数f1で割られた(除された)プラズマ中の電子密度の平方根に比例する厚さδ(1センチメートル程度)を有する側壁に隣接した領域に、ほぼ限定される。更に大きなシステムにおいては、電子を加速するこの領域の厚さを増加させるために、f1は低くされる。
【0050】
この頒域内では円筒状の電場は電子を円周方向へ加速する。しかしながら、この加速された電子の慣性のために、電子は側壁でのシースの電場をかすめることになる。そのようなかすめ的接触は、電子の多くを壁から反射させる。電子のいくらかは壁をたたいて二次電子を生じさせる。ガス分子との弾性衝突は電子を反応室の至るところへ拡散させる。誘導的に発生した電場は側壁からの距離δ(抵抗膜厚)に限定されるので、電子加熱はこの領域のみにとどまる。ウエハを横切るイオン密度をより均一にするためには、圧力は低く保たれ(通常、0.13〜3.9パスカル)、壁付近で加熱された電子は壁から迅速に拡散して、ほぼ均一なイオン化とウエハ表面において結果として表れるイオン密度を実現する。
【0051】
反応装置の半径R、周波数f1、及び誘導結合電力は、ピーク対ピーク振幅が1〜10ボルト/cmである円筒状の電場を生み出すように選択される。このことは、3センチ以上の振幅を有する振動電子経路を生ずる結果となり、これら電子の平均自由行程は電子振動の振幅程度かそれ以下となる。電源43は0.1〜6MHzの範囲の周波数で、かつ10kWまでの出力で電力を供給する。
【0052】
第2のRF電源51(図5に図示)は、ISM(工業的、科学的、医学的)標準周波数(即ち、13.56MHz,27.12MHz,40.68MHz)のうちの1つの周波数で電極52に高周波電力を供給する。図1の例では、この高周波電源は、電極52に並んで直流シース電圧を生み山す。その電力レベルは、100ワット以下から数百ワット(500ワットまで)までの範囲にあり、静電結合された高周波信号のイオン密度への影響は、電源43からの誘導結合電力の影響よりもはるかに少ない。この電力レベルは、プラズマ反応装置の電極へ一般に供給される電力レベルよりも幾分低い。この電力レベルは、イオンによるウエハのソフトな衝突(即ち、運動エネルギー100ev以下)を生み出すために低く保たれる。この電極への低い電力レベルはまた、イオン密度がRF電源43によって主として決定されることを意味している。このことは、イオン密度及びシース電圧のデカップリング制御に際して有利である。
【0053】
誘導的に発生された電場の周方向は、プラズマ体から電極までの、電極に対する法線に沿っての経路積分がゼロになるように、この電場を電極と平行にする。この結果として、図1のプラズマ反応装置とは異なり、プラズマ体と電極との間のRF時間変化電位差を生じるシースの高周波成分が存在しない。このことは、低周波誘導RF場の電極の電位への結合をほぼ取り除く。それ故、電極52のシース電圧はRF電源51だけによって決定される。
【0054】
反応装置の側壁を取り囲むことが、この実施例においては、側壁になる1ダースの伝導性プレート46からなる接地されたファラデーシールド45に相当する。各ファラデーシールド伝導性プレート46は、間隙48だけ近隣のプレートとの間隔を置いている。これら間隙は、誘導高周波磁場が反応室50内を突き抜けることを可能とするために必要とされる。ファラデーシールド内における円周方向の電流の発生を防止するためには、少なくとも1つの間隙が必要とされる。レンツの法則により、そのような円周方向の電流は反応室50内の磁場の変化に強く反発し、その結果、コイル42の電流の反応室50での望まれた作用に本質的に逆らうことになる。
【0055】
このファラデーシールドはまた、図1の反応装置の接地された伝導壁と同じ機能を提供する。つまり、静電結合されたRF場が反応室の外側へ外れて他の装置と干渉しないように、又は連邦放射基準を逸脱しないように、ファラデーシールドは静電結合されたRF場を反応室50内に制限する。このシールドはまた、静電結合電源51によってつくられた電極からの高周波電流の帰還経路を提供する。
【0056】
ファラデーシールド45は、それが反応装置壁と隣り合っている場合、電源43のRF周波数f1におけるプラズマ電位VPの時間変化量を大幅に減少させることができる。これは、イオン密度及び平均シース電圧Vdcについての第1のRF電源43及び第2のRF電源51の影響を切り離す上で重要である。コイル42に印加される電力レベルにおいては、これらコイルの大きなインダクタンス(1〜100マイクロヘンリー程度)は、コイルの一端又は両端での高い電圧を生じる。ファラデーシールドがないとすれば、コイル42の高電圧端47はプラズマ体に静電結合すると共に、電源43の周波数f1でのVPのRF変化に影響を与えるであろう(例えば、J.L.ボッセン「プラズマエッチング及びプラズマ蒸着におけるグロー放電現象」電気化学学会誌、固体状態の科学と技術 126巻 No.2,319頁を参照)。
【0057】
間隙48の幅は、コイル42がこれら間隙を介してプラズマ体へ静電結合しないように、伝導性ブレート46とコイル42との間の最小間隔よりも狭くなっている(前記ボッセン文献を参照)。もしも、そのようなプラズマ体への静電結合が妨げられない場合、このプラズマ電位VPのRF変化は、同じ周波数におけるシース電圧(それ故に、イオンエネルギー)の変化として現れることになる。更にまた、ファラデーシールドによってほとんど排除されないとすれば、この電場はエッチングの対称性を低下させることになる。
【0058】
ファラデーシールド45はまた、プラズマ反応装置の壁41に隣接したプラズマシースのシースキャパシタンスCS2の値に大きく影響する。もしもこのファラデーシールドが存在しないならば、静電結合された高周波信号の有効接地は、RF誘導コイルか又はその反応室を取り囲んでいる環境により提供され、それ故に、反応装置の近傍に存在する他の物体によって影響されることになろう。更に、これらの物体は一般に、有効接地状態が無限にあるとして扱われるに十分に大きい距離にある。このことは、側壁及び上壁のCS2を、図3の場合のようなCS1の10倍ではなく、CS1の10分の1程度かそれ以下にする。結果として、プラズマ電位VPと高周波信号との関係は、図3に示された関係よりも図7に示された関係にずっと近くなる。
【0059】
図7において、高周波電圧(信号71)は、220ボルトのピーク対ピーク振幅を有するものと再度仮定する。CS1がCS2の10倍に等しい場合、プラズマ電圧信号72は200ボルトのピーク対ピーク振幅を有する。プラズマ電圧VPのピーク73は、高周波電圧信号71のピーク74と再び相並び、両ピークの間隔は再度、kTe/eの数倍までになる。同様に、VPのくぼみのグランドとの間隔は、kTe/e程度(通常、数(a few)ボルト)である。故に、プラズマ電圧信号72は100ボルト程度の直流成分76を有する。これは、プラズマ電圧信号32が約10ボルトにkTe/e程度のオフセットを加えた直流成分を有している図3と対照的である。
【0060】
この壁とプラズマ体との間での大きく増大したこの直流成分は、プラズマ内イオンによる許容できないレベルの壁のエッチング又はスパッタリングを生ずることとなる。このような作用は、反応室壁を損傷するだけでなく、反応性ガスを消耗し、反応室内でのウエハ作製プロセスを妨げる汚染物質をプラズマ内に導き入れる。しかしながら、壁41から多少離間配置されているファラデーシールド45がある場合、有効接地電極のキャパシタンスが増大し、CS2は再びCS1よりも数倍大きくなり、高周波信号とプラズマ電圧VPとの関係は図7に代わって図3のようになる。
【0061】
実際のところ、普通、キャパシタンスCS2の二つのプレート(即ち、プラズマと伝導性壁)の間隔は0.1センチほどになる。図4の反応装置では、ファラデーシールドが壁41の近くに置かれている場合、キャパシタンスCS2は、0.075センチメートルの真空ギャップと等価であるところの、誘電率(4よりも大)で除された壁41の厚み分だけ増加される。それ故、壁のキャパシタンスCS2は、図4の場合に匹敵する大きさを有した図1に示すタイプの反応装置におけるキャパシタンスの半分の値よりも少し大きくなる。
【0062】
伝導性プレート46は、約1センチ以上半径方向に動くことができ、キャパシタンスCS2は伝導性プレート46を壁から離間する方向に動かすことによって低下し、およそ0.1〜10の範囲にわたりCS1/CS2比率を変化させる。これら伝導性プレートはウエハ加工プロセス中、壁41の近くで動かされるので、反応室壁のエッチングと汚染物質の副産は最小限にとどめられる。ウエハ加工プロセス以外の時には、定期的に壁のエッチングを行って壁を清浄にするために、伝導性プレートは1センチ又はそれ以上、壁から離間する方向へ移動される。この反応室の清浄工程での残り屑は、更なるウエハ加工プロセスが行われる前に反応装置から取り除かれる。
【0063】
図5及び図6はそれぞれ、この反応装置40の顕著な特徴部分を示している側断面図及び上面図である。反応室50の上部のすぐ外には、ファラデーシールド45がこの反応室の側面に持たせているのとほぼ同じ機能を反応室50の上部に持たせる接地状態の伝導性プレート53がある。
【0064】
反応装置の上部には、交互にN極を下方へ向けた1セットの磁石54が存在している。強磁性反射プレート55は、最も外部にある2つの磁石によって生み出された磁場の磁束を跳ね返すのを助ける。磁石は永久磁石であることが好ましく、その理由は、この種の磁石は十分な磁場を経済的に提供してくれるからである。この配列は、電子をプラズマ体へ向けて跳ね返す磁気的な鏡の如く作用するところの、約0.01テスラの交番方向の磁場の並びを反応室50の上部に作り出す。
【0065】
これら磁石による磁場は、これら磁石の間隔(2〜3センチ程度)の2倍程度の距離だけ反応室内に入り込んでいる。他の実施態様において、前記磁石の直線的な配列は、同心円状の環状磁石の1セットで置き換えられると共に、それら隣合う環状磁石のN極が垂直方向に対して反対向きにした状態で配置されてもよい。更に他の実施態様において、反応室の上部近くに数万分の1テスラ程度の磁場を持つ磁気鏡を作り上げるために、N極が垂直方向に向けられた強磁性物質の平らなディスクや、単環直流ソレノイドが使用されてもよい。磁気を帯びたディスクを使用した実施態様は好ましく、その理由は、それは簡素かつ安価であり、反応装置の半径方向の対称性を保持するからである。これとは対照的に図5の磁石54による磁場が半径方向の対称性を欠いていることは、ウエハエッチングにおけるの半径方向の対称性をわずかに低下させるかもしれない。
【0066】
反応装置壁41の基端部ないし上部の外側には、任意の直流磁場を生じて側壁から離れた電子を包含するために、直流電源57に接続された伝導性コイル56が存在する。このコイルの磁場の大きさは、0.0001〜0.01テスラ程度になり得る。
【0067】
図4〜6のプラズマ反応装置は、他の多くの既存の反応装置と比べてかなり改良された作用を発揮する。しかるに(発明の背景において述べた)マイクロ波電源を用いたプラズマ反応装置は、ほんの数ミリアンペア/cm2の電流密度を生じるに過ぎないのに対して、本反応装置では50〜100ミリアンペア/cm2にまでなる。試験は、SF6、CF2Cl2、O2及びアルゴン等の各種の反応性ガスにおいて前記高電流が生じたことを示している。
【0068】
このことは、より多くの電力が、1ミリTorr以上の圧力での他のプラズマ製造法におけるような中性フラグメントの生成に向けられる代わりに、イオンの生成に向けられていることを示す。かかる中性フラグメントは、電流には寄与しない。イオンだけがウエハに対する垂直方向の衝撃を与えてほぼ垂直な壁を形成することとなるので、このことは重要である。ウエハにおいて非常に低いシース電圧を生じる能力を有するということは、シース電圧を20〜30ボルト以下にまで下げることによって、下層の10ナノメートル厚のSiO2ゲート絶縁物を損傷したりエッチングしたりすることなく、400ナノメートル厚のポリシリコンゲートが垂直にエッチングされ得ることを意味するものである。
【0069】
反応装置40は、ガス供給源49と排気ポート58とを備えており、その排気ポート58は、プラズマ加工生成物を排出して圧力を所定レベルに維持するためのポンプを含む排気システム59の一部を構成する。一般に、側壁の近くの電子熱場からバルク内への電子拡散を促進するために、圧力は0.13〜3.9パスカル程度に保たれる。この気圧でも、誘導結合電力は主としてイオン生成に向けられる。
【0070】
これとは対照的に、マイクロ波プラズマシステムのような他のプラズマシステムは、約0.13パスカル以上の圧力下で相対的により多くの自由ラジカルをを生ずる。マイクロ波プラズマ反応装置が主としてイオンを生成するものであるとすれば、圧力が数百分の1パスカル程度かそれ以下であることを必要とする。このことは、反応装置のポンプが毎秒数Torrリッターよりも遙かに大きい速度を持つことを要求する。この大きなポンブ速度は、反応室にしっかりっながれた低温ポンプか、もしくは、反応室に大きなポートを備えたターボポンプを用いることを求める。
【0071】
これに対し、ここで開示された反応装置はより高い圧力下で作動することができ、毎秒数十パスカル−リッター程度のポンプ速度を求めるに過ぎない。これは、反応室の周囲のスペースを乱さず、ウェハ操作を阻害せず、あるいは他の反応室の周りで邪魔にならないようなずっと小さなポンプで間に合うということである。そのようなポンプはまた、再生を必要とせず、低温ポンブにおけるような安全性の間題を抱えていない。
【図面の簡単な説明】
【図1】典型的なプラズマ反応装置の構造を示す。
【図2】電力が反応室に静電結合したプラズマ反応装置の等価回路である。
【図3】電極へ印加される高周波信号と、プラズマの電圧VPと、シース電圧Vdcとの間の関係を示す。
【図4】本発明の一実施形態の誘導結合された反応装置の側面図である。
【図5】図4の誘導結合反応装置の側断面図である。
【図6】図4の反応装置の上面図である。
【図7】CS1がCS2よりも大きい場合のプラズマ電圧VPと陰極に印加される高周波電圧との関係を示す。
[0001]
BACKGROUND OF THE INVENTION
The present invention relates generally to wafer processing systems, and more particularly to a plasma reactor for a wafer processing process in which plasma is generated primarily by inductively coupled power.
[0002]
In the drawings, the first numeral of the reference number indicates the first drawing in which the component (member) indicated by the reference number appears.
[0003]
[Prior art]
Plasma etching and deposition are anisotropic, chemically selective, and can be processed under conditions away from thermodynamic equilibrium, making plasma etching and deposition in circuit fabrication attractive. It is a simple method. The anisotropic process allows the fabrication of integrated circuit patterns having sidewalls extending substantially perpendicularly from the edge of the masking layer. This is important in current and future ULSI devices where the etch depth, pattern width and pattern spacing are all equivalent.
[0004]
FIG. 1 shows a typical plasma processing apparatus 10 for wafer processing. This reaction apparatus is provided with an insulating-coated metal wall 11 surrounding the plasma reaction chamber 12. The wall 11 is grounded and functions as one side of the plasma electrode. A gas is supplied from the gas supply source 13 to the reaction chamber 12, and the gas is exhausted by an exhaust system 14 that forcibly exhausts the gas from the reactor in order to maintain a low pressure state suitable for the plasma process.
[0005]
The high frequency power supply 15 connected to the second electrode 16 electrostatically supplies power to the plasma in the reaction chamber 12. For processing, the wafer 17 is placed on or near the electrode 16. The wafer 17 is loaded into the reaction chamber 12 through a port such as a slit valve 18 and unloaded from the reaction chamber 12.
[0006]
In the plasma reaction apparatus, a 13.56 MHz high frequency power supply (RF power supply) is widely used. This is because this frequency is an ISM reference frequency (ISM means industrial, scientific, and medical fields), and the government-regulated emission limit of the ISM reference frequency is a non-ISM frequency, especially the communication band. This is because the regulation is more lenient than in the case of the above frequency. Because of this ISM standard, there is a lot of equipment used at that frequency, which further encourages worldwide use of 13.56 MHz. Other ISM reference frequencies are 27.12 MHz and 40.68 MHz, which are the first and second harmonics of the 13.56 MHz ISM reference frequency.
[0007]
The plasma is composed of two qualitatively different parts, that is, a quasi-neutral and equipotential conductive plasma body 19 and a boundary layer 110 called a plasma sheath. The plasma body consists of negatively charged and positively charged molecules of almost the same concentration as well as radicals and stable neutral molecules. The high frequency power supplied to the reaction chamber supplies energy to free electrons. Sufficient energy is transmitted to many of these free electrons, and as a result, ions collide with gas molecules to generate ions. The plasma sheath is a low conductivity region where the gradient of the spatial potential (ie, electric field strength) is large and electrons are insufficient. Such a plasma sheath is generated between a plasma body and an interface such as a wall of a plasma reaction chamber or an electrode.
[0008]
When the electrode is electrostatically coupled to a high frequency power source, the DC component V on the negative side of the voltage at this electrode dc (Ie, DC bias) occurs (see, eg, H. Butler and G. S. King Fluid Physics, Vol. 6, page 1348 (1963)). This bias is the result of unbalanced electron and ion mobility and non-uniform sheath capacitance (capacitance) at the electrodes and walls. The magnitude of the sheath capacitance is a function of the plasma concentration, as is the shape of the plasma chamber and the relative area between the electrode and the wall in the chamber. Sheath voltages on the order of several hundred volts are generally made at the electrodes (see, for example, J. Coburn and E. Kay, substrate positive ion bombardment in RF diode glow discharge sputtering, Applied Physics, Vol. 43, 4965 (1972). See
[0009]
The DC component of the sheath potential at the powered electrode is beneficial for accelerating ions to a higher energy state in a direction substantially perpendicular to the electrode. Therefore, in the plasma etching process, the wafer 17 to be etched is placed on or slightly above the electrode so that a bundle of cations is projected substantially perpendicular to the wafer surface. This enables almost vertical etching of the non-protected area of the wafer. Several processes (silica (SiO 2) are required to produce the etching rate required for commercial etching processes (hereinafter “etch rate”). 2 Such a high sheath voltage (and a high discharge voltage) is indispensable in the etching and the like).
[0010]
[Problems to be solved by the invention]
Transistor speed specifications and high integration in modern MOS integrated circuits require the use of shallow junctions and thin (on the order of 10 nanometers) gate oxide under a polysilicon gate that is thousands of angstroms thick. Unfortunately, such an IC structure is sensitive to bombardment by high energy (energy above 100 eV) ions as in the conventional plasma etching apparatus of FIG. It becomes difficult to avoid damage to the gate oxide during the etching process. It may be advantageous to operate at lower discharge power levels and discharge voltages since wafer damage is reduced as the sheath voltage associated with ion energy decreases. However, at 13.56 MHz capacitively coupled power, the voltage drop results in a proportional decrease in etch rate in many processes, thereby greatly reducing process efficiency.
[0011]
The etch rate in the silica and some silicon etch processes is a function of the ion bombardment power density transferred from the plasma to the wafer. Since this power is equal to the product of the electrode sheath voltage and the wafer ion current density, the wafer ion current density must be increased to maintain a substantially constant etch rate at the reduced sheath voltage. This requires increasing the plasma ion density near the wafer. Unfortunately, in a conventional plasma etching apparatus, the sheath voltage of the electrode and the ion density near the electrode are proportional to each other, and they are a monotonically increasing function of the amplitude of the high frequency voltage applied to the electrode.
[0012]
Thus, if the sheath voltage is reduced by lowering the voltage of the high frequency signal, the current density of the ion beam at the wafer will also be reduced, thereby increasing the etch rate more than at the sheath voltage or ion current. Cause a reduction in the rate of Therefore, in order for a soft etch process with a commercially sufficient etch rate (an etch process with a low sheath voltage on the wafer) to be performed, the wafer sheath voltage and ion density can be adjusted independently. Would be advantageous.
[0013]
One way to increase the etch rate by increasing the plasma ion density near the wafer is to use a magnet to create a magnetic confinement field that traps electrons near the wafer, thereby increasing the ion production rate on the wafer. To increase the associated density. The magnetic confinement field confines active electrons by advancing the active electrons spirally along a spiral orbit around the magnetic field lines.
[0014]
Unfortunately, the uniformity of the etch rate at the wafer surface is reduced, for example, due to the non-uniformity of the magnetic confinement field in a “magnetically enhanced” plasma etching system. E (electric field) x B (magnetic field) drift due to an electric field in and near the sheath also reduces etch rate uniformity in such systems. In order to improve the uniformity on the wafer surface in such a system, the wafer is rotated about an axis perpendicular to and centered on the electrode surface. This creates a cylindrically symmetric time-averaged field on the wafer with improved average uniformity on the wafer, thereby improving etch uniformity. However, such rotation creates undesirable mechanical movements in the plasma chamber that generate particulates and increase contamination.
[0015]
Another technique that can produce an acceptable etch rate at low ion bombardment energy is the recently developed electron cyclotron resonance plasma generation method. This technique has applications for wafer cleaning, etching, and deposition processes. In this technique, plasma is generated using a microwave power source and a magnetic confinement structure. Unfortunately, when applied to etching or chemical vapor deposition, this method produces fine particles at high levels, low etch rate uniformity in the radial direction, and low efficiency.
[0016]
Since the fraction of energy devoted to radical generation increases rapidly above about 0.13 Pascal, the pressure of the system must be kept below that level. This is (1): a very high pumping speed (more than 3,000 liters per second, which is 10 times the volume of a normal type) and the extremely low pressure required for this process Requires an expensive device comprising: a vacuum pump system that produces (0.013-0.13 Pascal); and (2) a huge magnetic confinement system that sometimes includes large electromagnets.
[0017]
Yet another technique for increasing ion density is to use a microwave plasma generator that generates ions in a region of at least 10 centimeters on the wafer. These ions flow into the space on the wafer and contribute to the ion density of the wafer. However, this method tends to generate a large amount of free radicals and only generates a few milliamperes of ion current density per square centimeter on the wafer.
[0018]
Joseph Frezinger and Horst W. Loeb's "Neutral Molecule Injector for Fusion Reactor RIG" Nuclear Energy and Nuclear Technology, Vol. 44 (1984) No. Pages 1,81-86 provide an additional amount of power required to generate a neutral beam of particles and provide a balance point in the energy generation of the tokamak fusion reactor. This beam is created by generating an ion beam with inductively coupled power and neutralizing the beam by passing a gas before entering the fusion reactor. The ion beam is extracted by a direct current field instead of the high frequency field in this application.
[0019]
J. et al. In the reactor shown in Fresinger et al.'S paper entitled "High-Frequency Ion Power Supply for Reactive Gas Material Processes (9th International Conference on Gas Discharge and its Applications, 19-19-23 1988)" In order to heat the electrons, power is supplied into the reaction chamber, and the ion beam to the wafer is generated by a direct current field instead of a high frequency field.
[0020]
[Means for Solving the Problems]
Based on the preferred embodiment described, a plasma reactor is shown. In the plasma reactor, a low frequency (0.1 to 6 MHz) high frequency power supply (RF power supply) is inductively coupled to the plasma to supply ionization energy of the gas in the vicinity of the electrode holding the wafer, Moreover, a lower power high frequency voltage is applied to the electrode to control the ion bombardment energy of the wafer on the electrode. The wafer is placed on or directly above the electrode surface for processing.
[0021]
The plasma reactor comprises a non-conductive reaction chamber wall surrounded by an induction coil connected to a low frequency RF power source. The split Faraday shield is disposed between the induction coil and the side wall of the reactor, and surrounds the reactor and substantially eliminates the generation of displacement current between the induction coil and the plasma reactor. In fact, this shield significantly reduces the electrical coupling of low-frequency RF electric fields to the plasma (the paper entitled “Glow Discharge Phenomena in Plasma Etching and Plasma Deposition” by JL Bossen) Technology 126, No. 2, February 1979, page 319). As a result, the ion bombardment energy of the reactor wall and the associated etching and sputtering of the reactor wall are substantially eliminated, and the modulation of the wafer sheath voltage at low frequencies is reduced.
[0022]
The Faraday shield is movable so that the capacitance (capacitance) between the plasma and the shield can be changed. This Faraday shield is placed almost in contact with the outer wall of the reaction chamber, creating a high capacitance during the wafer processing process. This reduces the high frequency plasma potential, thereby reducing the plasma etching of the reactor walls. The increased spacing between the Faraday shield and the reaction chamber wall, which produces reduced capacitance, is also available outside the wafer etch to produce increased radio frequency and time average plasma potential levels, thereby A higher ion bombardment energy is generated that allows the reactor wall to be cleaned with controlled etching levels.
[0023]
Preferably, the Faraday shield is moved radially to change the capacitance, but the capacitance can also be changed by moving the Faraday shield in the vertical direction. In an embodiment in which the shield is movable in the vertical direction, the shield should not be allowed to move in the vertical direction so that it no longer exists between the reaction chamber and each induction coil. A conductive sheet may be included at the top of the reaction chamber to increase the plasma capacitance to the effective high frequency ground electrode provided by the reactor wall. This plate may also be movable to change the capacitance between the plasma body and this part of the Faraday shield.
[0024]
A direct magnetic field may be included to promote ion generation at low pressures by confining electrons away from the reaction chamber walls. Under low pressure, the electrons have an increased mean free path that increases the loss ratio from the reaction chamber by collision with the reaction chamber wall. This magnetic field causes electrons to enter a spiral path that increases the rate of ionization collisions in the reaction chamber before collision with the wall.
[0025]
In order to bounce electrons back into the plasma, a distributed magnetic field that is stronger near the top of the reaction chamber may be included, thereby preventing loss of electrons at the top of the reaction chamber wall. This magnetic field (approximately tens of thousands of Tesla near the upper part of the reaction chamber) is arranged by an arrangement of permanent magnets arranged in the upper part of the reaction chamber and having an alternate magnetic field direction, or by a solenoid coil in which a direct current flows, Alternatively, it is generated by a ferromagnetic disk.
[0026]
The inductively coupled high frequency power is supplied to a level of 10 kW at a frequency in the range of 0.1 to 6 MHz, depending on the size of the reaction chamber. The voltage applied to the electrode is at a frequency higher than the reciprocal of the average time that ions traverse the sheath of the electrode. The frequency f of this voltage signal h Preferred are all ISM standard frequencies, ie 13.56 MHz, 27.12 MHz, 40.68 MHz. Higher frequencies will require higher frequencies to produce ion bombardment energy that is less widely dispersed.
[0027]
The sheath of the electrode has a strong electric field that is approximately perpendicular to the wafer surface, thereby producing a substantially perpendicular ion bombardment and a substantially perpendicular or controlled tapered wafer etch. The amount of capacitively coupled power provided to the electrodes is much less than the power inductively supplied to the plasma. Therefore, the average ion current in the wafer is primarily determined by the inductive coupling power. Then, due to the Faraday shield, the average ion energy in the wafer becomes approximately a function of only the amplitude of the high frequency signal (rf signal) to the electrode.
[0028]
In contrast, in the typical plasma reactor shown in FIG. 1, both the average ion density (generally somewhat lower) and energy are controlled by the amplitude of the radio frequency signal to the electrodes. Therefore, the inductively coupled reactor makes it possible to reduce the sheath voltage and increase the ion density. Also, the sheath voltage and ion density can be changed separately. As a result, a soft etch with a commercially acceptable etch rate is achieved, which damages recent types of integrated circuits that can be damaged by ions with impact energy on the order of 100 eV or more. I will not let you.
[0029]
The electromagnetic field in the inductively coupled plasma reactor produces a very uniform plasma ion density distribution on the wafer, realizing a very uniform wafer processing process. The inductively generated electric field is approximately cylindrical and therefore accelerates the electrons approximately parallel to the reactor sidewall. Due to the conductivity of the plasma, the electric field strength decreases rapidly away from the sidewall, and electron acceleration occurs mainly in the region near the sidewall.
[0030]
As the electrons increase in velocity, their inertia describes a trajectory that includes a series of elastic collisions with the molecules and / or a grazing contact with the sidewall sheath. Such a collision or contact repels electrons into the plasma body. This results in significant electron acceleration only in the vicinity of the wall, but also generates ions everywhere in the reaction chamber. These electron and ion diffusions, as well as the radial E × B drift of the electrons, produce a radially symmetric ion density with a very uniform density in the vicinity of the wafer. The reaction chamber is kept at a low pressure (generally on the order of 0.13 to 3.9 Pascals) in order to promote scattering of electrons away from the region where the electrons gain energy near the side walls.
[0031]
This design is also very effective in coupling power to ion generation and therefore offers significant advantages over other reactors for wafer processing processes performed by ions in the plasma. (For example, a paper entitled “RF ion power supply RIM10 for material processing with reactive gas” by J / Fresinger et al., 9th International Conference on Gas Discharge and its Applications, September 19-23, 1988) reference). The importance of this is as described below.
[0032]
The high frequency power to the plasma creates neutral radicals, ions, free electrons, and excited states of molecules and atoms by free electrons. Vertical etching with reactive ions is advantageous for reaction chambers that divert much of the RF power to ion generation. Due to excessive radical concentration, reactions at the wafer surface by radicals are the target fabrication process. Reducing the relative production of free radicals by the plasma is beneficial in many applications because it can be harmful to the plasma. Therefore, this plasma reactor is particularly suitable for reactive ion etching processes or other processes that are favored by high ion concentrations or are degraded by significant free radical concentrations.
[0033]
This reactor also requires much less capacitively coupled power than conventional plasma reactors. This system uses high frequency power on the order of several hundred watts, compared to 500-1000 watts for a conventional plasma reactor where all power is electrostatically coupled. The system also has the ability to control ion flow and ion collision energy separately.
[0034]
In the conventional plasma reactor shown in FIG. 1, the amplitude of the high-frequency signal applied to the electrode 16 controls not only the ion density in the plasma but also the sheath voltage of the electrode. To achieve soft etching (ie, wafer ion bombardment energy of about 100 volts or less), electrostatically applied high frequency power is greater than that traditionally used in such reactors. Should also be lowered.
[0035]
Unfortunately, this reduction in electrostatic applied power not only reduces the voltage drop across the sheath, but also reduces the ion density at the sheath. Even under high RF voltages on the electrodes, such capacitively coupled power results in only a relatively low ion density. Since the wafer etch rate is proportional to the product of the ion density in the sheath and the voltage drop across the sheath, the wafer etch rate decreases faster than either of these two parameters. Thus, soft etching results in a reduction in efficiency that is incompatible with commercial integrated circuit fabrication processes.
[0036]
It can be shown with reference to FIGS. 2 and 3 that the sheath voltage in this system is constrained by the amplitude of the high frequency signal applied to the electrodes. The capacitor 21 between the high frequency power supply 15 and the electrode 16 enables this sheath voltage to have a DC component. This direct current component is produced by a synergistic effect of the uneven region of the electrode and the uneven mobility of electrons and ions. Each plasma sheath is electrically equivalent to a parallel combination of resistor, capacitor and diode. The electric field across the sheath is 10 Four Most electrons are repelled out of the sheath region that generates a large sheath resistance of the order of ohms.
[0037]
The electrostatic component of sheath impedance as a function of increasing frequency is small enough to make sense at about 500 kHz and can be ignored below that frequency. At frequencies above 500 kHz, the sheath resistance is very large and can be ignored. This is the case for the high frequency sheath voltage component at the frequency of the electrostatically coupled power.
[0038]
In the equivalent circuit of FIG. 2, the effects of electron mobility much greater than the plasma and ions in the sheath are modeled by diodes 24 and 28. Thus, if the plasma is negative with respect to all electrodes in close proximity to the plasma, the electrons in the plasma will effectively short to that electrode. Therefore, the sheath impedance is modeled by elements 22-24 and 26-28. The plasma break is the high frequency f used for the RF voltage applied to the electrodes. h It is modeled as a low impedance resistor 25 that can be neglected (preferably one of the ISM frequencies 13.56 MHz, 27.12 MHz or 40.68 MHz).
[0039]
FIG. 3 shows the frequency f applied to the electrode h The relationship between the 220 volt peak-to-peak high frequency signal 31, the plasma resultant voltage 32, and the electrode sheath voltage 36 is shown. Sheath capacitance C S1 And C S2 Is the frequency f of the electrostatic coupling power h Resistance R S1 And R S2 Can be ignored, and diodes 24 and 28 can be ignored except for a short interval in each period of signal 31. Therefore, under the most working conditions, the plasma equivalent circuit is converted to an electrostatic divider and the plasma potential V P And capacitance C S1 as well as CS2 The high-frequency component of the voltage across the line is almost in phase and the magnitude is V P = V rf ・ C S2 / (C S1 + C S2 ).
[0040]
In a typical reactor with a wall area several times the electrode area, the sheath capacitance C at the wall S2 Is the sheath capacitance C at the electrode S1 Is about 10 times. Therefore, for the 220 volt peak-to-peak high frequency signal 31, the plasma potential V P Is about 20 volts peak-to-peak. Since the signals 31 and 32 are in phase, the peak 33 of the signal 32 is aligned with the peak 34 of the signal 31. Because of diode 24, the minimum voltage difference between signals 31 and 32 (which occurs at each peak 34) is kT. e / E or so. Similarly, to prevent a short circuit of plasma to the reactor wall, V P Is at least kT on the positive side of the ground 35 e / E must be present.
[0041]
Due to these various conditions, the average sheath voltage 36 of the electrode (that is, the DC component of the high-frequency signal 31) is approximately −90 volts. The DC component of the sheath voltage is -V rf ・ C S1 / (C S1 + C S2 ) / 2, approximately equal to V rf Is the peak-to-peak intensity of the high-frequency voltage. Since the electric field component of the high-frequency signal is substantially perpendicular to the electrode, the sheath voltage changes directly with the high-frequency signal intensity. This means that the direct current component 36 of the voltage 31 is directly related to the peak-to-peak amplitude of the high-frequency voltage applied to the electrode.
[0042]
The ion current density at the electrodes of a conventional plasma reactor is proportional to the ion density in the plasma, which decreases as the power decreases, and the current density also decreases when the amplitude of the high-frequency voltage is reduced to reduce the sheath voltage. Will do. Therefore, in the plasma reactor of FIG. 1, even when the voltage is lowered to perform softer etching, the current density in the wafer cannot be increased in order to maintain the etching power.
[0043]
The voltage drop across the sheath at the electrode is equal to the difference between the applied high frequency signal 31 and the plasma voltage 32. This voltage drop varies from 0 volts to about -220 volts. Ion is 1 / f of high-frequency signal h Even if the sheath passes through the sheath at a time interval shorter than that of the period, if it passes through the sheath near the peak 34 of the high-frequency signal 31, the impact energy becomes almost zero. Such low energy bombardment ions do not necessarily draw a trajectory that is substantially perpendicular to the wafer surface and can therefore reduce the vertical etching of the intended wafer.
[0044]
Therefore, the period 1 / f h However, it is important that no more than half of the average time an ion passes through this sheath. Since this transit time is about 1 / 500,000 second or less, f h Must be at least 4 MHz. For higher ion density and low sheath voltage, the period 1 / f h Becomes less than 0.1 microsecond (μs). Because of lenient restrictions on ISM frequency, f h Is preferably equal to one of the ISM frequencies 13.56 MHz, 27.12 MHz, 40.68 MHz.
[0045]
DETAILED DESCRIPTION OF THE INVENTION
FIG. 4 shows a plasma reactor in which the sheath voltage and the ion flow density at the wafer can be adjusted independently. This reactor also produces a very uniform distribution of ion flow density and voltage across the wafer, as well as the rate of ion production and free radical production in the plasma for purely electrostatic or higher frequency inductive discharges. It is possible to raise the ratio between. Therefore, this system is particularly beneficial for applications where the ratio of ions to free radicals is large.
[0046]
Above the base 40 is a cylindrical reaction chamber wall 41 surrounding a plasma reaction chamber 50 (see FIG. 5). The reaction chamber wall 41 is 7-30 centimeters high and has a side diameter that depends on the diameter of the wafer being processed. In a wafer processing system having a diameter of 15 cm, the reaction chamber wall has a side diameter of about 25 to 30 cm, and in a wafer processing system having a diameter of 20 cm, the reaction chamber is 30 to 30 cm. It has a side diameter of 38 cm. The reaction chamber wall 41 is made of a nonconductive material such as quartz or alumina.
[0047]
The surrounding wall 41 is an induction coil 42 itself connected to a first high-frequency power source 43 (hereinafter referred to as “RF power source”) via a traditional impedance matching circuit or transformer (transformer) 44. RF power supply by traditional matching circuit 44 using commercially convenient reactance values or by a transformer that matches the inductive impedance (typically 10 ohms or less) to the impedance of power supply 43 (typically 50 ohms) This coil is wound only a little (on the order of 2 to 8 turns) to produce an inductance that conveniently matches 43. The matching circuit is designed to substantially eliminate reflections of power returning to the power supply 43.
[0048]
This induction coil generates in the reaction chamber 50 an axisymmetric high frequency magnetic field whose axis is substantially vertical and a substantially cylindrical electric field. These two magnetic and electric fields are rotationally symmetric about the central axis A. This rotational symmetry contributes to the uniformity of wafer processing.
[0049]
Due to the high conductivity of the plasma, the inductively coupled field is the frequency f of the inductively coupled RF field. 1 Is substantially limited to the region adjacent to the sidewall having a thickness δ (on the order of 1 centimeter) proportional to the square root of the electron density in the plasma divided (divided) by. In larger systems, to increase the thickness of this region that accelerates electrons, f 1 Is lowered.
[0050]
Within this region, a cylindrical electric field accelerates electrons in the circumferential direction. However, due to this accelerated inertia of the electrons, the electrons will graze the electric field of the sheath at the sidewall. Such faint contact reflects many of the electrons from the wall. Some of the electrons strike the wall to produce secondary electrons. Elastic collisions with gas molecules cause electrons to diffuse throughout the reaction chamber. Since the inductively generated electric field is limited to the distance δ (resistance film thickness) from the side wall, the electron heating remains only in this region. To make the ion density across the wafer more uniform, the pressure is kept low (usually 0.13 to 3.9 Pascals), and electrons heated near the wall diffuse quickly from the wall and become nearly uniform. Ionization and resulting ion density at the wafer surface.
[0051]
Reactor radius R, frequency f 1 , And inductively coupled power is selected to produce a cylindrical electric field with a peak-to-peak amplitude of 1-10 volts / cm. This results in a vibrating electronic path having an amplitude of 3 centimeters or more, and the mean free path of these electrons is about the amplitude of the electronic vibration or less. The power supply 43 supplies power at a frequency in the range of 0.1 to 6 MHz and an output up to 10 kW.
[0052]
The second RF power source 51 (shown in FIG. 5) is an electrode at one of the ISM (industrial, scientific, medical) standard frequencies (ie, 13.56 MHz, 27.12 MHz, 40.68 MHz). High frequency power is supplied to 52. In the example of FIG. 1, the high-frequency power source generates a direct current sheath voltage along with the electrode 52. Its power level ranges from less than 100 watts to hundreds of watts (up to 500 watts), and the impact of capacitively coupled radio frequency signals on ion density is much greater than that of inductively coupled power from the power supply 43. Very few. This power level is somewhat lower than the power level generally supplied to the electrodes of the plasma reactor. This power level is kept low to produce soft collisions of the wafer with ions (ie, kinetic energy of 100 ev or less). The low power level to this electrode also means that the ion density is mainly determined by the RF power source 43. This is advantageous when controlling decoupling of ion density and sheath voltage.
[0053]
The circumferential direction of the inductively generated electric field makes this electric field parallel to the electrode so that the path integral from the plasma body to the electrode along the normal to the electrode is zero. As a result, unlike the plasma reactor of FIG. 1, there is no high-frequency component of the sheath that generates an RF time-varying potential difference between the plasma body and the electrode. This substantially eliminates the coupling of the low frequency induced RF field to the electrode potential. Therefore, the sheath voltage of the electrode 52 is determined only by the RF power source 51.
[0054]
Surrounding the side walls of the reactor corresponds in this embodiment to a grounded Faraday shield 45 consisting of a dozen conductive plates 46 that become the side walls. Each Faraday shield conductive plate 46 is spaced from neighboring plates by a gap 48. These gaps are required to allow the induction high frequency magnetic field to penetrate through the reaction chamber 50. In order to prevent the generation of current in the circumferential direction in the Faraday shield, at least one gap is required. According to Lenz's law, such circumferential currents are strongly repelled by changes in the magnetic field in the reaction chamber 50, resulting in essentially countering the desired action of the coil 42 current in the reaction chamber 50. become.
[0055]
This Faraday shield also provides the same function as the grounded conductive wall of the reactor of FIG. That is, the Faraday shield allows the capacitively coupled RF field to be removed from the reaction chamber 50 so that the capacitively coupled RF field does not fall outside the reaction chamber and interfere with other devices or deviate from federal emission standards. Restrict to within. This shield also provides a return path for high frequency current from the electrodes created by the capacitively coupled power supply 51.
[0056]
When the Faraday shield 45 is adjacent to the reactor wall, the RF frequency f of the power source 43 1 Plasma potential at P It is possible to greatly reduce the amount of time change in the. This is the ion density and average sheath voltage V dc It is important to separate the influence of the first RF power source 43 and the second RF power source 51 on the. At the power level applied to the coils 42, the large inductance (on the order of 1-100 microhenries) of these coils results in a high voltage at one or both ends of the coils. If there is no Faraday shield, the high voltage end 47 of the coil 42 is electrostatically coupled to the plasma body and the frequency f of the power source 43 1 V at P (See, for example, JL Bossen “Glow Discharge Phenomena in Plasma Etching and Plasma Deposition”, Journal of Electrochemical Society, Solid State Science and Technology, Vol. 126, No. 2,319.) ).
[0057]
The width of the gap 48 is narrower than the minimum distance between the conductive blade 46 and the coil 42 so that the coil 42 is not electrostatically coupled to the plasma body via these gaps (see the Bossen document). . If electrostatic coupling to such a plasma body is not hindered, this plasma potential V P Changes in the RF will appear as changes in the sheath voltage (and hence ion energy) at the same frequency. Furthermore, this electric field will reduce the symmetry of the etching if it is hardly excluded by the Faraday shield.
[0058]
The Faraday shield 45 also has a sheath capacitance C of the plasma sheath adjacent to the wall 41 of the plasma reactor. S2 The value of is greatly affected. If this Faraday shield is not present, effective grounding of the capacitively coupled radio frequency signal is provided by the environment surrounding the RF induction coil or its reaction chamber, and hence other existing in the vicinity of the reactor. Will be influenced by the object. Furthermore, these objects are generally at a distance large enough to be treated as having an infinite effective ground condition. This means that the C on the side wall and the top wall S2 For C as in FIG. S1 C, not 10 times S1 1/10 or less. As a result, the plasma potential V P And the high-frequency signal are much closer to the relationship shown in FIG. 7 than the relationship shown in FIG.
[0059]
In FIG. 7, assume again that the high frequency voltage (signal 71) has a peak-to-peak amplitude of 220 volts. C S1 Is C S2 The plasma voltage signal 72 has a peak-to-peak amplitude of 200 volts. Plasma voltage V P The peak 73 of the high-frequency voltage signal 71 is again aligned with the peak 74 of the high-frequency voltage signal 71, and the interval between both peaks is again kT. e Up to several times / e. Similarly, V P The distance between the indentation and the ground is kT e / E (usually a few volts). Therefore, the plasma voltage signal 72 has a DC component 76 on the order of 100 volts. This is because the plasma voltage signal 32 is about 10 volts kT. e Contrast with FIG. 3, which has a DC component with an offset of about / e.
[0060]
This greatly increased DC component between the wall and the plasma body results in unacceptable levels of wall etching or sputtering by ions in the plasma. Such action not only damages the reaction chamber walls, but also depletes reactive gases and introduces contaminants into the plasma that interfere with the wafer fabrication process within the reaction chamber. However, if there is a Faraday shield 45 that is spaced somewhat away from the wall 41, the effective ground electrode capacitance increases and C S2 Is C again S1 Several times larger than the high frequency signal and plasma voltage V P The relationship with is as shown in FIG. 3 instead of FIG.
[0061]
In fact, usually capacitance C S2 The distance between the two plates (ie, plasma and conductive wall) is about 0.1 cm. In the reactor of FIG. 4, when the Faraday shield is placed near the wall 41, the capacitance C S2 Is increased by the thickness of the wall 41 divided by the dielectric constant (greater than 4), which is equivalent to a vacuum gap of 0.075 centimeters. Therefore, the wall capacitance C S2 Is slightly larger than half the capacitance in a reactor of the type shown in FIG. 1 having a size comparable to that of FIG.
[0062]
The conductive plate 46 can move in the radial direction by about 1 cm or more, and the capacitance C S2 Is reduced by moving the conductive plate 46 away from the wall and over a range of approximately 0.1-10. S1 / C S2 Change the ratio. Since these conductive plates are moved near the wall 41 during the wafer processing process, the reaction chamber wall etching and contaminant by-products are minimized. Outside of the wafer processing process, the conductive plate is moved 1 cm or more away from the wall to periodically etch the wall and clean the wall. Residue debris from the reaction chamber cleaning process is removed from the reactor before further wafer processing processes are performed.
[0063]
FIGS. 5 and 6 are a side sectional view and a top view, respectively, showing prominent features of the reactor 40. Immediately outside the top of the reaction chamber 50 is a grounded conductive plate 53 that provides the top of the reaction chamber 50 with substantially the same function as the Faraday shield 45 has on the side of the reaction chamber.
[0064]
At the top of the reactor, there is a set of magnets 54 with the north poles alternately facing downward. The ferromagnetic reflector plate 55 helps to repel the magnetic flux of the magnetic field produced by the two outermost magnets. The magnet is preferably a permanent magnet, since this type of magnet provides a sufficient magnetic field economically. This arrangement creates an alternating magnetic field sequence of about 0.01 Tesla at the top of the reaction chamber 50, acting like a magnetic mirror that bounces electrons toward the plasma body.
[0065]
The magnetic field generated by these magnets enters the reaction chamber by a distance that is approximately twice the distance between these magnets (about 2 to 3 cm). In another embodiment, the linear arrangement of the magnets is replaced with a set of concentric annular magnets, and the adjacent north magnets are arranged with their north poles facing away from the vertical direction. May be. In yet another embodiment, a flat disk of ferromagnetic material with a north pole oriented vertically, or a single disk to create a magnetic mirror with a magnetic field on the order of tens of thousands of Tes near the top of the reaction chamber A ring DC solenoid may be used. Embodiments using magnetic disks are preferred because they are simple and inexpensive and retain the radial symmetry of the reactor. In contrast, the lack of radial symmetry by the magnet 54 of FIG. 5 may slightly reduce radial symmetry in wafer etching.
[0066]
A conductive coil 56 connected to a DC power source 57 is present outside the proximal end or upper part of the reactor wall 41 to generate an arbitrary DC magnetic field and contain electrons away from the sidewall. The magnetic field magnitude of this coil can be on the order of 0.0001-0.01 Tesla.
[0067]
The plasma reactor of FIGS. 4-6 exhibits a much improved effect compared to many other existing reactors. However, a plasma reactor using a microwave power source (described in the background of the invention) is only a few milliamps / cm. 2 In contrast to a current density of 50-100 milliamps / cm. 2 Up to. The test is SF 6 , CF 2 Cl 2 , O 2 In addition, the high current is generated in various reactive gases such as argon.
[0068]
This indicates that more power is devoted to the generation of ions instead of being directed to the generation of neutral fragments as in other plasma production processes at pressures of 1 milliTorr or higher. Such neutral fragments do not contribute to the current. This is important because only ions will impact the wafer in the vertical direction to form a nearly vertical wall. Having the ability to produce a very low sheath voltage in the wafer means that by lowering the sheath voltage to below 20-30 volts, the underlying 10 nanometer thick SiO 2 2 It means that a 400 nanometer thick polysilicon gate can be etched vertically without damaging or etching the gate insulator.
[0069]
The reactor 40 includes a gas supply source 49 and an exhaust port 58, which exhaust port 58 includes a pump for exhausting plasma processing products and maintaining a pressure at a predetermined level. Parts. In general, the pressure is kept on the order of 0.13 to 3.9 Pascals to promote electron diffusion from the electron heat field near the sidewalls into the bulk. Even at this pressure, the inductively coupled power is primarily directed to ion generation.
[0070]
In contrast, other plasma systems, such as microwave plasma systems, produce relatively more free radicals under pressures above about 0.13 Pascal. If the microwave plasma reactor mainly generates ions, the pressure needs to be about one hundredth of a pascal or less. This requires the reactor pump to have a speed much greater than a few Torr liters per second. This high pump speed requires the use of a cryogenic pump tightly attached to the reaction chamber or a turbo pump with a large port in the reaction chamber.
[0071]
In contrast, the reactors disclosed herein can operate at higher pressures and only require pump speeds on the order of tens of pascal-liters per second. This means that the space around the reaction chamber will not be disturbed, the wafer operation will not be disturbed, or a much smaller pump that will not get in the way around other reaction chambers will be in time. Such pumps also do not require regeneration and do not have the safety challenges as in cold pumps.
[Brief description of the drawings]
FIG. 1 shows the structure of a typical plasma reactor.
FIG. 2 is an equivalent circuit of a plasma reactor in which electric power is electrostatically coupled to a reaction chamber.
FIG. 3 shows a high frequency signal applied to an electrode and a plasma voltage V. P And sheath voltage V dc The relationship between is shown.
FIG. 4 is a side view of an inductively coupled reactor according to one embodiment of the present invention.
FIG. 5 is a side sectional view of the inductively coupled reaction device of FIG. 4;
6 is a top view of the reaction apparatus of FIG. 4. FIG.
FIG. 7C S1 Is C S2 Is greater than the plasma voltage V P And the high-frequency voltage applied to the cathode.

Claims (11)

半導体基板を加工するためのプラズマ反応装置であって、
半導体基板の加工において少なくとも1つのプラズマ生成物を生成するためにプラズマを発生させる反応室(50)を包囲する反応壁(41)と、
ガス供給源(49)とガス排気システム(59)とを反応室に連結するための手段と、
高周波電力の電源(43)と、
前記反応室(50)の外部において該反応壁(41)に隣接して配置され、前記高周波電力を前記反応室に誘導的に供給する誘導コイル(42)と、
前記誘導コイルと前記反応室との間に配置されたスプリットファラデーシールド(45)であって、当該スプリットファラデーシールドは、前記プラズマを持続するために高周波電力を前記誘導コイルからスプリットファラデーシールドを通過して誘導的に供給し、反応室(50)内の磁場の変化に反発する反発電流の形成を防止するように構成された複数のほぼ非伝導性の間隙(48)を有し、前記複数の間隙の幅は、半導体基板の加工が行われている間、前記スプリットファラデーシールドと前記誘導コイルとの間の最小間隔よりも狭くなっている前記スプリットファラデーシールドと、
加工時に半導体基板が少なくとも1つのプラズマ生成物にさらされるように半導体基板の位置を定めるための支持体とを備えるプラズマ反応装置。
A plasma reactor for processing a semiconductor substrate,
A reaction wall (41) surrounding a reaction chamber (50) for generating plasma to produce at least one plasma product in the processing of a semiconductor substrate;
Means for connecting a gas supply source (49) and a gas exhaust system (59) to the reaction chamber;
A high frequency power source (43);
An induction coil (42) disposed adjacent to the reaction wall (41) outside the reaction chamber (50) and inductively supplying the high-frequency power to the reaction chamber;
A split Faraday shield (45) disposed between the induction coil and the reaction chamber, the split Faraday shield passing high frequency power from the induction coil through the split Faraday shield to sustain the plasma. A plurality of substantially non-conductive gaps (48) configured to inductively supply and prevent formation of repulsive currents repelling changes in the magnetic field in the reaction chamber (50), The width of the gap is the split Faraday shield that is narrower than the minimum distance between the split Faraday shield and the induction coil while the semiconductor substrate is being processed,
And a support for positioning the semiconductor substrate such that the semiconductor substrate is exposed to at least one plasma product during processing.
請求項1に記載のプラズマ反応装置において、前記スプリットファラデーシールドによって供給される静電シールドのレベルを変化させるための手段を更に備えるプラズマ反応装置。  2. The plasma reactor according to claim 1, further comprising means for changing a level of an electrostatic shield supplied by the split Faraday shield. 請求項1に記載のプラズマ反応装置において、前記半導体基板とプラズマとの間のキャパシタンスに対する前記スプリットファラデーシールドとプラズマとの間のキャパシタンスを変化させるための手段を更に備えるプラズマ反応装置。  The plasma reactor according to claim 1, further comprising means for changing the capacitance between the split Faraday shield and the plasma with respect to the capacitance between the semiconductor substrate and the plasma. 請求項1に記載のプラズマ反応装置において、前記スプリットファラデーシールドとプラズマとの間のキャパシタンスを変化させるための手段を更に備えるプラズマ反応装置。  The plasma reactor according to claim 1, further comprising means for changing a capacitance between the split Faraday shield and the plasma. 請求項1〜4のいずれか1項に記載のプラズマ反応装置において、前記スプリットファラデーシールドは1センチ以上の距離だけ半径方向に移動可能な複数の伝導性プレートからなるプラズマ反応装置。5. The plasma reaction apparatus according to claim 1, wherein the split Faraday shield is composed of a plurality of conductive plates movable in a radial direction by a distance of 1 cm or more. 請求項1〜5のいずれか1項に記載のプラズマ反応装置において、半導体基板の加工が行われている間、前記スプリットファラデーシールドは前記反応壁から1センチ未満離間配置されているプラズマ反応装置。6. The plasma reaction apparatus according to claim 1, wherein the split Faraday shield is spaced from the reaction wall by less than 1 centimeter while the semiconductor substrate is being processed. プラズマ反応装置中にて半導体基板を加工する方法において、反応壁(41)を有する反応室(50)にガスを供給する工程と、前記反応壁に隣接して誘導コイル(42)を提供する工程と、前記誘導コイルと反応壁との間のスプリットファラデーシールド(45)によって反応室におけるガスをシールドする工程であって、前記スプリットファラデーシールドは複数のほぼ非伝導性の間隙を有するものである前記シールド工程と、半導体基板の加工が行われている間、前記複数の間隙の幅が前記スプリットファラデーシールドと前記誘導コイルとの間の最小間隔よりも狭くなるように前記スプリットファラデーシールドを位置決めする工程と、反応室内においてプラズマを維持するために前記スプリットファラデーシールドを介してガス中に電力を誘導的に供給する工程と、半導体基板の加工において少なくとも1つのプラズマ生成物を形成する工程と、加工時に半導体基板を前記少なくとも1つのプラズマ生成物にさらす工程とを備える方法。  In a method for processing a semiconductor substrate in a plasma reactor, a step of supplying a gas to a reaction chamber (50) having a reaction wall (41) and a step of providing an induction coil (42) adjacent to the reaction wall And shielding the gas in the reaction chamber with a split Faraday shield (45) between the induction coil and the reaction wall, wherein the split Faraday shield has a plurality of substantially non-conductive gaps. And a step of positioning the split Faraday shield so that a width of the plurality of gaps is narrower than a minimum distance between the split Faraday shield and the induction coil while the semiconductor substrate is being processed. And in the gas through the split Faraday shield to maintain the plasma in the reaction chamber The method comprising the steps of inductively supplied electric power, and forming at least one plasma product in the processing of semiconductor substrates, and a step of exposing the at least one plasma product semiconductor substrate during processing. 請求項7に記載の方法において、前記スプリットファラデーシールドとプラズマとの間のキャパシタンスを変化させる工程を更に備える方法。  8. The method of claim 7, further comprising changing a capacitance between the split Faraday shield and a plasma. 請求項7に記載の方法において、前記半導体基板とプラズマとの間のキャパシタンスに対する前記スプリットファラデーシールドとプラズマとの間のキャパシタンスを変化させる工程を更に備える方法。The method of claim 7, further comprising a method the step of changing the capacitance between the split Faraday shield and the plasma relative to the capacitance between the semiconductor base plate and the plasma. 請求項7〜9のいずれか1項に記載の方法において、前記スプリットファラデーシールドは複数の伝導性プレートからなるものであり、前記複数の伝導性プレートを半径方向に移動させる工程を更に備える方法。  The method according to any one of claims 7 to 9, wherein the split Faraday shield is composed of a plurality of conductive plates, and further comprises a step of moving the plurality of conductive plates in a radial direction. 請求項7〜10のいずれか1項に記載の方法において、半導体基板の加工が行われている間、前記スプリットファラデーシールドを前記反応壁から1センチ未満離間して配置する工程を備える方法。The method according to any one of claims 7 to 10, wherein the split Faraday shield is arranged at a distance of less than 1 cm from the reaction wall while a semiconductor substrate is being processed.
JP2001347159A 1990-01-04 2001-11-13 Low frequency induction type high frequency plasma reactor Expired - Lifetime JP3691784B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46070790A 1990-01-04 1990-01-04
US460,707 1990-01-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50409991A Division JP3381916B2 (en) 1990-01-04 1991-01-02 Low frequency induction type high frequency plasma reactor

Publications (2)

Publication Number Publication Date
JP2002237489A JP2002237489A (en) 2002-08-23
JP3691784B2 true JP3691784B2 (en) 2005-09-07

Family

ID=23829764

Family Applications (2)

Application Number Title Priority Date Filing Date
JP50409991A Expired - Lifetime JP3381916B2 (en) 1990-01-04 1991-01-02 Low frequency induction type high frequency plasma reactor
JP2001347159A Expired - Lifetime JP3691784B2 (en) 1990-01-04 2001-11-13 Low frequency induction type high frequency plasma reactor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP50409991A Expired - Lifetime JP3381916B2 (en) 1990-01-04 1991-01-02 Low frequency induction type high frequency plasma reactor

Country Status (5)

Country Link
US (1) US5534231A (en)
EP (1) EP0507885B1 (en)
JP (2) JP3381916B2 (en)
DE (1) DE69128345T2 (en)
WO (1) WO1991010341A1 (en)

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920014373A (en) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Plasma Reactor Using VHF / UHF Resonant Antenna Source and Method of Generating Plasma
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
ATE251798T1 (en) * 1994-04-28 2003-10-15 Applied Materials Inc METHOD FOR OPERATING A HIGH PLASMA DENSITY CVD REACTOR WITH COMBINED INDUCTIVE AND CAPACITIVE COUPLING
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
DE69510427T2 (en) * 1994-10-31 1999-12-30 Applied Materials Inc Plasma reactors for semiconductor wafer treatment
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5667701A (en) * 1995-06-07 1997-09-16 Applied Materials, Inc. Method of measuring the amount of capacitive coupling of RF power in an inductively coupled plasma
DE19521548A1 (en) * 1995-06-13 1996-12-19 Ipsen Ind Int Gmbh Method and device for controlling the electrical current density over a workpiece during heat treatment in plasma
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
WO1997033300A1 (en) * 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
TW327236B (en) * 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
DE19635136A1 (en) * 1996-08-30 1998-03-05 Galvano T Electroforming Plati HF-permeable vacuum vessel with integrated Faraday screen
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6177646B1 (en) 1997-03-17 2001-01-23 Matsushita Electric Industrial Co, Ltd. Method and device for plasma treatment
US6762396B2 (en) 1997-05-06 2004-07-13 Thermoceramix, Llc Deposited resistive coatings
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6373022B2 (en) 1997-06-30 2002-04-16 Applied Materials, Inc. Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JPH11167037A (en) * 1997-10-02 1999-06-22 Samsung Electron Co Ltd Production of optical waveguide element utilizing induction coupling plasma apparatus
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
EP1073779A4 (en) * 1998-04-13 2007-05-30 Tokyo Electron Ltd Reduced impedance chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6117401A (en) * 1998-08-04 2000-09-12 Juvan; Christian Physico-chemical conversion reactor system with a fluid-flow-field constrictor
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6028286A (en) * 1998-12-30 2000-02-22 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
CA2376969A1 (en) * 1999-07-21 2001-02-01 Dako A/S A method of controlling the temperature of a specimen in or on a solid support member
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
FR2805185B1 (en) * 2000-02-22 2002-09-20 St Microelectronics Sa OXYGEN-FREE PASSIVATION CLEANING PROCESS IN AN INDUCTIVELY COUPLED PLASMA REACTOR
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6531030B1 (en) 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
WO2001075931A2 (en) * 2000-03-31 2001-10-11 Lam Research Corporation Inductively coupled plasma etching apparatus
US6422173B1 (en) 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20040262146A1 (en) * 2000-10-02 2004-12-30 Platt Robert C. Sterilization system plasma generation control
CN100493267C (en) 2000-11-29 2009-05-27 萨莫希雷梅克斯公司 Resistive heaters and uses thereof
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
DE10138938A1 (en) * 2001-08-08 2003-02-20 Bosch Gmbh Robert Method for sterilizing containers in a plasma treatment chamber, involves movement of the containers and/or the plasma excitation units so that a plasma is produced in required container regions
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
JP2004014904A (en) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd Simultaneous discharging apparatus
DE10393277T5 (en) * 2002-09-18 2005-09-01 Mattson Technology Inc., Fremont System and method for removing material
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
US7183514B2 (en) 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7400096B1 (en) * 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
JP2008288437A (en) * 2007-05-18 2008-11-27 Toshiba Corp Plasma processing apparatus and plasma processing method
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2053631A1 (en) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Method and device for plasma treatment of moving substrates
JP2009164365A (en) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate treatment device
US20090272728A1 (en) * 2008-05-01 2009-11-05 Thermoceramix Inc. Cooking appliances using heater coatings
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
WO2011123124A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
EP2297377B1 (en) 2008-05-30 2017-12-27 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
JP5451324B2 (en) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found Liquid-gas interface plasma device
JP2014509044A (en) * 2011-02-03 2014-04-10 テクナ・プラズマ・システムズ・インコーポレーテッド High performance induction plasma torch
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
CN104342632B (en) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 Pre-cleaning cavity and plasma processing device
CN112335342B (en) 2018-06-14 2023-07-14 Mks仪器公司 Radical output monitor for remote plasma source and method of use
US20210291138A1 (en) * 2018-07-11 2021-09-23 Board Of Trustees Of Michigan State University Vertically oriented plasma reactor
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
US11049692B2 (en) 2019-07-17 2021-06-29 Mattson Technology, Inc. Methods for tuning plasma potential using variable mode plasma chamber
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3715625A (en) * 1971-01-12 1973-02-06 Atomic Energy Commission Plasma generator
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US4116793A (en) * 1974-12-23 1978-09-26 Telic Corporation Glow discharge method and apparatus
US4252608A (en) * 1979-03-16 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas
JPS601952B2 (en) * 1980-01-25 1985-01-18 三菱電機株式会社 plasma etching equipment
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4450787A (en) * 1982-06-03 1984-05-29 Rca Corporation Glow discharge plasma deposition of thin films
JPS5984528A (en) * 1982-11-08 1984-05-16 Nec Kyushu Ltd Plasma etching device
US4600563A (en) * 1985-02-05 1986-07-15 Psi Star Incorporated Plasma reactor with voltage transformer
JPH0654644B2 (en) * 1985-10-04 1994-07-20 株式会社日立製作所 Ion source
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus

Also Published As

Publication number Publication date
EP0507885A1 (en) 1992-10-14
DE69128345D1 (en) 1998-01-15
JP2002237489A (en) 2002-08-23
DE69128345T2 (en) 1998-03-26
JP3381916B2 (en) 2003-03-04
EP0507885B1 (en) 1997-12-03
JPH05502971A (en) 1993-05-20
US5534231A (en) 1996-07-09
WO1991010341A1 (en) 1991-07-11

Similar Documents

Publication Publication Date Title
JP3691784B2 (en) Low frequency induction type high frequency plasma reactor
US6551447B1 (en) Inductive plasma reactor
EP0805475B1 (en) Plasma processing apparatus
US6197151B1 (en) Plasma processing apparatus and plasma processing method
KR101488538B1 (en) Hybrid rf capacitively and inductively coupled plasma source using multifrequency rf powers and methods of use thereof
US5330606A (en) Plasma source for etching
US6875366B2 (en) Plasma processing apparatus and method with controlled biasing functions
US20060144518A1 (en) Plasma processing apparatus and plasma processing method
GB2251977A (en) Plasma processing
JP2005514762A (en) Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US6909087B2 (en) Method of processing a surface of a workpiece
JPH06283470A (en) Plasma processing device
EP0421430B2 (en) A plasma process, method and apparatus
JPH10261498A (en) Plasma treatment apparatus and plasma treatment method
JPH1074600A (en) Plasma processing equipment
US6123802A (en) Method and apparatus for preventing plasma formation
JP2003077904A (en) Apparatus and method for plasma processing
JP2005045291A (en) Apparatus for plasma-etching treatment
JP2003077903A (en) Apparatus and method for plasma processing
JPH09162169A (en) Method and system for plasma processing
JP2004165644A (en) Apparatus and method for plasma processing
KR20030019973A (en) Dry Etching Apparatus and Clean Method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040427

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040604

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041005

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050616

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090624

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090624

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100624

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100624

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110624

Year of fee payment: 6