JP3594582B2 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
JP3594582B2
JP3594582B2 JP2001392393A JP2001392393A JP3594582B2 JP 3594582 B2 JP3594582 B2 JP 3594582B2 JP 2001392393 A JP2001392393 A JP 2001392393A JP 2001392393 A JP2001392393 A JP 2001392393A JP 3594582 B2 JP3594582 B2 JP 3594582B2
Authority
JP
Japan
Prior art keywords
scan
input terminal
signal
clock signal
scan data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001392393A
Other languages
Japanese (ja)
Other versions
JP2003194886A (en
Inventor
博文 米徳
Original Assignee
Necマイクロシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Necマイクロシステム株式会社 filed Critical Necマイクロシステム株式会社
Priority to JP2001392393A priority Critical patent/JP3594582B2/en
Publication of JP2003194886A publication Critical patent/JP2003194886A/en
Application granted granted Critical
Publication of JP3594582B2 publication Critical patent/JP3594582B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、スキャン方式のテスト回路を有する半導体装置に関し、特に一つのスキャンデータ入力端子を複数のスキャンチェーンに接続した構成のテスト回路を有する半導体装置に関する。
【0002】
【従来の技術】
製造された半導体装置の電気的特性や機能・性能が所定の規格を満たしているか否かをテストして製造工程での不良品を識別し、製品として市場に出さないようにするために検査が実施されるが、近年半導体装置の回路規模の拡大に伴い、検査に要する検査コストが大幅に増加してきている。半導体装置の電気的特性や機能・性能のテストには、通常半導体試験装置(以下、LSIテスタとする)が用いられるが、半導体装置の回路規模の拡大に伴い、使用するLSIテスタが高価なものになるだけでなく、LSIテスタで半導体装置をテストするためのテストパタンの数も莫大なものになってきており、半導体装置一つ当たりに要するテスト時間も大幅に増加してきている。このため、半導体装置の検査コストの大部分は、テストで用いるLSIテスタの使用時間に支配される。
【0003】
半導体装置のテストを容易にし、テストパタン数を削減する技術として、半導体装置の内部回路中のフリップフロップ(F/F)に値の設定及び読み出しを可能とする回路を付加するスキャン方式がある。スキャン方式により、内部回路中のF/Fを見かけ上、仮想的な外部入力端子や仮想的な外部観測端子として利用可能になり、回路の内部状態を所定の状態に設定するには膨大な数の入力パタンを必要とすることが多い順序回路を、内部状態を設定しなくてもよい組合せ回路として扱うことができるようになる。このことにより、テストで用いるテストパタンの自動生成が行いやすくなる。
【0004】
スキャン方式では、回路中のF/Fにスキャンパステスト機能を付加したスキャン機能付きF/F(以下、SF/Fとする)をシフトレジスタ状に接続し、一つの外部入力端子からそれらのSF/Fに自由な値の設定、及び、一つの外部出力端子からそれらのSF/Fの値の観測を行える。これらのSF/Fがシフトレジスタ状に接続された状態をスキャンチェーンと呼び、一つのスキャンチェーンに付き、一対の外部入力端子と外部出力端子を用いて、当該スキャンチェーンへの値の設定及び観測を行う。
【0005】
近年、このスキャンチェーンに含まれるSF/Fの数は数十万個以上となるような大規模回路が存在し、それらのSF/Fにスキャンチェーンを通して、テストで必要となる値を設定したり、SF/Fに取り込まれたテストの結果を観測するスキャンシフト動作(以下、SSF動作とする)は、半導体装置のテスト時間の大部分を占めるようになってきている。このSSF動作に要する時間(以下、SSF時間とする)を短縮することができれば、テスト時間を短縮、つまり、テストコストを削減することが可能になる。
【0006】
従来の一般的なSSF時間の短縮方法としては、複数のスキャンチェーンを設定することにより、一つのスキャンチェーンが含むSF/Fの数を低減させ、全体的にSSF時間を短縮する方法が存在する。この方法では、スキャンチェーンの数を多くすればするほど、スキャンチェーンに接続する外部端子数も増やす必要がある。しかしながら、一般的に、LSIテスタに接続できるスキャンチェーン用の外部端子数の制限や、被検査回路自身の外部端子数には限界があり、スキャンチェーン数をむやみに増やせないという問題があった。
【0007】
この問題を解決する一つの方法として、特開2000−258500号公報(以下、公知例とする)に開示された半導体装置がある。これは、一対の外部端子で複数のスキャンチェーンに値の設定及び観測を行える手法を提供するもので、限られたスキャンチェーン用の外部端子を有効活用して、スキャンチェーン当たりのSF/F数を削減し、テスト時間を短縮している。図11は、従来の一般的なスキャン方式で用いられるスキャンチェーンの回路構成例を示すブロック図であり、図12は図11のスキャンチェーンの主要ノードの波形例である。また、図13は公知例に開示されたスキャンチェーンの回路構成例を示すブロック図であり、図14は図13のスキャンチェーンの主要ノードの波形例である。以下、図11〜14を参照して、公知例に開示されたスキャンチェーンの構成、動作及び効果の概要を、従来の一般的なスキャンチェーンと比較しながら説明する。
【0008】
図11において、半導体装置801のスキャンチェーンに関係しない論理部の記述は省略している。スキャンチェーン810は、SF/F811,812,813,及び814から構成されており、スキャンクロック(以下、SCとする)外部入力端子803に与えられるクロック信号に同期して、スキャンデータ入力端子802から信号を取り込み、スキャンアウト(以下、Soutとする)外部出力端子805から信号を取り出す。スキャンチェーン820も同様に、SF/F821,822,823,及び824から構成されており、SC外部入力端子803に与えられるクロック信号に同期して、スキャンデータ入力端子804から信号を取り込み、Sout外部出力端子806から信号を取り出す。
【0009】
図12に示す波形例を用いて、一般的なSSF動作の一部を説明する。図12には、SC外部入力端子803に与えるクロック信号波形120と、スキャンデータ入力端子802に与える信号波形121と、SF/F811のSO出力端上の信号波形122と、スキャンデータ入力端子804に与える信号波形123と、SF/F821のSO出力端上の信号波形124を示している。クロック信号波形120は、時刻t1、t3、t5、及びt7にて立ち上がり、時刻t2、t4、t6、及びt8で立ち下がる波形である。全てのSF/F811,812,813,814,821,822,823,及び824は、クロック信号の立ち上がりエッジで入力信号をラッチするポジティブエッジ型F/Fであると仮定する。時刻t1にて、クロック信号波形120が立ち上がると、当該時刻におけるスキャンデータ入力端子802の信号は、波形121から論理値“1”であり、SF/F811に当該論理値“1”が取り込まれ、SF/F811のSO出力端上の信号は論理値“1”に更新される。同様に、SF/F821のSO出力端上の信号も時刻t1において、スキャンデータ入力端子804の信号を取り込み、論理値“0”になる。このように、SC外部入力端子803に同期して、スキャンチェーン810及び820は、スキャンデータ入力端子802及び804から信号を取り込み、逐次的にSF/Fに論理値を設定させ各SF/FのQ出力端を経て半導体装置801の図示されていない内部回路に論理値を伝搬させる。また、このスキャンイン動作と同時に、SSF動作前に各SF/FのD端子を経て各SF/Fに取り込んでいた半導体装置801の図示されていない内部回路の状態値を、Sout外部出力端子805及び806に出力させるというSout動作も行う。このとき、半導体装置801に製造上の欠陥がある場合に、スキャンチェーン810若しくは820を構成するいずれかのSF/Fに、当該欠陥の影響がSSF動作前にSF/FのD端子を経て、SF/Fに伝搬していれば、SSF動作を経てSout外部出力端子805若しくは806にて、その欠陥の影響を観測できる。例えば、SF/F814に回路の製造上の欠陥が無い場合の期待値として論理値“1”がQ出力端を経て伝搬し、欠陥が存在する場合に論理値“0”が伝搬する場合には、Sout外部出力端子805にて、期待値と異なる論理値が観測されるため、半導体装置801に欠陥があることを識別できる。
【0010】
尚、SF/F811,812,813,814,821,822,823,及び824において、SO出力端とQ出力端には、当該F/Fが保持している信号が出力される。また、SSF動作時には、SI端子の信号の論理値がCLK端子に入力されるクロック信号に同期して当該F/F取り込まれ、SSF動作時以外は、D端子の信号の論理値が当該F/Fに取り込まれる。
【0011】
図11に示す従来の一般的なスキャンチェーン構成では、2つのスキャンデータ入力端子802,804を用いて、4周期分のクロック信号で、8つのSF/Fに所定の論理値を設定することができる。仮に、LSIテスタで使用できるスキャンデータ入力端子及びSout外部出力端子がそれぞれ一つである場合、スキャンチェーンは一つしか用いることができず、その一つのスキャンチェーンに8つのSF/Fを接続しなければならないため、8周期分のクロック信号が必要になる。これは、テスト時間が2倍になることを意味し、テストコストが倍増することに繋がり、テストコスト増という問題になる。
【0012】
この問題点に対して、公知例では、一つのスキャンデータ入力端子から複数のスキャンチェーンに対して信号を供給し、一つのSout外部出力端子から複数のスキャンチェーンの信号をまとめて取り出すことにより、テスト時間が増加することを回避し、テストコストが増加することを抑制可能にしている。
【0013】
図13は、公知例のスキャンチェーン構成例を示したものである。図11の従来例との違いは、スキャンチェーン820用のスキャンデータ入力端子804とSout外部出力端子806に相当する端子がなくなり、スキャンチェーン810用のスキャンデータ入力端子802及びSout外部出力端子805を、スキャンチェーン820用としても共有化する構成となっている点である。但し、Sout外部出力端子は、符号圧縮回路としての排他的論理和ゲート(以下、EXORとする)を介して共有している。
【0014】
具体的には、半導体装置901に搭載されているスキャンチェーン910は、SF/F911,912,913,及び914から構成されており、SC外部入力端子903に与えられるクロック信号に同期して、スキャンデータ入力端子902から信号を取り込み、符号圧縮回路としてのEXOR941を介してSout外部出力端子905から信号を取り出す。また、スキャンチェーン920も同様に、SF/F921,922,923,及び924から構成されており、SC外部入力端子903に与えられるクロック信号に同期して、スキャンデータ入力端子902から信号を取り込み、やはりEXOR941を介してSout外部出力端子905から信号を取り出す。尚、この場合も半導体装置901のスキャンチェーンに関係しない論理部の記述は省略している。
【0015】
この構成により、一つのスキャンデータ入力端子902及びSout外部出力端子905で複数のスキャンチェーン910,920に対しての値の設定及び観測が可能になる。
【0016】
次に図14を用いて、公知例のSSF動作の一部を説明する。図12との違いは、SF/F921のSO出力端における信号波形220が、SF/F911のSO出力端における信号波形122と全く同じになるということである。このように、時刻t1、t3、t5、t7におけるSC外部入力端子903に与えられるクロック信号波形120の立ち上がりに同期して、当該時刻におけるスキャンデータ入力端子902の信号の論理値を取り出し、SF/F911及び921のSO出力端の信号の論理値が、それぞれ、時刻t1にて論理値“1”に、時刻t3にて論理値“0”に、時刻t5にて論理値“0”に、時刻t7にて論理値“1”になる。また、Sout外部出力端子905では、SF/F914及び924のSO出力端の信号の論理値の排他的論理和値を期待値として観測する。
【0017】
仮に、半導体装置901に製造上の欠陥があり、その欠陥の影響がスキャンチェーン910若しくは920のいずれかのSF/FのD端子にSSF動作前に伝搬してきている場合、SSF動作を通じて、SF/F914若しくは924のSO出力端まで伝搬するため、EXOR941を経た信号の論理値は、Sout外部出力端子905で欠陥が無い場合に伝搬する正しい信号の論理値(期待値)とは異なる値になるため、半導体装置901に欠陥があるかどうかを判別できる。例えば、欠陥が無い場合に、SF/F914及び924のSO出力端にそれぞれ論理値“0”及び“1”が伝搬する場合、Sout外部出力端子905では、期待値として論理値“1”を観測する。しかし、欠陥があり、その影響がSF/F914に伝搬し、SF/F914のSO出力端の信号が論理値“1”になる場合、Sout外部出力端子905では、論理値“0”が観測されることになり、期待値“1”とは異なるため、半導体装置901に欠陥があることを識別できる。
【0018】
図13に示す公知例のスキャンチェーン構成では、1つのスキャンデータ入力端子902を用いて、4周期分のクロック信号で、8つのSF/F911〜914及び921〜924に所定の論理値を設定することができる。仮に、LSIテスタで使用できるスキャンデータ入力端子及びSout外部出力端子がそれぞれ一つである場合でも、4周期分のクロック信号で全てのSF/Fに信号を設定可能であるために、図11に示すような従来の一般的なスキャンチェーン構成とは異なり、テスト時間が、従って、テストコストも増加することはない。
【0019】
【発明が解決しようとする課題】
上述した公知例に開示された半導体装置では、SSF時間は充分短縮できているが、次のような2つの問題点がある。
【0020】
第1に、一対の外部端子で制御される複数のスキャンチェーンには、それぞれ、全く同じ値しか設定できないため、一般の自動テストパタン生成(ATPG(Automatic Test Pattern Generation ))ツールが生成したテストパタン等で決定される値を、内部回路のF/Fにスキャンチェーンを通して自由に設定したい場合には、公知例の方法を適用しにくいという問題がある。
【0021】
第2に、一対の外部端子で制御される複数のスキャンチェーンには、それぞれ、全く同じ値しか設定できないため、内部回路の制御性が低下し、結果的に高い故障検出率を得るためには、より多くのテストパタンが必要になり、テスト時間短縮効果が得られなく可能性があるという問題がある。
【0022】
上記2つの問題点を図15を用いて説明する。図15(a)は、従来の一般的なスキャンチェーン構成によるサンプル回路、サンプル故障、及びサンプル故障を検出するテストパタンを示したものである。図15(a)のサンプル回路は、スキャンデータ入力端子851、内部回路852及び853、バッファ854及び856、インバータ(以下、INVとする)855及び857、SF/F858,859,860及び861、ANDゲート862、ORゲート863、外部出力端子864及び865、及びSout外部出力端子866から構成されており、4つのSF/F858、859、860、及び861で、スキャンチェーン869を構成している。ANDゲート862の出力端子に0縮退故障867、及び、ORゲート863の出力端子に1縮退故障868があると仮定した場合、これらの故障(製造上の欠陥として、例えばある信号線が電源に短絡(1縮退)若しくは接地に短絡(0縮退)した状態)を検出するためには、テストパタン870を、スキャンデータ入力端子851から4周期分のクロックで、スキャンチェーン869を構成する4つのSF/F858,859,860,及び861にスキャンインさせればよい。ここで、故障を検出するとは、外部出力端子において観測される信号の論理値が、故障が存在する場合と存在しない場合に異なるようにできればよいこと意味する。
【0023】
例えば、外部出力端子864は、0縮退故障867が存在しなければ論理値“1”を観測できるが、0縮退故障867が存在する場合、故障の影響を受けて論理値“0”を観測するため、サンプル回路が製造上の欠陥により故障していることを識別できる。1縮退故障868についても同様に検出できる。このように、0縮退故障867と1縮退故障868を一つのテストパタンを4周期のクロック信号でSF/Fに設定することで検出可能になる。
【0024】
一方、図15(b)に示す公知例に開示されたスキャンチェーン構成では、図15(a)の従来のスキャンチェーン869がスキャンチェーン969及び970に分けられ、1つのテストパタンを2周期分クロック信号で4つのSF/F958,959,960,及び961にスキャンインできるが、0縮退故障967及び1縮退故障968を検出するには、2つのテストパタン971及び972が必要となる。つまり、公知例のスキャンチェーン構成では、合計4周期分のクロック信号を用いなければ、0縮退故障及び1縮退故障を検出できないことになり、一般的なスキャンチェーン構成によるテスト時間と同じテスト時間が必要ということになる。すなわち、公知例の効果とするところのテスト時間短縮効果、つまり、テストコスト削減効果は得られないことになる。この問題点は、公知例に開示された半導体装置のスキャンチェーンの構成及び動作上の制限から、一つのスキャンデータ入力端子に接続する複数のスキャンチェーンには、必ず同じ論理値しか設定できないために発生するものである。
【0025】
従って、本発明の目的は、内部回路部の制御性を低下させることなくSSF時間を短縮でき、テスト時間及びテストコストを大幅に削減することができるテスト回路を備えた半導体装置を提供することにある。
【0026】
【課題を解決するための手段】
そのため、本発明による半導体装置は、複数のスキャンパステスト用フリップフロップを含んで構成された複数のスキャンチェーンと、スキャンパステスト用データを入力するスキャンデータ入力端子と、を少なくとも備え、
前記複数のスキャンチェーンは、第1のスキャンデータを入力する第1スキャンチェーンと、前記第1のスキャンデータと異なる第2のスキャンデータを入力する第2スキャンチェーンとをそれぞれ少なくとも一つ含み、
前記第1スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを第1タイミングでラッチし、
前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを前記第1タイミングと異なる第2タイミングでラッチし、
更に、前記スキャンデータ入力端子は、前記第1スキャンチェーンのスキャンデータ入力端と前記第2スキャンデータ入力端とを共通接続した第1スキャンデータ入力端子を少なくとも一つ有することを特徴とする。
【0027】
このとき、外部から第1クロック信号を入力するクロック入力端子を更に備え、前記第1タイミングが前記第1クロック信号の第1のエッジに同期したタイミングであり、前記第2タイミングが前記第1クロック信号の前記第1のエッジと逆方向の第2のエッジに同期したタイミングであってよい。
【0028】
また、外部から第1クロック信号を入力するクロック入力端子と、前記第1クロック信号とスキャンシフト動作モードを設定するモード信号を入力し、前記スキャンシフト動作モード時に第1クロック信号から所定時間遅れた第2クロック信号を出力するスキャンクロック制御手段と、を更に備え、
前記スキャンシフト動作モード時に、
前記第1スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号に同期したタイミングでラッチし、
前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを第2クロック信号に同期したタイミングでラッチするようにすることもできる。
【0029】
また、前記第1クロック信号を外部から入力するクロック入力端子と前記第1スキャンチェーンとの間に、信号遅延手段を更に有していてもよい。
【0030】
また、本発明の他の半導体装置は、複数のスキャンパステスト用フリップフロップを含んで構成された複数のスキャンチェーンと、スキャンパステスト用データを入力するスキャンデータ入力端子とを備え、
前記複数のスキャンチェーンは、第1のスキャンデータを入力する第1スキャンチェーンと、前記第1のスキャンデータと異なる第2のスキャンデータを入力する第2スキャンチェーンとをそれぞれ少なくとも一つ含み、
前記第1スキャンチェーン及び前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを第1タイミングでラッチし、
更に、前記スキャンデータ入力端子は、前記第1スキャンチェーンのスキャンデータ入力端と接続すると共に、所定のラッチ手段を介して前記第2スキャンデータ入力端と接続した第1スキャンデータ入力端子を少なくとも一つ有することを特徴とする。
【0031】
このとき、前記ラッチ手段のデータ入力端とデータ出力端を、前記第1スキャンデータ入力端子と前記第2スキャンチェーンのスキャンデータ入力端にそれぞれ接続し、
前記ラッチ手段は、前記第2スキャンチェーンに含まれる前記スキャンパステスト用フリップフロップがスキャンデータをラッチするタイミングとは異なるタイミングで入力信号をラッチするのが好ましい。
【0032】
また、第1クロック信号を入力するクロック入力端子を更に備え、
前記第1及び第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号の第1のエッジに同期したタイミングでラッチし、
前記ラッチ手段は、前記第1クロック信号の前記第1のエッジと逆方向の第2のエッジに同期したタイミングで入力信号をラッチすることもできる。
【0033】
また、第1クロック信号を入力するクロック入力端子を更に備え、
前記第1及び第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号に同期したタイミングでラッチし、
前記ラッチ手段は、前記第1クロック信号の反転信号に同期したタイミングで入力信号をラッチするようにしてもよい。
【0034】
また、内部回路の所定のノードの論理状態を表す信号を外部に出力するスキャンアウト外部出力端子と、データ圧縮手段を更に備え、
前記第1スキャンデータ入力端子に接続された全ての前記スキャンチェーンのスキャンデータ出力端を前記データ圧縮手段の入力端に接続し、
前記データ圧縮手段の出力端を、前記スキャンアウト外部出力端子と接続こともできる。このとき、前記データ圧縮手段を排他的論理和回路、或いはマルチプレクサで構成することができる。
【0035】
【発明の実施の形態】
次に、本発明について図面を参照して説明する。
【0036】
図1は本発明の半導体装置の第1の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図である。図1を参照すると、本実施形態の半導体装置10は、図示されていない所望の機能を実現する内部回路、スキャンパステスト回路20、スキャンデータ入力端子112、SC外部入力端子113、スキャンモード外部入力端子401、及びSout外部出力端子115、を少なくとも備えている。また、スキャンパステスト回路20は第1スキャンチェーンであるスキャンチェーン102、第2スキャンチェーンであるスキャンチェーン107、符号圧縮手段であるEXOR201、及びSC制御手段であるSC制御回路402を含み構成されている。尚、以下の説明ではスキャンパステスト回路20の構成、動作に関係しない内部回路、外部接続端子についての図示、説明は特に断ることなく適宜省略する。
【0037】
まず、スキャンチェーン102,107を構成するSF/Fについて説明する。SF/Fは、スキャンデータを入力するSI入力端、スキャンデータを出力するSO出力端、クロック信号を入力するCLK入力端、並びに内部回路部の所定のノードに接続されるD入力端及びQ出力端を少なくとも有し、SSF動作モード時にクロック信号に同期してSI入力端の信号をラッチすると共にSO出力端に出力し、SSF動作モード以外の通常動作モード時にはクロック信号に同期してD入力端の信号をラッチすると共にQ出力端及びSO出力端に出力する機能を備えていれば特に限定されない。図16は、一つのクロック信号でSSF動作を行うSF/Fの具体的な構成例を示すブロック図である。図16を参照すると、このマルチプレクスドタイプSF/F50は、マルチプレクサ(以下、MUXとする)51と通常のF/F53とで構成されている。SF/F50のD入力端,SI入力端,モード信号入力端SE,及びCLK入力端を、MUX51の0選択入力端,1選択入力端,選択制御入力端,及びF/F53のクロック入力端とそれぞれ接続し、MUX51の出力端をF/F53のD入力端と接続し、F/F53のQ出力端をSF/F50のQ出力端及びSO出力端と接続している。通常動作時は、モード信号入力端SEに入力するモード信号は論理値“0”に固定されており、クロック信号とD入力端に入力するデータ信号とで通常のF/F動作を行う。SSF動作時には、モード信号は論理値“1”となり、CLK入力端に入力するクロック信号が第1クロック信号であるスキャンクロックとなり、SI入力端に入力するスキャンインデータを取り込み、SSF動作を実現する。
【0038】
尚、以下の各実施形態の説明におけるSF/F(SF/F103〜106及びSF/F108〜111)は、全て上記SF/F50と同じ動作、機能を有するものとする。但し、煩瑣を避けるため、通常動作モードかSSF動作モードかを指定するモード信号を入力するモード信号入力端SE及びモード信号入力端SEに接続する配線の図示は全て省略してある。また、SSF動作モード時には、SI入力端の信号をCLK入力端に入力するクロック信号の立ち上がりエッジに同期してラッチすると共にSO出力端に出力し、SSF動作モード時以外の通常動作モード時には、D入力端の信号をCLK入力端に入力するクロック信号の立ち上がりエッジに同期してラッチすると共にSO出力端及びQ出力端に出力するものとする。
【0039】
次に、スキャンチェーン102及び107の構成について説明する。スキャンチェーン102は、スキャンパステスト用F/FであるSF/F103,104,105,及び106を含んで構成される。先頭のSF/F103のSI入力端とSO出力端をスキャンデータ入力端子112とSF/F104のSI入力端にそれぞれ接続する。また、SF/F104のSO出力端をSF/F105のSI入力端に、SF/F105のSO出力端をSF/F106のSI入力端に、最終段のSF/F106のSO出力端をEXOR201の一方の入力端にそれぞれ接続する。更に、SF/F103〜106の各CLK入力端を全てSC外部入力端子113に、図示されていない各モード信号入力端を全てスキャンモード外部入力端子401に、それぞれ接続する。この構成により、SSF動作モードの際には、スキャンデータ入力端子112に入力されるスキャンデータである信号をSC外部入力端子113から入力される第1クロック信号に同期して順次ラッチするSSF動作を行い、スキャンチェーン102を構成する各SF/Fに所望の論理値を設定しながら、SSF動作開始時の半導体装置10の所定の内部回路部の状態を、EXOR201を介して、Sout外部出力端子115から取り出す。
【0040】
スキャンチェーン107は、スキャンパステスト用F/FであるSF/F108,109,110,及び111を含んで構成される。先頭のSF/F108のSI入力端とSO出力端をスキャンデータ入力端子112とSF/F109のSI入力端にそれぞれ接続する。また、SF/F109のSO出力端をSF/F110のSI入力端に、SF/F110のSO出力端をSF/F111のSI入力端に、最終段のSF/F111のSO出力端をEXOR201の他方の入力端にそれぞれ接続する。更に、SF/F108〜111の各CLK入力端を全て後述するSC制御回路402の第2クロック信号であるSC2信号を出力する端子417に、図示されていない各モード信号入力端を全てスキャンモード外部入力端子401に、それぞれ接続する。この構成により、SSF動作モードの際には、スキャンデータ入力端子112に入力されるスキャンデータである信号をSC制御回路402から出力される第2クロック信号に同期して順次ラッチするSSF動作を行い、スキャンチェーン107を構成する各SF/Fに所望の論理値を設定しながら、SSF動作開始時の半導体装置10の所定の内部回路部の状態を、EXOR201を介して、Sout外部出力端子115から取り出す。
【0041】
尚、必要に応じて、例えばSC外部入力端子113とスキャンチェーン102の間に遅延調整用回路403を挿入し、第1クロック信号とスキャンデータ入力端子112から入力されるスキャンデータ信号とのタイミングを整合させ、スキャンチェーン102の各SF/Fが所定のスキャンデータを確実にラッチすることができるようにする。
【0042】
次に、SC制御回路402について説明する。SC制御回路402は、SC外部入力端子113から入力される第1クロック信号と、スキャンモード外部入力端子401から入力され半導体装置10をSSF動作モードに設定するモード信号を入力して第2クロック信号を出力する。図2は、SC制御回路402の構成の一例を示すブロック図である。図2を参照すると、SC制御回路402は、SC外部入力端子113と接続して第1クロック信号を入力する端子405、スキャンモード外部入力端子401と接続してモード信号を入力する端子407、第2クロック信号であるSC2信号を出力する端子417、ANDゲート410,413及び415、INV411及び414、ネガティブエッジ型リセットバー付F/F412、及び、マルチプレクサゲート(以下、MUXとする)416から構成されている。端子405に、ANDゲート410,413のそれぞれの第1の入力端,及びINV414の入力端を全て接続し、端子407に、ANDゲート410の第2の入力端,ANDゲート415の第1の入力端,F/F412のD入力端及びリセット入力端,並びにINV411の入力端を接続する。また、INV411の出力端をANDゲート413の第2の入力端に、ANDゲート413の出力端をMUX416の0選択入力端に、INV414の出力端をMUX416の1選択入力端に、ANDゲート410の出力端をF/F412のクロック入力端に、F/F412のQ出力端をANDゲート415の第2の入力端に、ANDゲート415の出力端をMUX416の選択制御入力端418に、MUX416の出力端419を端子417にそれぞれ接続している。MUX416は、例えば選択制御入力端418に入力する信号が論理値“0”のとき0選択入力端の信号を出力端に伝達し、論理値“1”のとき1選択入力端の信号を出力端に伝達する。この構成により、例えば端子407に入力する信号が論理値“1”のとき、端子405に入力する信号が論理値“1”から論理値“0”に遷移するときに、論理値“0”から論理値“1”に遷移する信号を生成して、端子417から出力する。また、端子407に入力する信号が論理値“0”のときは、端子405に入力する信号をそのまま端子417から出力する。
【0043】
次に、本実施形態のスキャンパステスト回路20の動作を説明する。図3はスキャンパステスト回路20の動作を説明するための主なノードの波形図で、信号波形120,420,122,421,422,及び423は、SC外部入力端子113,スキャンデータ入力端子112,SF/F103のSO出力端,SF/F108のSO出力端,スキャンモード外部入力端子401,及びSC制御回路402の端子417における信号波形をそれぞれ示すものである。以下、図1〜3を参照して説明する。本実施形態の半導体装置10が有するスキャンパステスト回路20は、試験のために図示されていない内部回路部の状態設定や状態読み出しを行うSSF動作モード時には、スキャンモード外部入力端子401から入力するモード信号が、例えば信号波形422に示すように論理値“1”となり、スキャンチェーン102,107はSSF動作を行う。このとき、スキャンチェーン102を構成するSF/F103〜106の各CLK入力端にはSC外部入力端子113から入力する第1クロック信号(信号波形120)をそのまま供給するが、スキャンチェーン107を構成するSF/F108〜111の各CLK入力端にはSC制御回路402で生成される第2クロック信号(信号波形423)を供給する。SSF動作モード時にSC制御回路402で生成される第2クロック信号は、上記説明のとおり、SC外部入力端子113から供給される第1クロック信号が論理値“1”から論理値“0”に遷移するときに、論理値“0”から論理値“1”に遷移する波形となっている。従って、SSF動作モード時には、スキャンチェーン102を構成する各SF/FはそれぞれのSI入力端の信号を第1クロック信号の立ち上がり時にラッチし、スキャンチェーン107を構成する各SF/FはそれぞれのSI入力端の信号を第1クロック信号の立ち下がり時にラッチする。そして第1クロック信号を所定回数入力することでSSF動作が行われ、スキャンデータ入力端子112に供給されている信号がスキャンチェーン102,107を構成する各SF/Fに順次ラッチされる。このとき、外部からスキャンデータ入力端子112に供給するスキャンデータである信号を、必要に応じて第1クロック信号の1周期の間に、例えば第1クロック信号が高レベルの期間と低レベルの期間に分けて、変化させば、一つのスキャンデータ入力端子112で2つのスキャンチェーン102とスキャンチェーン107に異なるスキャンデータを設定することができる。
【0044】
例えば、スキャンデータ入力端子112に供給されるスキャンデータを図3に示す信号波形420とすると、時刻t1においては論理値“1”であるため、論理値“1”がスキャンチェーン102の先頭に位置するSF/F103のSI入力端を経て、SC外部入力端子113に供給される第1クロック信号の立ち上がりに同期してSF/F103にラッチされ、SF/F103のSO出力端の信号は信号波形122に示すように論理値“1”に更新される。同様に、時刻t2においては、SC制御回路402から出力される第2クロック信号が信号波形423に示すように立ち上がり、当該時刻におけるスキャンデータ入力端子112の信号は信号波形420に示すとおり論理値“0”であるため、論理値“0”が、スキャンチェーン107の先頭に位置するSF/F108のSI入力端を経て、SF/F108にラッチされ、SF/F108のSO出力端の信号は信号波形421のように論理値“0”に更新される。
【0045】
同様にして、SF/F103は、時刻t3、t5、t7において、先にラッチした信号の論理値をスキャンチェーン102を構成する各SF/Fに順次シフトしながらスキャンデータ入力端子112の信号の論理値を順次ラッチする。また、SF/F108は、時刻t4、t6、t8において、先にラッチした信号の論理値をスキャンチェーン102を構成する各SF/Fに順次シフトしながらスキャンデータ入力端子112の信号の論理値を順次ラッチする。この結果、スキャンチェーン102の先頭に位置するSF/F103のSO出力端の信号は信号波形122を形成し、スキャンチェーン107の先頭に位置するSF/F108のSO出力端の信号は信号波形421を形成する。
【0046】
また、SF/F103〜106、及びSF/F108〜111には、SSF動作開始時の半導体装置10の内部回路部の状態を示す論理値が取り込まれているので、SSF動作開始後、例えば時刻t11、t12、t13、t14においてSout外部出力端子115から出力する信号の論理値を観測することにより、SSF動作開始時の半導体装置10の内部回路部の状態が正常であったか否かを判定できる。具体的には、例えばSSF動作開始時に、SF/F106のD入力端を経てSF/F106にラッチされる信号の期待論理値が論理値“0”であり、SF/F111のD入力端を経てSF/F111にラッチされる信号の期待論理値が論理値“1”である場合、時刻t11においてSout外部出力端子115で観測される期待値は、これらの排他的論理和値である論理値“1”となる。半導体装置10が製造上の欠陥によって故障し、SSF動作開始時にSF/F106にラッチされていた信号が論理値“0”ではなく、論理値“1”である場合、時刻t11において、Sout外部出力端子115で観測される信号は論理値“0”となり、故障していない場合に期待された論理値“1”と異なる結果となるため、半導体装置10に欠陥があるということを識別できる。但し、この場合は、SSF動作開始時に、SF/F111にラッチされていた信号が論理値“1”ではなく、論理値“0”である場合も同様の結果となるので、いずれのスキャンチェーンに含まれるSF/Fに伝搬した欠陥かまでは識別できない。
【0047】
次に、スキャンデータ入力端子112に供給する信号を、必要に応じて第1クロック信号の1周期の間に、例えば第1クロック信号が論理値“1”の期間と論理値“0”の期間に分けて、変化させるモジュレーション回路について説明する。図4はモジュレーション回路の一例を示すブロック図である。尚、モジュレーション回路440は、例えばLSIテスタと被検査回路である半導体装置10との間を取り持つ外付けボード上に存在する。図4を参照すると、このモジュレーション回路440は、スキャンチェーン102にラッチさせたい信号を供給する端子441、スキャンチェーン107にラッチさせたい信号を供給する端子444、モジュレーションクロック(以下、MCLKとする)信号を供給する端子442、モジュレーション回路440を初期化するリセット信号を入力する端子443、スキャンチェーン102に供給する信号をMCLK信号に同期してラッチするF/F445、スキャンチェーン107に供給する信号をMCLK信号に同期してラッチするF/F446、モジュレーション波形を合成するMUX447、及び、MUX447の選択制御入力端458へ供給する選択制御信号の供給タイミングを調整するバッファ448から構成されており、MUX447の出力端459から出力する信号が、被検査回路となる半導体装置10のスキャンデータ入力端子112に供給される。また、これらは、F/F445のD入力端,CLK入力端,RS入力端,及びQ出力端を、端子441,端子442,端子443,及びMUX447の1選択入力端とそれぞれ接続し、F/F446のD入力端,反転入力となっているCLK入力端,RS入力端,及びQ出力端を、端子444,端子442,端子443,及びMUX447の0選択入力端とそれぞれ接続し、更にバッファ448の入力端と出力端を、端子442とMUX447の選択制御入力端458にそれぞれ接続している。
【0048】
次に、このように構成されたモジュレーション回路440の動作を説明する。図5は、この動作を説明するために主要ノードの信号波形を第1クロック信号波形と共に示す模式的な波形図の例である。図4,5を参照すると、端子442に入力するMCLK信号(信号波形453)は、第1クロック信号(信号波形120)よりも早めに変化し、端子441に供給する信号の信号波形451と端子444に供給する信号の信号波形452から、スキャンデータ入力端子112に供給するスキャンデータとなる信号波形420を合成する。例えば、時刻t21では、端子441に供給されている信号は論理値“1”であり、MCLK信号の立ち上がりでF/F445にラッチされ、MUX447の選択制御信号は論理値“1”となるため、MCLK信号が論理値“1”である間、F/F445にラッチされた論理値“1”がスキャンデータ入力端子112に供給される。次に、時刻t22において、MCLK信号が立ち下がると、当該時刻において、端子444に供給されている信号は論理値“0”であり、これがF/F446にラッチされ、MUX447の選択制御信号は論理値“0”になるため、MCLK信号が論理値“0”である間、F/F446にラッチされた論理値“0”がスキャンデータ入力端子112に供給される。以下同様に、時刻t23、t24、t25、t26、t27及びt28において、信号波形451として端子441に供給される信号と信号波形452として端子444に供給される信号から、スキャンデータ入力端子112に供給する信号波形420を合成する。
【0049】
次に、本実施形態の半導体装置10をLSIテスタによりテストする方法を説明する。図6は、半導体装置10のテスト方法を説明するための図で、モジュレーション回路440,LSIテスタ471,及び半導体装置10の接続関係の概略を示すブロック図である。図6を参照すると、LSIテスタ471から被検査回路となる半導体装置10への入力信号は、外付けボード474内部を通過する入力信号線束473を経て、半導体装置10に供給される。この入力信号線束473の一部の信号線475が、外付けボード474に存在するモジュレーション回路440に接続し、モジュレーション回路440からのモジュレーション信号線476が半導体装置10に接続され、モジュレーションされた信号が半導体装置10の例えばスキャンデータ入力端子112に供給される。半導体装置10の出力信号は出力信号線束472を経て、LSIテスタ471に戻される。LSIテスタ471では、出力信号線束472の信号の論理値を観測し、期待値と比較して半導体装置10に故障があるかどうかを判断する。
【0050】
以上説明したように、本実施形態の半導体装置に含まれるスキャンパステスト回路のスキャンチェーンは、一つのスキャンデータ入力端子を共有する複数のスキャンチェーン間でラッチタイミングをずらせると共に、共有する一つのスキャンデータ入力端子に与える信号にモジュレーションをかけることにより、複数の異なるスキャンチェーンに自由に論理値を設定できるようになるので、内部回路部の制御性を損なうことなくテスト時間を短縮することができるという顕著な効果が得られる。
【0051】
次に、本発明の半導体装置の第2の実施形態について説明する。図7は、本発明の半導体装置の第2の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図であり、図8は第1の実施形態における図3に相当する図で、スキャンパステスト回路21の動作を説明するための主なノードの波形図であり、信号波形120,420,122,421,422,及び423は、SC外部入力端子113,スキャンデータ入力端子112,SF/F103のSO出力端,SF/F108のSO出力端,スキャンモード外部入力端子401,及びSC制御回路402の端子417における信号波形をそれぞれ示すものである。図7を参照すると、本実施形態の半導体装置11は、図示されていない所望の機能を実現する内部回路、スキャンパステスト回路21、スキャンデータ入力端子112、SC外部入力端子113、スキャンモード外部入力端子401、及びSout外部出力端子115、を少なくとも備えている。また、スキャンパステスト回路21は第1スキャンチェーンであるスキャンチェーン102、第2スキャンチェーンであるスキャンチェーン107、符号圧縮手段であるMUX210、及びSC制御手段であるSC制御回路402を含み構成されている。本実施形態は、第1の実施形態のスキャンパステスト回路20に含まれる符号圧縮手段であるEXOR201をMUX210に置き換えてスキャンパステスト回路21を構成した点が異なるだけで、他は全て第1の実施形態と同じであり、同じ部分の説明は省略する。このMUX210は、0選択入力端,1選択入力端,出力端219,及び選択制御入力端218を、SF/F106のSO出力端,SF/F111のSO出力端,Sout外部出力端子115,及びSC外部入力端子113とそれぞれ接続している。
【0052】
この構成により、本実施形態では、Sout外部出力端子115には、第1クロック信号に同期して、第1クロック信号が論理値“1”の期間はスキャンチェーン102側の情報が、また論理値“0”の期間はスキャンチェーン107側の情報が交互に現れるため、Sout外部出力端子115から出力される信号波形を観測することにより、どのSF/Fにおいて故障の影響が伝搬したのかを識別できる。例えば、SSF動作開始時にSF/F106にラッチされた内部回路部の状態値は、図8の時刻t11にて、Sout外部出力端子115の信号の論理値を観測することにより確認でき、SF/F111にラッチされた内部回路部の状態値は、時刻t31にて、Sout外部出力端子115の信号の論理値を観測することにより確認できる。このように本実施形態では、テストの結果から、どの故障がどのSF/Fに伝搬したのかを特定することが可能になる。
【0053】
次に、本発明の半導体装置の第3の実施形態について説明する。図9は、本発明の半導体装置の第3の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図であり、図10は第1の実施形態における図3に相当する図で、スキャンパステスト回路22の動作を説明するための主なノードの波形図であり、信号波形120,420,122,及び621は、SC外部入力端子113,スキャンデータ入力端子112,SF/F103のSO出力端,及びSF/F108のSO出力端における信号波形をそれぞれ示すものである。図9を参照すると、本実施形態の半導体装置12は、図示されていない所望の機能を実現する内部回路、スキャンパステスト回路22、スキャンデータ入力端子112、SC外部入力端子113、スキャンモード外部入力端子401、及びSout外部出力端子115、を少なくとも備えている。また、スキャンパステスト回路22は第1スキャンチェーンであるスキャンチェーン102、第2スキャンチェーンであるスキャンチェーン602、符号圧縮手段であるEXOR201、及びネガティブエッジ型F/F601を含み構成されている。
【0054】
第1,第2の実施形態では、SC制御回路402を用いて、一つのスキャンデータ入力端子112から、2つのスキャンチェーン102及び107に異なる値を設定できるようにしていたが、図9に示すようなスキャンチェーン構成を採ることにより、第1,第2の実施形態と同じ効果を得ることができる。本実施形態のスキャンチェーン構成が第1,第2の実施形態のスキャンチェーン構成と異なる点は、スキャンチェーン107の代わりに、SF/F108、109、及び111から成るスキャンチェーン602を配置し、スキャンチェーン602の前にネガティブエッジ型F/F601を挿入し、SC制御回路402を削除した点である。本実施形態においても第1,第2の実施形態と同様にスキャンデータ入力端子112に供給する信号のモジュレーションは、外付けボード上のモジュレーション回路440を用いる。
【0055】
このスキャンチェーン構成によるSSF動作は、図10の信号波形も併せて参照すると、スキャンチェーン602に設定する信号を、予めSC外部入力端子113に供給する第1クロック信号の立ち下がり時に、スキャンデータ入力端子112からF/F601に取り込み、第1クロック信号の次の立ち上がり時に、F/F601にラッチされていた信号値をSF/F108に伝搬させる。第1,第2の実施形態のスキャンチェーン107を構成するSF/Fは、見かけ上、第1クロック信号の立ち下がり時に、スキャンデータ入力端子112に供給された信号をラッチしていたが、本実施形態では、スキャンチェーン602のSF/Fは、第1クロックの立ち下がり時にF/F601に取り込んでいた信号を、第1クロック信号の立ち上がり時にラッチするようになる。
【0056】
上述した本実施形態の例では、スキャンチェーン602のSF/Fの数が第1,第2の実施形態の場合よりも少なくなっている。第1,第2の実施形態と同じように、スキャンチェーン602のSF/Fの数を、スキャンチェーン102のSF/Fの数と同じにした場合、SSF動作では、F/F601に所望の信号を設定するクロック1周期分だけSSF時間が長くなる。しかし、大規模回路では、スキャンチェーンに含まれるSF/Fの数は非常に多くなるため、クロック1周期分のSSF時間が増加しても、全体的なテスト時間の大幅短縮効果に対する影響はほとんどない。
【0057】
尚、本発明は上記実施形態の説明に限定されるものでなく、その要旨の範囲内において種々変更が可能である。例えば、上記実施形態では各スキャンチェーンを構成するSF/Fの数が3乃至4個の例で説明したが、内部回路部の規模に応じてこの数は任意に増やすことができることは言うまでもない。また、上記実施形態ではモジュレーションをかけるためのモジュレーション回路を外付けボード上に設けた例で説明したが、モジュレーション機能を内蔵するLSIテスタも多く、そのようなLSIテスタを用いる場合は、当然外付けボード上のモジュレーション回路は不要である。
【0058】
また、スキャンチェーンを構成するSF/Fは、SSF動作モード時に一つのクロック信号でSSF動作を行う例で説明したが、2つのクロック信号でSSF動作を行う構成のSF/Fであっても本発明は適用可能である。
【0059】
例えば、SSF動作モード時に2つのクロック信号でSSF動作を行う構成のSF/Fとしては、例えば図17に示すクロックドLSSD(Level Sensitive ScanDesign)型SF/Fがある。図17を参照すると、クロックドLSSD型SF/F70はD型ラッチ71,73,75から構成されており、通常動作時のデータ信号及び通常動作クロック信号をそれぞれ入力するD入力端及びCLK入力端と、通常動作時のデータ信号を出力するQ出力端と、SSF動作モード時にスキャンデータ信号,第1のスキャンクロック信号,及び第2のスキャンクロック信号をそれぞれ入力するSI入力端,SC1入力端,及びSC2入力端と、SSF動作モード時にスキャンデータ信号を出力するSO出力端を備えている。通常動作時は、第1及び第2のスキャンクロック信号は論理値“0”に固定されており、CLK入力端に入力する通常動作クロック信号のみで、D型ラッチ71及び75で通常のD型F/Fとして機能する。SSF動作モード時には、CLK入力端に入力する通常動作クロック信号は論理値“0”に固定され、SC1入力端,及びSC2入力端に入力する第1及び第2のスキャンクロック信号でSSF動作を実現する。SSF動作時、1周期の間に、まずSC1入力端に第1スキャンクロック信号が与えられ、SI入力端に入力されるスキャンデータ信号をD型ラッチ73にラッチする。その後、SC2入力端に第2クロック信号が入力され、D型ラッチ73にラッチされたデータ信号をD型ラッチ75にラッチし、次段のSF/Fに信号を伝搬させる。
【0060】
次に、このようなクロックドLSSD型SF/Fを用いたスキャンチェーンの構成例を説明する。図18は、クロックドLSSD型SF/Fを用いたスキャンチェーンの構成例を示すブロック図であり、図9に示す第3の実施形態の半導体装置に含まれるスキャンパステスト回路のスキャンチェーンを構成するSF/Fを上述したクロックドLSSD型SF/Fに置き換えた構成となっている。この半導体装置15が有するテスト回路25は、クロックドLSSD型SF/F706,707,708,及び709で構成されるスキャンチェーン710と、ネガティブエッジ型F/F711と、クロックドLSSD型SF/F712,713,及び714から構成されるスキャンチェーン715と、ネガティブエッジ型F/F716と、クロックドLSSD型SF/F717,718,及び719から構成されるスキャンチェーン720と、ネガティブエッジ型F/F721と、クロックドLSSD型SF/F722,723,及び724から構成されるスキャンチェーン725を含み、更にこれらのスキャンチェーンに、スキャンデータ信号を供給するSIN1入力端子702、第1及び第2のスキャンクロック信号を供給するSC1入力端子704,SC2入力端子705、通常動作時の通常動作クロック信号を入力するCLK入力端子703、スキャンデータを圧縮するEXOR726,727,及び728、そして、スキャンデータ信号を出力するスキャンデータ出力端子729を備えている。このように、クロックドLSSD型SF/Fを用いてスキャンチェーンを構成することにより、第3の実施形態の場合とは異なり、一つのSIN1入力端子702から4本のスキャンチェーン710,715,720,725に互いに独立したデータ信号を供給可能である。
【0061】
次に、SSF動作の概要を説明する。図19は、図18のテスト回路25が含むスキャンチェーンのSSF動作を説明するための模式的な波形図である。以下、図17,18,19を参照して説明する。SSF動作モード時には、通常動作クロック信号は論理値“0”に固定され、第1及び第2のスキャンクロック信号でSSF動作を実現する。スキャンチェーン710の最初のSF/F706は、第1のスキャンクロック信号(信号波形730)の立ち上がりでSIN1入力端子702から入力されたスキャンデータ信号(信号波形732)をラッチする。一方、スキャンチェーン715,720,725の前には、それぞれ、第1のスキャンクロック信号の立ち下がりでスキャンデータ信号をラッチするF/F711、第2のスキャンクロック信号の立ち上がりでスキャンデータ信号をラッチするF/F716、第2のスキャンクロック信号の立ち下がりでスキャンデータ信号をラッチするF/F721が接続されている。各F/Fにラッチされた信号(信号波形735,738,及び741)は、次の周期の第1のスキャンクロック信号の立ち上がりで、各SF/F712,717,722に取り込まれる。これにより、各スキャンチェーンは、1周期の間の4つのポイントでSIN1入力端子702に入力されるデータ信号を取り込むことができ、個々のスキャンチェーンにおいて、異なるスキャンデータに対するSSF動作が可能になる。尚、図19の波形図の信号波形733,736,739,及び742は、それぞれSF/F706,712,717,及び722の図17におけるD型ラッチ73の出力に相当する部分の信号波形であり、信号波形734,737,740,及び743は、同様にD型ラッチ75の出力に相当する部分の信号波形を示している。
【0062】
【発明の効果】
以上説明したように、本発明の半導体装置は、内部回路部の制御性を低下させることなくSSF時間を短縮でき、テスト時間及びテストコストを大幅に削減することができるという顕著な効果が得られる。
【図面の簡単な説明】
【図1】本発明の半導体装置の第1の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図である。
【図2】図1のSC制御回路の構成の一例を示すブロック図である。
【図3】図1のスキャンパステスト回路の動作を説明するための主なノードの波形図である。
【図4】モジュレーション回路の一例を示すブロック図である。
【図5】図4のモジュレーション回路の動作を説明するために主要ノードの信号波形を第1クロック信号波形と共に示す模式的な波形図の例である。
【図6】第1の実施形態の半導体装置のテスト方法を説明するための図で、モジュレーション回路,LSIテスタ,及び半導体装置の接続関係の概略を示すブロック図である。
【図7】本発明の半導体装置の第2の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図である。
【図8】図7のスキャンパステスト回路の動作を説明するための主なノードの波形図である。
【図9】本発明の半導体装置の第3の実施形態に含まれるスキャンパステスト回路のスキャンチェーンの構成例を示すブロック図である。
【図10】図9のスキャンパステスト回路の動作を説明するための主なノードの波形図である。
【図11】従来の一般的なスキャン方式で用いられるスキャンチェーンの回路構成例を示すブロック図である。
【図12】図11のスキャンチェーンの主要ノードの波形例である。
【図13】特開2000−258500号公報に開示されたスキャンチェーンの回路構成例を示すブロック図である。
【図14】図13のスキャンチェーンの主要ノードの波形例である。
【図15】サンプル回路とサンプル故障を用いて故障を検出するためのテストパタンをスキャンチェーンにより設定する例を説明するための図で、(a)は、従来の一般的なスキャンチェーンの場合のテストパタンを示したものであり、(b)は特開2000−258500号公報に開示されたスキャンチェーンの場合のテストパタンを示したものである。
【図16】一つのクロック信号でSSF動作を行うSF/Fの具体的な構成例を示すブロック図である。
【図17】クロックドLSSD型SF/Fの構成例を示すブロック図である。
【図18】クロックドLSSD型SF/Fを用いたスキャンチェーンの構成例を示すブロック図である。
【図19】図18のテスト回路が含むスキャンチェーンのSSF動作を説明するための模式的な波形図である。
【符号の説明】
10,11,12,15 半導体装置
20,21,22,25 テスト回路
50 SF/F
51,210,416,447 MUX
53,412 F/F
70 クロックドLSSD型SF/F
71,73,75 D型ラッチ
102,107,602 スキャンチェーン
710,715,720,725 スキャンチェーン
103,104,105,106 SF/F
108,109,110,111 SF/F
112 スキャンデータ入力端子
113 SC外部入力端子
115 Sout外部出力端子
201,726,727,728 EXOR
218,418,458 選択制御入力端
219,419,459 出力端
401 スキャンモード外部入力端子
402 SC制御回路
403 遅延調整用回路
405,407,417,441,442,443,444 端子
410,413,415 ANDゲート
411,414 INV
440 モジュレーション回路
445,446,711,716,721 F/F
448 バッファ
471 LSIテスタ
472 出力信号線束
473 入力信号線束
474 外付けボード
475 信号線
601 F/F
702 SIN1入力端子
703 CLK入力端子
704 SC1入力端子
705 SC2入力端子
706,707,708,709,712,713,714,717,718,719,722,723,724 SF/F
729 スキャンデータ出力端子
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device having a scan test circuit, and more particularly to a semiconductor device having a test circuit having a configuration in which one scan data input terminal is connected to a plurality of scan chains.
[0002]
[Prior art]
Tests are performed to determine whether the electrical characteristics, functions, and performance of the manufactured semiconductor devices meet specified standards, identify defective products in the manufacturing process, and conduct inspections to prevent the products from being put on the market. However, in recent years, the inspection cost required for the inspection has been greatly increased with an increase in the circuit scale of the semiconductor device. Normally, a semiconductor test device (hereinafter, referred to as an LSI tester) is used for testing the electrical characteristics, functions, and performances of the semiconductor device. However, as the circuit scale of the semiconductor device increases, the LSI tester used becomes expensive. In addition, the number of test patterns for testing a semiconductor device with an LSI tester has become enormous, and the test time required for each semiconductor device has been greatly increased. For this reason, most of the inspection cost of the semiconductor device is governed by the usage time of the LSI tester used in the test.
[0003]
As a technique for facilitating the test of a semiconductor device and reducing the number of test patterns, there is a scan method in which a circuit capable of setting and reading a value is added to a flip-flop (F / F) in an internal circuit of the semiconductor device. By the scanning method, the F / F in the internal circuit can be apparently used as a virtual external input terminal or a virtual external observation terminal, and an enormous number of times are required to set the internal state of the circuit to a predetermined state. Can often be handled as a combinational circuit that does not need to set an internal state. This facilitates automatic generation of test patterns used in the test.
[0004]
In the scan method, an F / F with a scan function (hereinafter, referred to as SF / F) in which a scan path test function is added to an F / F in a circuit is connected in the form of a shift register, and these SFs are connected from one external input terminal. / F can be set freely, and the SF / F values can be observed from one external output terminal. A state in which these SFs / Fs are connected in a shift register form is called a scan chain, and a set and observation of a value in the scan chain is performed using a pair of external input terminals and external output terminals per scan chain. I do.
[0005]
In recent years, there are large-scale circuits in which the number of SFs / Fs included in this scan chain is hundreds of thousands or more, and a value required for a test is set to the SF / Fs through the scan chain. , SF / F, the scan shift operation for observing the result of the test (hereinafter, referred to as SSF operation) is occupying most of the test time of the semiconductor device. If the time required for the SSF operation (hereinafter referred to as SSF time) can be reduced, the test time can be reduced, that is, the test cost can be reduced.
[0006]
As a conventional general method of shortening the SSF time, there is a method of setting a plurality of scan chains to reduce the number of SFs / Fs included in one scan chain, thereby shortening the SSF time as a whole. . In this method, as the number of scan chains increases, the number of external terminals connected to the scan chains needs to increase. However, in general, the number of scan chain external terminals that can be connected to an LSI tester is limited, and the number of external terminals of the circuit under test itself is limited, so that the number of scan chains cannot be increased unnecessarily.
[0007]
As one method for solving this problem, there is a semiconductor device disclosed in Japanese Patent Application Laid-Open No. 2000-258500 (hereinafter referred to as a known example). This provides a method of setting and observing values for a plurality of scan chains with a pair of external terminals. The number of SF / Fs per scan chain is effectively used by effectively using the limited external terminals for the scan chains. And reduce test time. FIG. 11 is a block diagram showing a circuit configuration example of a scan chain used in a conventional general scan method, and FIG. 12 is a waveform example of a main node of the scan chain in FIG. FIG. 13 is a block diagram showing a circuit configuration example of a scan chain disclosed in a known example, and FIG. 14 is a waveform example of a main node of the scan chain in FIG. Hereinafter, with reference to FIGS. 11 to 14, an outline of the configuration, operation, and effects of the scan chain disclosed in the known example will be described in comparison with a conventional general scan chain.
[0008]
In FIG. 11, the description of the logic unit not related to the scan chain of the semiconductor device 801 is omitted. The scan chain 810 includes SF / Fs 811, 812, 813, and 814. The scan chain 810 synchronizes with a clock signal supplied to an external input terminal 803 from a scan data input terminal 803. The signal is taken in, and the signal is taken out from a scan-out (hereinafter, Sout) external output terminal 805. Similarly, the scan chain 820 includes SF / Fs 821, 822, 823, and 824. The scan chain 820 fetches a signal from the scan data input terminal 804 in synchronization with a clock signal supplied to the SC external input terminal 803, and outputs the signal from the Sout external terminal. The signal is extracted from the output terminal 806.
[0009]
A part of a general SSF operation will be described with reference to a waveform example shown in FIG. FIG. 12 shows a clock signal waveform 120 applied to the SC external input terminal 803, a signal waveform 121 applied to the scan data input terminal 802, a signal waveform 122 on the SO output terminal of the SF / F 811, and a scan data input terminal 804. A signal waveform 123 to be given and a signal waveform 124 on the SO output terminal of the SF / F 821 are shown. The clock signal waveform 120 is a waveform that rises at times t1, t3, t5, and t7 and falls at times t2, t4, t6, and t8. It is assumed that all SFs / Fs 811, 812, 813, 814, 821, 822, 823, and 824 are positive edge type F / Fs that latch an input signal at a rising edge of a clock signal. At time t1, when the clock signal waveform 120 rises, the signal at the scan data input terminal 802 at that time has the logical value “1” from the waveform 121, and the logical value “1” is captured by the SF / F 811. The signal on the SO output terminal of the SF / F 811 is updated to the logical value “1”. Similarly, the signal on the SO output terminal of the SF / F 821 also takes in the signal of the scan data input terminal 804 at time t1, and becomes a logical value “0”. As described above, in synchronization with the SC external input terminal 803, the scan chains 810 and 820 fetch signals from the scan data input terminals 802 and 804, sequentially set a logical value to the SF / F, and make each SF / F A logical value is propagated to an internal circuit (not shown) of the semiconductor device 801 via the Q output terminal. At the same time as the scan-in operation, the state value of the internal circuit (not shown) of the semiconductor device 801 which has been taken into each SF / F via the D terminal of each SF / F before the SSF operation is output to the Sout external output terminal 805. And 806 are also output. At this time, if the semiconductor device 801 has a manufacturing defect, the influence of the defect is applied to one of the SF / Fs constituting the scan chain 810 or 820 via the SF / F D terminal before the SSF operation. If the signal propagates to the SF / F, the influence of the defect can be observed at the Sout external output terminal 805 or 806 through the SSF operation. For example, assuming that the SF / F 814 does not have a circuit manufacturing defect, a logical value “1” propagates through the Q output terminal as an expected value, and a logical value “0” propagates when a defect exists. , A logical value different from the expected value is observed at the Sout external output terminal 805, so that it is possible to identify that the semiconductor device 801 is defective.
[0010]
In the SFs / Fs 811, 812, 813, 814, 821, 822, 823, and 824, the signal held by the F / F is output to the SO output terminal and the Q output terminal. During the SSF operation, the logical value of the signal at the SI terminal is taken in by the F / F in synchronization with the clock signal input to the CLK terminal, and except during the SSF operation, the logical value of the signal at the D terminal is changed to the F / F. F is taken in.
[0011]
In the conventional general scan chain configuration shown in FIG. 11, it is possible to set a predetermined logical value to eight SFs / Fs using two scan data input terminals 802 and 804 with a clock signal for four cycles. it can. If only one scan data input terminal and one Sout external output terminal can be used in the LSI tester, only one scan chain can be used, and eight SF / Fs are connected to one scan chain. Therefore, a clock signal for eight periods is required. This means that the test time is doubled, which leads to a doubling of the test cost, which causes a problem of an increase in the test cost.
[0012]
To solve this problem, in a known example, signals are supplied to a plurality of scan chains from one scan data input terminal, and signals of a plurality of scan chains are collectively extracted from one Sout external output terminal. An increase in test time can be avoided, and an increase in test cost can be suppressed.
[0013]
FIG. 13 shows a configuration example of a known scan chain. 11 is different from the conventional example of FIG. 11 in that the scan data input terminal 804 for the scan chain 820 and the terminal corresponding to the Sout external output terminal 806 are eliminated, and the scan data input terminal 802 and the Sout external output terminal 805 for the scan chain 810 are connected. , For the scan chain 820. However, the Sout external output terminal is shared via an exclusive OR gate (hereinafter, referred to as EXOR) as a code compression circuit.
[0014]
Specifically, the scan chain 910 mounted on the semiconductor device 901 is composed of SF / Fs 911, 912, 913, and 914, and scans in synchronization with a clock signal given to the SC external input terminal 903. A signal is taken in from a data input terminal 902, and a signal is taken out from an Sout external output terminal 905 via an EXOR 941 as a code compression circuit. Similarly, the scan chain 920 also includes SF / Fs 921, 922, 923, and 924, and fetches a signal from the scan data input terminal 902 in synchronization with a clock signal supplied to the SC external input terminal 903. Similarly, a signal is extracted from the Sout external output terminal 905 via the EXOR 941. Note that, also in this case, the description of the logic unit not related to the scan chain of the semiconductor device 901 is omitted.
[0015]
With this configuration, it is possible to set and observe values for a plurality of scan chains 910 and 920 at one scan data input terminal 902 and one Sout external output terminal 905.
[0016]
Next, a part of the known SSF operation will be described with reference to FIG. The difference from FIG. 12 is that the signal waveform 220 at the SO output terminal of the SF / F 921 is exactly the same as the signal waveform 122 at the SO output terminal of the SF / F 911. As described above, in synchronization with the rise of the clock signal waveform 120 applied to the SC external input terminal 903 at times t1, t3, t5, and t7, the logical value of the signal at the scan data input terminal 902 at that time is extracted, and SF / The logical values of the signals at the SO output terminals of F911 and 921 are changed to the logical value “1” at the time t1, to the logical value “0” at the time t3, to the logical value “0” at the time t5, respectively. At t7, the logic value becomes "1". Also, at the Sout external output terminal 905, the exclusive OR of the logical values of the signals at the SO output terminals of the SFs / Fs 914 and 924 is observed as an expected value.
[0017]
If the semiconductor device 901 has a manufacturing defect and the influence of the defect has propagated to the D terminal of the SF / F of either of the scan chains 910 or 920 before the SSF operation, the SF / Since the signal propagates to the SO output terminal of F914 or 924, the logical value of the signal passing through the EXOR 941 is different from the logical value (expected value) of a correct signal propagating when there is no defect at the Sout external output terminal 905. It can be determined whether the semiconductor device 901 has a defect. For example, when there is no defect, when the logical values “0” and “1” propagate to the SO output terminals of the SF / Fs 914 and 924, respectively, the logical value “1” is observed as the expected value at the Sout external output terminal 905. I do. However, when there is a defect and the influence propagates to the SF / F 914 and the signal at the SO output terminal of the SF / F 914 becomes a logical value “1”, a logical value “0” is observed at the Sout external output terminal 905. That is, since the expected value is different from “1”, it can be identified that the semiconductor device 901 has a defect.
[0018]
In the scan chain configuration of the known example shown in FIG. 13, a predetermined logic value is set to eight SF / Fs 911 to 914 and 921 to 924 by using one scan data input terminal 902 and using a clock signal for four cycles. be able to. Even if only one scan data input terminal and one Sout external output terminal can be used in the LSI tester, signals can be set to all SF / Fs with four cycles of clock signals. Unlike the conventional general scan chain configuration as shown, the test time and therefore the test cost do not increase.
[0019]
[Problems to be solved by the invention]
In the semiconductor device disclosed in the above-mentioned known example, the SSF time can be sufficiently reduced, but there are two problems as follows.
[0020]
First, since a plurality of scan chains controlled by a pair of external terminals can only be set to exactly the same value, a test pattern generated by a general automatic test pattern generation (ATPG (Automatic Test Pattern Generation)) tool. If it is desired to freely set the value determined by the above method to the F / F of the internal circuit through the scan chain, there is a problem that it is difficult to apply the method of the known example.
[0021]
Secondly, since a plurality of scan chains controlled by a pair of external terminals can each be set to exactly the same value, the controllability of the internal circuit is reduced. However, there is a problem that more test patterns are required, and the effect of reducing the test time may not be obtained.
[0022]
The above two problems will be described with reference to FIG. FIG. 15A shows a sample circuit, a sample fault, and a test pattern for detecting the sample fault according to a conventional general scan chain configuration. 15A includes a scan data input terminal 851, internal circuits 852 and 853, buffers 854 and 856, inverters (hereinafter referred to as INV) 855 and 857, SF / Fs 858, 859, 860 and 861, and AND. The scan chain 869 includes a gate 862, an OR gate 863, external output terminals 864 and 865, and a Sout external output terminal 866. The four SF / Fs 858, 859, 860, and 861 configure a scan chain 869. If it is assumed that there is a stuck-at-0 fault 867 at the output terminal of the AND gate 862 and a stuck-at-1 fault 868 at the output terminal of the OR gate 863, these faults (for example, as a defect in manufacturing, a certain signal line is short-circuited to the power supply). To detect (1 degenerate) or short-circuit to ground (0 degenerate), the test pattern 870 is transmitted from the scan data input terminal 851 to four SF / What is necessary is just to scan in F858, 859, 860, and 861. Here, detecting a fault means that the logical value of the signal observed at the external output terminal only needs to be different between the case where the fault exists and the case where the fault does not exist.
[0023]
For example, the external output terminal 864 can observe the logical value “1” if the stuck-at-0 fault 867 does not exist, but observes the logical value “0” under the influence of the fault if the stuck-at-0 fault 867 exists. Therefore, it is possible to identify that the sample circuit has failed due to a manufacturing defect. The 1 stuck-at fault 868 can be similarly detected. In this way, the stuck-at-0 fault 867 and the stuck-at-1 fault 868 can be detected by setting one test pattern to SF / F with a clock signal of four cycles.
[0024]
On the other hand, in the scan chain configuration disclosed in the known example shown in FIG. 15B, the conventional scan chain 869 of FIG. 15A is divided into scan chains 969 and 970, and one test pattern is clocked for two cycles. Although signals can scan in four SF / Fs 958, 959, 960, and 961, two test patterns 971 and 972 are required to detect a stuck-at-0 fault 967 and a stuck-at-1 fault 968. That is, in the known scan chain configuration, the stuck-at-0 fault and the stuck-at-1 fault cannot be detected unless clock signals for a total of four cycles are used, and the same test time as the test time by the general scan chain configuration is used. It is necessary. That is, the effect of reducing the test time, which is the effect of the known example, that is, the effect of reducing the test cost cannot be obtained. The problem is that, because of the limitations on the configuration and operation of the scan chain of the semiconductor device disclosed in the known example, only the same logical value can be set to a plurality of scan chains connected to one scan data input terminal. What happens.
[0025]
Accordingly, an object of the present invention is to provide a semiconductor device having a test circuit capable of reducing the SSF time without deteriorating the controllability of the internal circuit section and greatly reducing the test time and the test cost. is there.
[0026]
[Means for Solving the Problems]
Therefore, a semiconductor device according to the present invention includes at least a plurality of scan chains including a plurality of scan path test flip-flops, and a scan data input terminal for inputting scan path test data,
The plurality of scan chains each include at least one of a first scan chain for inputting first scan data and a second scan chain for inputting second scan data different from the first scan data,
All the scan path test flip-flops included in the first scan chain latch their scan data at a first timing,
All the scan path test flip-flops included in the second scan chain latch respective scan data at a second timing different from the first timing,
Further, the scan data input terminal has at least one first scan data input terminal commonly connecting a scan data input terminal of the first scan chain and the second scan data input terminal.
[0027]
In this case, the apparatus further comprises a clock input terminal for externally inputting a first clock signal, wherein the first timing is a timing synchronized with a first edge of the first clock signal, and the second timing is the first clock. The timing may be synchronized with a second edge of the signal in a direction opposite to the first edge.
[0028]
In addition, a clock input terminal for inputting a first clock signal from the outside, a mode signal for setting the first clock signal and a scan shift operation mode are input, and a predetermined time is delayed from the first clock signal in the scan shift operation mode. Scan clock control means for outputting a second clock signal.
In the scan shift operation mode,
All the scan path test flip-flops included in the first scan chain latch scan data at a timing synchronized with the first clock signal,
All of the scan path test flip-flops included in the second scan chain may latch scan data at a timing synchronized with a second clock signal.
[0029]
Further, a signal delay unit may be further provided between a clock input terminal for externally inputting the first clock signal and the first scan chain.
[0030]
Further, another semiconductor device of the present invention includes a plurality of scan chains configured including a plurality of flip-flops for scan path test, and a scan data input terminal for inputting data for scan path test,
The plurality of scan chains each include at least one of a first scan chain for inputting first scan data and a second scan chain for inputting second scan data different from the first scan data,
All the scan path test flip-flops included in the first scan chain and the second scan chain latch respective scan data at a first timing,
Further, the scan data input terminal is connected to a scan data input terminal of the first scan chain and has at least one first scan data input terminal connected to the second scan data input terminal via predetermined latch means. It is characterized by having one.
[0031]
At this time, a data input terminal and a data output terminal of the latch unit are connected to the first scan data input terminal and the scan data input terminal of the second scan chain, respectively.
It is preferable that the latch unit latches an input signal at a timing different from a timing at which the scan path test flip-flop included in the second scan chain latches scan data.
[0032]
A clock input terminal for inputting the first clock signal;
All the scan path test flip-flops included in the first and second scan chains latch scan data at a timing synchronized with a first edge of the first clock signal,
The latch means may latch an input signal at a timing synchronized with a second edge of the first clock signal in a direction opposite to the first edge.
[0033]
A clock input terminal for inputting the first clock signal;
All the scan path test flip-flops included in the first and second scan chains latch scan data at a timing synchronized with the first clock signal,
The latch means may latch an input signal at a timing synchronized with an inverted signal of the first clock signal.
[0034]
A scanout external output terminal for outputting a signal indicating a logic state of a predetermined node of the internal circuit to the outside; and a data compression unit,
Connecting the scan data output terminals of all the scan chains connected to the first scan data input terminal to the input terminals of the data compression means;
An output terminal of the data compression unit may be connected to the scan-out external output terminal. At this time, the data compression means can be constituted by an exclusive OR circuit or a multiplexer.
[0035]
BEST MODE FOR CARRYING OUT THE INVENTION
Next, the present invention will be described with reference to the drawings.
[0036]
FIG. 1 is a block diagram showing a configuration example of a scan chain of a scan path test circuit included in the first embodiment of the semiconductor device of the present invention. Referring to FIG. 1, a semiconductor device 10 of the present embodiment includes an internal circuit for realizing a desired function (not shown), a scan path test circuit 20, a scan data input terminal 112, an SC external input terminal 113, and a scan mode external input. At least a terminal 401 and a Sout external output terminal 115 are provided. The scan path test circuit 20 includes a scan chain 102 as a first scan chain, a scan chain 107 as a second scan chain, an EXOR 201 as code compression means, and an SC control circuit 402 as SC control means. I have. In the following description, the illustration and description of internal circuits and external connection terminals that are not related to the configuration and operation of the scan path test circuit 20 will be omitted as appropriate without particular notice.
[0037]
First, the SF / F configuring the scan chains 102 and 107 will be described. SF / F is an SI input terminal for inputting scan data, an SO output terminal for outputting scan data, a CLK input terminal for inputting a clock signal, and a D input terminal and a Q output connected to a predetermined node of an internal circuit unit. At the SSF operation mode, latches the signal at the SI input terminal in synchronization with the clock signal, and outputs the signal to the SO output terminal. In the normal operation mode other than the SSF operation mode, the D input terminal is synchronized with the clock signal. The present invention is not particularly limited as long as it has a function of latching the above signal and outputting it to the Q output terminal and the SO output terminal. FIG. 16 is a block diagram illustrating a specific configuration example of the SF / F that performs the SSF operation with one clock signal. Referring to FIG. 16, the multiplexed type SF / F50 includes a multiplexer (hereinafter, referred to as MUX) 51 and a normal F / F53. The D input terminal, the SI input terminal, the mode signal input terminal SE, and the CLK input terminal of the SF / F 50 are connected to the 0 selection input terminal, the 1 selection input terminal, the selection control input terminal of the MUX 51, and the clock input terminal of the F / F 53. The output terminals of the MUX 51 are connected to the D input terminal of the F / F 53, and the Q output terminal of the F / F 53 is connected to the Q output terminal and the SO output terminal of the SF / F 50. At the time of normal operation, the mode signal input to the mode signal input terminal SE is fixed to the logical value “0”, and the normal F / F operation is performed by the clock signal and the data signal input to the D input terminal. At the time of the SSF operation, the mode signal becomes a logical value “1”, the clock signal input to the CLK input terminal becomes the scan clock which is the first clock signal, and the scan-in data input to the SI input terminal is fetched to realize the SSF operation. .
[0038]
Note that all SF / Fs (SF / Fs 103 to 106 and SF / Fs 108 to 111) in the following description of the embodiments have the same operations and functions as the SF / F 50 described above. However, for the sake of simplicity, all the illustrations of the mode signal input terminal SE for inputting a mode signal for designating the normal operation mode or the SSF operation mode and the wiring connected to the mode signal input terminal SE are omitted. In the SSF operation mode, the signal at the SI input terminal is latched in synchronization with the rising edge of the clock signal input to the CLK input terminal and output to the SO output terminal. The signal at the input terminal is latched in synchronization with the rising edge of the clock signal input to the CLK input terminal, and is output to the SO output terminal and the Q output terminal.
[0039]
Next, the configuration of the scan chains 102 and 107 will be described. The scan chain 102 is configured to include SF / Fs 103, 104, 105, and 106, which are scan path test F / Fs. The SI input terminal and the SO output terminal of the first SF / F 103 are connected to the scan data input terminal 112 and the SI input terminal of the SF / F 104, respectively. The SO output terminal of the SF / F 104 is connected to the SI input terminal of the SF / F 105, the SO output terminal of the SF / F 105 is connected to the SI input terminal of the SF / F 106, and the SO output terminal of the final stage SF / F 106 is connected to one of the EXOR 201. Connected to the input terminals of Further, all the CLK input terminals of the SFs / Fs 103 to 106 are connected to the SC external input terminal 113, and all the mode signal input terminals (not shown) are connected to the scan mode external input terminal 401. With this configuration, in the SSF operation mode, the SSF operation of sequentially latching the signal that is the scan data input to the scan data input terminal 112 in synchronization with the first clock signal input from the SC external input terminal 113 is performed. Then, while setting a desired logical value to each SF / F configuring the scan chain 102, the state of a predetermined internal circuit unit of the semiconductor device 10 at the start of the SSF operation is changed via the EXOR 201 to the Sout external output terminal 115. Take out from.
[0040]
The scan chain 107 includes SF / Fs 108, 109, 110, and 111 that are scan path test F / Fs. The SI input terminal and the SO output terminal of the first SF / F 108 are connected to the scan data input terminal 112 and the SI input terminal of the SF / F 109, respectively. Also, the SO output terminal of the SF / F109 is the SI input terminal of the SF / F110, the SO output terminal of the SF / F110 is the SI input terminal of the SF / F111, and the SO output terminal of the final stage SF / F111 is the other end of the EXOR 201. Connected to the input terminals of Further, all the CLK input terminals of the SFs / Fs 108 to 111 are connected to a terminal 417 for outputting an SC2 signal, which is a second clock signal of the SC control circuit 402, which will be described later. Connect to the input terminals 401 respectively. With this configuration, in the SSF operation mode, the SSF operation of sequentially latching the signal that is the scan data input to the scan data input terminal 112 in synchronization with the second clock signal output from the SC control circuit 402 is performed. While setting a desired logical value to each SF / F constituting the scan chain 107, the state of a predetermined internal circuit portion of the semiconductor device 10 at the start of the SSF operation is output from the Sout external output terminal 115 via the EXOR 201. Take out.
[0041]
If necessary, for example, a delay adjusting circuit 403 is inserted between the SC external input terminal 113 and the scan chain 102 to adjust the timing between the first clock signal and the scan data signal input from the scan data input terminal 112. The matching is performed so that each SF / F of the scan chain 102 can reliably latch predetermined scan data.
[0042]
Next, the SC control circuit 402 will be described. The SC control circuit 402 receives a first clock signal input from the SC external input terminal 113 and a mode signal input from the scan mode external input terminal 401 to set the semiconductor device 10 to the SSF operation mode, and generates a second clock signal. Is output. FIG. 2 is a block diagram illustrating an example of the configuration of the SC control circuit 402. Referring to FIG. 2, the SC control circuit 402 includes a terminal 405 connected to the SC external input terminal 113 to input a first clock signal, a terminal 407 connected to the scan mode external input terminal 401 to input a mode signal, It comprises a terminal 417 for outputting an SC2 signal which is a two-clock signal, AND gates 410, 413 and 415, INVs 411 and 414, an F / F 412 with a negative edge type reset bar, and a multiplexer gate (hereinafter referred to as MUX) 416. ing. The first input terminal of each of the AND gates 410 and 413 and the input terminal of the INV 414 are all connected to the terminal 405, and the second input terminal of the AND gate 410 and the first input of the AND gate 415 are connected to the terminal 407. , The D input terminal and the reset input terminal of the F / F 412, and the input terminal of the INV 411. Also, the output terminal of INV 411 is the second input terminal of AND gate 413, the output terminal of AND gate 413 is the 0 selection input terminal of MUX 416, the output terminal of INV 414 is the 1 selection input terminal of MUX 416, and the output terminal of AND gate 410 is The output terminal is the clock input terminal of the F / F 412, the Q output terminal of the F / F 412 is the second input terminal of the AND gate 415, the output terminal of the AND gate 415 is the selection control input terminal 418 of the MUX 416, and the output of the MUX 416. The ends 419 are connected to the terminals 417, respectively. For example, the MUX 416 transmits the signal of the 0 selection input terminal to the output terminal when the signal input to the selection control input terminal 418 is a logical value “0”, and outputs the signal of the 1 selection input terminal to the output terminal when the signal input to the selection control input terminal 418 is a logical value “1”. To communicate. With this configuration, for example, when the signal input to the terminal 407 has the logical value “1”, the signal input to the terminal 405 changes from the logical value “1” to the logical value “0”, A signal that transitions to the logical value “1” is generated and output from the terminal 417. When the signal input to the terminal 407 has a logical value “0”, the signal input to the terminal 405 is output from the terminal 417 as it is.
[0043]
Next, the operation of the scan path test circuit 20 of the present embodiment will be described. FIG. 3 is a waveform diagram of main nodes for explaining the operation of the scan path test circuit 20. The signal waveforms 120, 420, 122, 421, 422, and 423 show the SC external input terminal 113 and the scan data input terminal 112. , SF / F103, the SO output terminal of SF / F108, the scan mode external input terminal 401, and the signal waveform at the terminal 417 of the SC control circuit 402. Hereinafter, description will be made with reference to FIGS. The scan path test circuit 20 included in the semiconductor device 10 of the present embodiment is a mode input from the scan mode external input terminal 401 in the SSF operation mode in which the state setting and the state read of the internal circuit unit (not shown) for the test are performed. The signal becomes a logical value “1” as shown by a signal waveform 422, for example, and the scan chains 102 and 107 perform the SSF operation. At this time, the first clock signal (signal waveform 120) input from the SC external input terminal 113 is supplied to each CLK input terminal of the SF / Fs 103 to 106 constituting the scan chain 102 as it is, but the scan chain 107 is constituted. A second clock signal (signal waveform 423) generated by the SC control circuit 402 is supplied to each CLK input terminal of the SFs / Fs 108 to 111. As described above, in the second clock signal generated by the SC control circuit 402 in the SSF operation mode, the first clock signal supplied from the SC external input terminal 113 transits from the logical value “1” to the logical value “0”. In this case, the waveform changes from the logical value “0” to the logical value “1”. Therefore, in the SSF operation mode, each SF / F constituting the scan chain 102 latches the signal of the respective SI input terminal at the rise of the first clock signal, and each SF / F constituting the scan chain 107 transmits the respective SI / F. The signal at the input terminal is latched when the first clock signal falls. Then, the SSF operation is performed by inputting the first clock signal a predetermined number of times, and the signal supplied to the scan data input terminal 112 is sequentially latched by each SF / F constituting the scan chains 102 and 107. At this time, a signal, which is scan data supplied from the outside to the scan data input terminal 112, is provided, if necessary, for one period of the first clock signal, for example, during a period when the first clock signal is at a high level and a period at a low level. If one is changed, different scan data can be set to the two scan chains 102 and 107 with one scan data input terminal 112.
[0044]
For example, assuming that the scan data supplied to the scan data input terminal 112 is a signal waveform 420 shown in FIG. 3, since the logical value is “1” at time t1, the logical value “1” is located at the top of the scan chain 102. Then, the signal is latched by the SF / F 103 via the SI input terminal of the SF / F 103 and the rising edge of the first clock signal supplied to the SC external input terminal 113, and the signal at the SO output terminal of the SF / F 103 has a signal waveform 122. Is updated to the logical value "1" as shown in FIG. Similarly, at time t2, the second clock signal output from SC control circuit 402 rises as shown by signal waveform 423, and the signal at scan data input terminal 112 at that time has the logical value “as shown by signal waveform 420”. Therefore, the logical value “0” is latched by the SF / F 108 via the SI input terminal of the SF / F 108 located at the head of the scan chain 107, and the signal at the SO output terminal of the SF / F 108 has a signal waveform. As indicated by reference numeral 421, the logical value is updated to “0”.
[0045]
Similarly, at time t3, t5, and t7, the SF / F 103 sequentially shifts the logic value of the signal latched earlier to each SF / F constituting the scan chain 102, and shifts the logic value of the signal at the scan data input terminal 112. Latch values sequentially. The SF / F 108 shifts the logical value of the signal at the scan data input terminal 112 while sequentially shifting the logical value of the previously latched signal to each SF / F constituting the scan chain 102 at times t4, t6, and t8. Latch sequentially. As a result, the signal at the SO output terminal of the SF / F 103 located at the head of the scan chain 102 forms a signal waveform 122, and the signal at the SO output terminal of the SF / F 108 located at the head of the scan chain 107 has a signal waveform 421. Form.
[0046]
In addition, since the SF / Fs 103 to 106 and SF / Fs 108 to 111 incorporate a logical value indicating the state of the internal circuit of the semiconductor device 10 at the start of the SSF operation, for example, at time t11 after the start of the SSF operation. , T12, t13, and t14, by observing the logical value of the signal output from the Sout external output terminal 115, it is possible to determine whether the state of the internal circuit unit of the semiconductor device 10 at the start of the SSF operation is normal. Specifically, for example, at the start of the SSF operation, the expected logical value of the signal latched by the SF / F 106 via the D input terminal of the SF / F 106 is a logical value “0”, and When the expected logical value of the signal latched by the SF / F 111 is the logical value “1”, the expected value observed at the Sout external output terminal 115 at the time t11 is the logical value “the exclusive OR value” of these. 1 ". When the semiconductor device 10 fails due to a manufacturing defect and the signal latched in the SF / F 106 at the start of the SSF operation is not “0” but “1”, the Sout external output is performed at time t11. The signal observed at the terminal 115 has a logical value “0”, which is different from the expected logical value “1” when no failure occurs, so that it can be identified that the semiconductor device 10 is defective. However, in this case, when the signal latched in the SF / F 111 at the start of the SSF operation is not a logical value “1” but a logical value “0”, the same result is obtained. It is not possible to identify whether the defect has propagated to the included SF / F.
[0047]
Next, a signal to be supplied to the scan data input terminal 112 is provided, if necessary, during one cycle of the first clock signal, for example, a period in which the first clock signal has a logic value “1” and a period in which the logic value is “0” A description will be given of a modulation circuit for changing the voltage. FIG. 4 is a block diagram showing an example of the modulation circuit. Note that the modulation circuit 440 exists on an external board that covers, for example, the LSI tester and the semiconductor device 10 that is the circuit under test. Referring to FIG. 4, the modulation circuit 440 includes a terminal 441 for supplying a signal to be latched to the scan chain 102, a terminal 444 for supplying a signal to be latched to the scan chain 107, a modulation clock (hereinafter, MCLK) signal. 442, a terminal 443 for inputting a reset signal for initializing the modulation circuit 440, an F / F 445 for latching a signal supplied to the scan chain 102 in synchronization with the MCLK signal, and a signal MCLK for supplying to the scan chain 107. The MUX 447 includes an F / F 446 that latches in synchronization with a signal, an MUX 447 that synthesizes a modulation waveform, and a buffer 448 that adjusts a supply timing of a selection control signal supplied to a selection control input terminal 458 of the MUX 447. Signal output from the output end 459 of 47, is supplied to the scan data input terminal 112 of the semiconductor device 10 as a circuit under test. These terminals connect the D input terminal, CLK input terminal, RS input terminal, and Q output terminal of the F / F 445 to one selected input terminal of the terminals 441, 442, 443, and MUX 447, respectively. The D input terminal, the inverted CLK input terminal, the RS input terminal, and the Q output terminal of the F446 are connected to the terminals 444, 442, 443, and the 0 selection input terminal of the MUX 447, respectively. Are connected to the terminal 442 and the selection control input terminal 458 of the MUX 447, respectively.
[0048]
Next, the operation of the modulation circuit 440 thus configured will be described. FIG. 5 is an example of a schematic waveform diagram showing a signal waveform of a main node together with a first clock signal waveform for explaining this operation. 4 and 5, the MCLK signal (signal waveform 453) input to the terminal 442 changes earlier than the first clock signal (signal waveform 120), and the signal waveform 451 of the signal supplied to the terminal 441 and the terminal A signal waveform 420 serving as scan data to be supplied to the scan data input terminal 112 is synthesized from the signal waveform 452 of the signal supplied to 444. For example, at time t21, the signal supplied to the terminal 441 has the logical value “1”, is latched by the F / F 445 at the rise of the MCLK signal, and the selection control signal of the MUX 447 becomes the logical value “1”. While the MCLK signal is at the logical value “1”, the logical value “1” latched by the F / F 445 is supplied to the scan data input terminal 112. Next, at time t22, when the MCLK signal falls, at this time, the signal supplied to the terminal 444 has a logical value “0”, which is latched by the F / F 446, and the selection control signal of the MUX 447 is at a logical value. Since the value becomes “0”, the logic value “0” latched by the F / F 446 is supplied to the scan data input terminal 112 while the MCLK signal is at the logic value “0”. Similarly, at times t23, t24, t25, t26, t27 and t28, the signal supplied to the terminal 441 as the signal waveform 451 and the signal supplied to the terminal 444 as the signal waveform 452 are supplied to the scan data input terminal 112. The signal waveform 420 is synthesized.
[0049]
Next, a method for testing the semiconductor device 10 of the present embodiment using an LSI tester will be described. FIG. 6 is a diagram for explaining a test method of the semiconductor device 10, and is a block diagram schematically illustrating a connection relationship between the modulation circuit 440, the LSI tester 471, and the semiconductor device 10. Referring to FIG. 6, an input signal from the LSI tester 471 to the semiconductor device 10 to be a circuit to be inspected is supplied to the semiconductor device 10 via an input signal bundle 473 passing through the inside of the external board 474. A part of the signal lines 475 of the input signal line bundle 473 is connected to the modulation circuit 440 existing on the external board 474, the modulation signal line 476 from the modulation circuit 440 is connected to the semiconductor device 10, and the modulated signal is transmitted. The data is supplied to, for example, a scan data input terminal 112 of the semiconductor device 10. The output signal of the semiconductor device 10 is returned to the LSI tester 471 via the output signal line bundle 472. The LSI tester 471 observes the logical value of the signal of the output signal bundle 472 and compares it with the expected value to determine whether the semiconductor device 10 has a failure.
[0050]
As described above, the scan chain of the scan path test circuit included in the semiconductor device according to the present embodiment shifts the latch timing among a plurality of scan chains sharing one scan data input terminal and one shared scan chain. By applying modulation to the signal applied to the scan data input terminal, it is possible to freely set logical values for a plurality of different scan chains, thereby reducing the test time without impairing the controllability of the internal circuit section. The remarkable effect is obtained.
[0051]
Next, a second embodiment of the semiconductor device of the present invention will be described. FIG. 7 is a block diagram showing a configuration example of a scan chain of a scan path test circuit included in the second embodiment of the semiconductor device of the present invention, and FIG. 8 is a diagram corresponding to FIG. 3 in the first embodiment. 4 is a waveform diagram of main nodes for explaining the operation of the scan path test circuit 21. Signal waveforms 120, 420, 122, 421, 422, and 423 are represented by SC external input terminal 113 and scan data input terminal 112. , SF / F103, the SO output terminal of SF / F108, the scan mode external input terminal 401, and the signal waveform at the terminal 417 of the SC control circuit 402. Referring to FIG. 7, a semiconductor device 11 of the present embodiment includes an internal circuit for realizing a desired function (not shown), a scan path test circuit 21, a scan data input terminal 112, an SC external input terminal 113, and a scan mode external input. At least a terminal 401 and a Sout external output terminal 115 are provided. The scan path test circuit 21 includes a scan chain 102 as a first scan chain, a scan chain 107 as a second scan chain, a MUX 210 as code compression means, and an SC control circuit 402 as SC control means. I have. The present embodiment is different from the first embodiment only in that the scan path test circuit 21 is configured by replacing the EXOR 201, which is the code compression means, included in the scan path test circuit 20 with the MUX 210. This is the same as the embodiment, and the description of the same part is omitted. The MUX 210 connects the 0 selection input terminal, 1 selection input terminal, output terminal 219, and selection control input terminal 218 to the SO output terminal of SF / F106, the SO output terminal of SF / F111, the Sout external output terminal 115, and the SC output terminal. Each is connected to the external input terminal 113.
[0052]
With this configuration, in the present embodiment, the information on the scan chain 102 side and the logical value are output to the Sout external output terminal 115 during the period in which the first clock signal has the logical value “1” in synchronization with the first clock signal. Since the information on the scan chain 107 side appears alternately during the period of “0”, by observing the signal waveform output from the Sout external output terminal 115, it is possible to identify in which SF / F the influence of the fault has propagated. . For example, the state value of the internal circuit unit latched by the SF / F 106 at the start of the SSF operation can be confirmed by observing the logical value of the signal of the Sout external output terminal 115 at time t11 in FIG. Can be confirmed by observing the logical value of the signal of the Sout external output terminal 115 at time t31. As described above, in the present embodiment, it is possible to specify which fault has propagated to which SF / F from the test result.
[0053]
Next, a third embodiment of the semiconductor device of the present invention will be described. FIG. 9 is a block diagram showing a configuration example of a scan chain of a scan path test circuit included in the third embodiment of the semiconductor device of the present invention, and FIG. 10 is a diagram corresponding to FIG. 3 in the first embodiment. FIG. 4 is a waveform diagram of main nodes for explaining the operation of the scan path test circuit 22. Signal waveforms 120, 420, 122, and 621 include an SC external input terminal 113, a scan data input terminal 112, and an SF / F103. 5 shows signal waveforms at the SO output terminal of the SF / F 108 and the SO output terminal of the SF / F 108, respectively. Referring to FIG. 9, a semiconductor device 12 of the present embodiment includes an internal circuit for realizing a desired function (not shown), a scan path test circuit 22, a scan data input terminal 112, an SC external input terminal 113, and a scan mode external input. At least a terminal 401 and a Sout external output terminal 115 are provided. The scan path test circuit 22 includes a scan chain 102 as a first scan chain, a scan chain 602 as a second scan chain, an EXOR 201 as a code compression unit, and a negative edge type F / F 601.
[0054]
In the first and second embodiments, the SC control circuit 402 is used to set different values for the two scan chains 102 and 107 from one scan data input terminal 112. By adopting such a scan chain configuration, the same effects as in the first and second embodiments can be obtained. The difference between the scan chain configuration of the present embodiment and the scan chain configuration of the first and second embodiments is that a scan chain 602 composed of SF / Fs 108, 109, and 111 is arranged instead of the scan chain 107, and the scan is performed. The point is that the negative edge type F / F 601 is inserted before the chain 602, and the SC control circuit 402 is deleted. In the present embodiment, similarly to the first and second embodiments, the modulation of the signal supplied to the scan data input terminal 112 uses the modulation circuit 440 on the external board.
[0055]
Referring to the signal waveform of FIG. 10, the SSF operation by the scan chain configuration is performed by setting a signal to be set in the scan chain 602 at the falling edge of the first clock signal supplied to the SC external input terminal 113 in advance. The signal is latched in the F / F 601 from the terminal 112 and propagated to the SF / F 108 at the next rising of the first clock signal. The SF / F constituting the scan chain 107 of the first and second embodiments apparently latches the signal supplied to the scan data input terminal 112 at the time of the falling of the first clock signal. In the embodiment, the SF / F of the scan chain 602 latches the signal captured in the F / F 601 at the fall of the first clock at the rise of the first clock signal.
[0056]
In the example of the present embodiment described above, the number of SFs / Fs in the scan chain 602 is smaller than in the first and second embodiments. As in the first and second embodiments, when the number of SFs / Fs of the scan chain 602 is the same as the number of SFs / Fs of the scan chain 102, in the SSF operation, a desired signal is supplied to the F / F 601. The SSF time is lengthened by one cycle of the clock for setting. However, in a large-scale circuit, the number of SFs / Fs included in the scan chain is very large. Therefore, even if the SSF time for one clock cycle increases, the effect on the overall test time drastically shortening effect is hardly affected. Absent.
[0057]
Note that the present invention is not limited to the description of the above embodiment, and various changes can be made within the scope of the gist. For example, in the above embodiment, an example was described in which the number of SFs / Fs constituting each scan chain is three or four. However, it is needless to say that this number can be arbitrarily increased according to the scale of the internal circuit unit. Further, in the above embodiment, the example in which the modulation circuit for performing the modulation is provided on the external board has been described. However, there are many LSI testers having a built-in modulation function. No on-board modulation circuitry is required.
[0058]
The SF / F forming the scan chain has been described as an example in which the SSF operation is performed by one clock signal in the SSF operation mode. The invention is applicable.
[0059]
For example, a clocked LSSD (Level Sensitive ScanDesign) type SF / F shown in FIG. 17 is an example of an SF / F configured to perform an SSF operation using two clock signals in the SSF operation mode. Referring to FIG. 17, a clocked LSSD SF / F 70 includes D-type latches 71, 73, and 75, and a D input terminal and a CLK input terminal for inputting a data signal and a normal operation clock signal in a normal operation, respectively. A Q output terminal for outputting a data signal in a normal operation, an SI input terminal, an SC1 input terminal for inputting a scan data signal, a first scan clock signal, and a second scan clock signal in an SSF operation mode, respectively. And an SC2 input terminal, and an SO output terminal for outputting a scan data signal in the SSF operation mode. During normal operation, the first and second scan clock signals are fixed at a logical value “0”, and only the normal operation clock signal input to the CLK input terminal is used. Functions as F / F. In the SSF operation mode, the normal operation clock signal input to the CLK input terminal is fixed to the logical value “0”, and the SSF operation is realized by the first and second scan clock signals input to the SC1 input terminal and the SC2 input terminal. I do. In the SSF operation, during one cycle, first, a first scan clock signal is supplied to the SC1 input terminal, and the scan data signal input to the SI input terminal is latched by the D-type latch 73. Thereafter, the second clock signal is input to the SC2 input terminal, the data signal latched by the D-type latch 73 is latched by the D-type latch 75, and the signal is propagated to the next stage SF / F.
[0060]
Next, a configuration example of a scan chain using such a clocked LSSD type SF / F will be described. FIG. 18 is a block diagram showing a configuration example of a scan chain using a clocked LSSD type SF / F. The scan chain of the scan path test circuit included in the semiconductor device of the third embodiment shown in FIG. In this configuration, the SF / F to be used is replaced with the above-described clocked LSSD type SF / F. The test circuit 25 included in the semiconductor device 15 includes a scan chain 710 including clocked LSSD SF / Fs 706, 707, 708, and 709, a negative edge F / F 711, and a clocked LSSD SF / F 712. A scan chain 715 including 713 and 714, a negative edge F / F 716, a scan chain 720 including clocked LSSD SF / Fs 717, 718 and 719, and a negative edge F / F 721; It includes a scan chain 725 composed of clocked LSSD type SF / Fs 722, 723, and 724, and further supplies an SIN1 input terminal 702 for supplying a scan data signal to these scan chains, and first and second scan clock signals. SC1 to supply Input terminal 704, SC2 input terminal 705, CLK input terminal 703 for inputting a normal operation clock signal during normal operation, EXORs 726, 727, and 728 for compressing scan data, and scan data output terminal 729 for outputting a scan data signal. It has. As described above, by configuring the scan chain using the clocked LSSD type SF / F, unlike the third embodiment, four scan chains 710, 715, and 720 are connected from one SIN1 input terminal 702. , 725 can be supplied with independent data signals.
[0061]
Next, an outline of the SSF operation will be described. FIG. 19 is a schematic waveform diagram for explaining the SSF operation of the scan chain included in the test circuit 25 of FIG. Hereinafter, description will be made with reference to FIGS. In the SSF operation mode, the normal operation clock signal is fixed at the logical value “0”, and the first and second scan clock signals realize the SSF operation. The first SF / F 706 of the scan chain 710 latches the scan data signal (signal waveform 732) input from the SIN1 input terminal 702 at the rise of the first scan clock signal (signal waveform 730). On the other hand, before the scan chains 715, 720, and 725, the F / F 711 that latches the scan data signal at the falling edge of the first scan clock signal and the scan data signal at the rising edge of the second scan clock signal, respectively. The F / F 716 that connects the scan data signal at the falling edge of the second scan clock signal and the F / F 721 that latches the scan data signal at the fall of the second scan clock signal are connected. The signals (signal waveforms 735, 738, and 741) latched by each F / F are taken into each SF / F 712, 717, and 722 at the rise of the first scan clock signal in the next cycle. Accordingly, each scan chain can take in the data signals input to the SIN1 input terminal 702 at four points during one cycle, and the SSF operation for different scan data can be performed in each scan chain. The signal waveforms 733, 736, 739, and 742 in the waveform diagram of FIG. 19 are signal waveforms of portions corresponding to the outputs of the D-type latch 73 in FIG. 17 of the SF / Fs 706, 712, 717, and 722, respectively. , Signal waveforms 732, 737, 740, and 743 indicate signal waveforms corresponding to the output of the D-type latch 75.
[0062]
【The invention's effect】
As described above, the semiconductor device of the present invention has a remarkable effect that the SSF time can be reduced without lowering the controllability of the internal circuit portion, and the test time and test cost can be significantly reduced. .
[Brief description of the drawings]
FIG. 1 is a block diagram illustrating a configuration example of a scan chain of a scan path test circuit included in a first embodiment of a semiconductor device of the present invention.
FIG. 2 is a block diagram illustrating an example of a configuration of an SC control circuit in FIG. 1;
FIG. 3 is a waveform diagram of main nodes for describing an operation of the scan path test circuit of FIG. 1;
FIG. 4 is a block diagram illustrating an example of a modulation circuit.
FIG. 5 is an example of a schematic waveform diagram showing a signal waveform of a main node together with a first clock signal waveform for explaining an operation of the modulation circuit of FIG. 4;
FIG. 6 is a diagram illustrating a test method of the semiconductor device according to the first embodiment, and is a block diagram schematically illustrating a connection relationship between a modulation circuit, an LSI tester, and the semiconductor device.
FIG. 7 is a block diagram illustrating a configuration example of a scan chain of a scan path test circuit included in a second embodiment of the semiconductor device of the present invention.
8 is a waveform diagram of a main node for describing an operation of the scan path test circuit of FIG. 7;
FIG. 9 is a block diagram illustrating a configuration example of a scan chain of a scan path test circuit included in a third embodiment of the semiconductor device of the present invention.
FIG. 10 is a waveform diagram of main nodes for describing an operation of the scan path test circuit of FIG. 9;
FIG. 11 is a block diagram showing a circuit configuration example of a scan chain used in a conventional general scan method.
FIG. 12 is a waveform example of a main node of the scan chain of FIG. 11;
FIG. 13 is a block diagram illustrating a circuit configuration example of a scan chain disclosed in Japanese Patent Application Laid-Open No. 2000-258500.
FIG. 14 is a waveform example of a main node of the scan chain in FIG. 13;
15A and 15B are diagrams for explaining an example in which a test pattern for detecting a failure using a sample circuit and a sample failure is set by a scan chain, and FIG. 15A illustrates a conventional general scan chain. FIG. 4B shows a test pattern, and FIG. 4B shows a test pattern in the case of the scan chain disclosed in Japanese Patent Application Laid-Open No. 2000-258500.
FIG. 16 is a block diagram illustrating a specific configuration example of an SF / F that performs an SSF operation with one clock signal.
FIG. 17 is a block diagram illustrating a configuration example of a clocked LSSD SF / F.
FIG. 18 is a block diagram illustrating a configuration example of a scan chain using a clocked LSSD type SF / F.
FIG. 19 is a schematic waveform diagram for explaining an SSF operation of a scan chain included in the test circuit of FIG. 18;
[Explanation of symbols]
10, 11, 12, 15 semiconductor device
20,21,22,25 test circuit
50 SF / F
51, 210, 416, 447 MUX
53,412 F / F
70 Clocked LSSD SF / F
71, 73, 75 D-type latch
102, 107, 602 scan chain
710,715,720,725 scan chain
103, 104, 105, 106 SF / F
108, 109, 110, 111 SF / F
112 Scan data input terminal
113 SC external input terminal
115 Sout external output terminal
201, 726, 727, 728 EXOR
218, 418, 458 Selection control input terminal
219, 419, 459 Output end
401 scan mode external input terminal
402 SC control circuit
403 Delay adjustment circuit
405,407,417,441,442,443,444 terminal
410, 413, 415 AND gate
411,414 INV
440 Modulation circuit
445,446,711,716,721 F / F
448 buffer
471 LSI Tester
472 output signal bundle
473 input signal bundle
474 external board
475 signal line
601 F / F
702 SIN1 input terminal
703 CLK input terminal
704 SC1 input terminal
705 SC2 input terminal
706,707,708,709,712,713,714,717,718,719,722,723,724 SF / F
729 scan data output terminal

Claims (11)

複数のスキャンパステスト用フリップフロップを含んで構成された複数のスキャンチェーンと、スキャンパステスト用データを入力するスキャンデータ入力端子と、を少なくとも備え、
前記複数のスキャンチェーンは、第1のスキャンデータを入力する第1スキャンチェーンと、前記第1のスキャンデータと異なる第2のスキャンデータを入力する第2スキャンチェーンとをそれぞれ少なくとも一つ含み、
前記第1スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを第1タイミングでラッチし、
前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを前記第1タイミングと異なる第2タイミングでラッチし、
更に、前記スキャンデータ入力端子は、前記第1スキャンチェーンのスキャンデータ入力端と前記第2スキャンデータ入力端とを共通接続した第1スキャンデータ入力端子を少なくとも一つ有することを特徴とする半導体装置。
A plurality of scan chains configured including a plurality of scan path test flip-flops, and a scan data input terminal for inputting scan path test data, at least,
The plurality of scan chains each include at least one of a first scan chain for inputting first scan data and a second scan chain for inputting second scan data different from the first scan data,
All the scan path test flip-flops included in the first scan chain latch their scan data at a first timing,
All the scan path test flip-flops included in the second scan chain latch respective scan data at a second timing different from the first timing,
Further, the scan data input terminal has at least one first scan data input terminal commonly connecting a scan data input terminal of the first scan chain and the second scan data input terminal. .
外部から第1クロック信号を入力するクロック入力端子を更に備え、
前記第1タイミングが前記第1クロック信号の第1のエッジに同期したタイミングであり、前記第2タイミングが前記第1クロック信号の前記第1のエッジと逆方向の第2のエッジに同期したタイミングである請求項1記載の半導体装置。
A clock input terminal for inputting a first clock signal from outside;
The first timing is timing synchronized with a first edge of the first clock signal, and the second timing is timing synchronized with a second edge of the first clock signal in a direction opposite to the first edge. The semiconductor device according to claim 1, wherein
外部から第1クロック信号を入力するクロック入力端子と、前記第1クロック信号とスキャンシフト動作モードを設定するモード信号を入力し、前記スキャンシフト動作モード時に第1クロック信号から所定時間遅れた第2クロック信号を出力するスキャンクロック制御手段と、を更に備え、
前記スキャンシフト動作モード時に、
前記第1スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号に同期したタイミングでラッチし、
前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを第2クロック信号に同期したタイミングでラッチする請求項1記載の半導体装置。
A clock input terminal for externally inputting a first clock signal, a first clock signal and a mode signal for setting a scan shift operation mode, and a second signal delayed by a predetermined time from the first clock signal in the scan shift operation mode Scan clock control means for outputting a clock signal,
In the scan shift operation mode,
All the scan path test flip-flops included in the first scan chain latch scan data at a timing synchronized with the first clock signal,
2. The semiconductor device according to claim 1, wherein all the scan path test flip-flops included in the second scan chain latch scan data at a timing synchronized with a second clock signal.
前記第1クロック信号を外部から入力するクロック入力端子と前記第1スキャンチェーンとの間に、信号遅延手段を更に有する請求項1乃至3いずれか1項に記載の記載の半導体装置。4. The semiconductor device according to claim 1, further comprising a signal delay unit between the first scan chain and a clock input terminal for externally inputting the first clock signal. 5. 複数のスキャンパステスト用フリップフロップを含んで構成された複数のスキャンチェーンと、スキャンパステスト用データを入力するスキャンデータ入力端子とを備え、
前記複数のスキャンチェーンは、第1のスキャンデータを入力する第1スキャンチェーンと、前記第1のスキャンデータと異なる第2のスキャンデータを入力する第2スキャンチェーンとをそれぞれ少なくとも一つ含み、
前記第1スキャンチェーン及び前記第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、それぞれのスキャンデータを第1タイミングでラッチし、
更に、前記スキャンデータ入力端子は、前記第1スキャンチェーンのスキャンデータ入力端と接続すると共に、所定のラッチ手段を介して前記第2スキャンデータ入力端と接続した第1スキャンデータ入力端子を少なくとも一つ有することを特徴とする半導体装置。
A plurality of scan chains configured to include a plurality of scan path test flip-flops, and a scan data input terminal for inputting scan path test data,
The plurality of scan chains each include at least one of a first scan chain for inputting first scan data and a second scan chain for inputting second scan data different from the first scan data,
All the scan path test flip-flops included in the first scan chain and the second scan chain latch respective scan data at a first timing,
Further, the scan data input terminal is connected to a scan data input terminal of the first scan chain and has at least one first scan data input terminal connected to the second scan data input terminal via predetermined latch means. A semiconductor device comprising:
前記ラッチ手段のデータ入力端とデータ出力端を、前記第1スキャンデータ入力端子と前記第2スキャンチェーンのスキャンデータ入力端にそれぞれ接続し、
前記ラッチ手段は、前記第2スキャンチェーンに含まれる前記スキャンパステスト用フリップフロップがスキャンデータをラッチするタイミングとは異なるタイミングで入力信号をラッチする請求項5記載の半導体装置。
A data input terminal and a data output terminal of the latch means are connected to the first scan data input terminal and a scan data input terminal of the second scan chain, respectively;
6. The semiconductor device according to claim 5, wherein said latch means latches an input signal at a timing different from a timing at which said scan path test flip-flop included in said second scan chain latches scan data.
第1クロック信号を入力するクロック入力端子を更に備え、前記第1及び第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号の第1のエッジに同期したタイミングでラッチし、
前記ラッチ手段は、前記第1クロック信号の前記第1のエッジと逆方向の第2のエッジに同期したタイミングで入力信号をラッチする請求項5記載の半導体装置。
A clock input terminal for inputting a first clock signal is further provided, and all the scan path test flip-flops included in the first and second scan chains cause scan data to be applied to a first edge of the first clock signal. Latch at synchronized timing,
6. The semiconductor device according to claim 5, wherein said latch means latches an input signal at a timing synchronized with a second edge of said first clock signal in a direction opposite to said first edge.
第1クロック信号を入力するクロック入力端子を更に備え、前記第1及び第2スキャンチェーンに含まれる全ての前記スキャンパステスト用フリップフロップは、スキャンデータを前記第1クロック信号に同期したタイミングでラッチし、
前記ラッチ手段は、前記第1クロック信号の反転信号に同期したタイミングで入力信号をラッチする請求項5記載の半導体装置。
A clock input terminal for inputting a first clock signal, wherein all of the scan path test flip-flops included in the first and second scan chains latch scan data at a timing synchronized with the first clock signal; And
6. The semiconductor device according to claim 5, wherein said latch means latches an input signal at a timing synchronized with an inverted signal of said first clock signal.
内部回路の所定のノードの論理状態を表す信号を外部に出力するスキャンアウト外部出力端子と、データ圧縮手段を更に備え、
前記第1スキャンデータ入力端子に接続された全ての前記スキャンチェーンのスキャンデータ出力端を前記データ圧縮手段の入力端に接続し、
前記データ圧縮手段の出力端を、前記スキャンアウト外部出力端子と接続した請求項1乃至8いずれか1項に記載の半導体装置。
A scan-out external output terminal for outputting a signal representing a logic state of a predetermined node of the internal circuit to the outside, and further comprising a data compression unit,
Connecting the scan data output terminals of all the scan chains connected to the first scan data input terminal to the input terminals of the data compression means;
9. The semiconductor device according to claim 1, wherein an output terminal of said data compression means is connected to said scan-out external output terminal.
前記データ圧縮手段が排他的論理和回路で構成された請求項9記載の半導体装置。10. The semiconductor device according to claim 9, wherein said data compression means comprises an exclusive OR circuit. 前記データ圧縮手段がマルチプレクサで構成された請求項9記載の半導体装置。10. The semiconductor device according to claim 9, wherein said data compression means comprises a multiplexer.
JP2001392393A 2001-12-25 2001-12-25 Semiconductor device Expired - Fee Related JP3594582B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001392393A JP3594582B2 (en) 2001-12-25 2001-12-25 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001392393A JP3594582B2 (en) 2001-12-25 2001-12-25 Semiconductor device

Publications (2)

Publication Number Publication Date
JP2003194886A JP2003194886A (en) 2003-07-09
JP3594582B2 true JP3594582B2 (en) 2004-12-02

Family

ID=27599731

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001392393A Expired - Fee Related JP3594582B2 (en) 2001-12-25 2001-12-25 Semiconductor device

Country Status (1)

Country Link
JP (1) JP3594582B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078704A (en) 2004-01-31 2005-08-08 삼성전자주식회사 The scan based automatic test pattern generation test circuit and test method thereby and scan chain reordering method
JP4335961B1 (en) 2008-09-01 2009-09-30 Necエレクトロニクス株式会社 Test circuit
JP6221433B2 (en) * 2013-07-09 2017-11-01 株式会社ソシオネクスト Semiconductor integrated circuit
JP6880403B2 (en) 2017-05-24 2021-06-02 富士通株式会社 Semiconductor device design verification support device, semiconductor device design verification support program, and semiconductor device design verification support method

Also Published As

Publication number Publication date
JP2003194886A (en) 2003-07-09

Similar Documents

Publication Publication Date Title
US5349587A (en) Multiple clock rate test apparatus for testing digital systems
KR960005606B1 (en) Built-in test circuit providing simple and accurate ac test of digital microcircuits with low bandwidth test equipment and probe stations
KR100757264B1 (en) Interconnect delay fault test controller and test apparatus using the same
JP4274806B2 (en) Semiconductor integrated circuit and scan test method
US20060064616A1 (en) Method and apparatus for at-speed testing of digital circuits
US8418008B2 (en) Test technique to apply a variable scan clock including a scan clock modifier on an integrated circuit
US7859293B2 (en) Semiconductor integrated circuit
US7080302B2 (en) Semiconductor device and test system therefor
KR100582807B1 (en) Testable ic having analog and digital circuits
US7461307B2 (en) System and method for improving transition delay fault coverage in delay fault tests through use of an enhanced scan flip-flop
JP2002257903A (en) Method for testing semiconductor integrated circuit and method for generating test pattern and its device and program
US20070124635A1 (en) Integration circuit and test method of the same
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
JP3594582B2 (en) Semiconductor device
Jahangiri et al. Achieving high test quality with reduced pin count testing
US6976199B2 (en) AC LSSD/LBIST test coverage enhancement
US7028238B2 (en) Input/output characterization chain for an integrated circuit
US5130989A (en) Serial and parallel scan technique for improved testing of systolic arrays
KR100294718B1 (en) Linear Feedback Shift Registers and Semiconductor Integrated Circuit Devices
JP4610919B2 (en) Semiconductor integrated circuit device
JP5383588B2 (en) Scan test circuit, semiconductor integrated circuit
US20050278593A1 (en) Scan-test structure having increased effectiveness and related systems and methods
JP2008064717A (en) Delay measuring circuit in semiconductor integrated circuit
KR20110071254A (en) Test apparatus for system-on-chip and system-on-chip having the same
Ziaja Using LSSD to test modules at the board level

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040803

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040831

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees