JP3534660B2 - Plasma processing equipment - Google Patents

Plasma processing equipment

Info

Publication number
JP3534660B2
JP3534660B2 JP25824499A JP25824499A JP3534660B2 JP 3534660 B2 JP3534660 B2 JP 3534660B2 JP 25824499 A JP25824499 A JP 25824499A JP 25824499 A JP25824499 A JP 25824499A JP 3534660 B2 JP3534660 B2 JP 3534660B2
Authority
JP
Japan
Prior art keywords
temperature
inner cylinder
processing
plasma
outer cylinder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP25824499A
Other languages
Japanese (ja)
Other versions
JP2000138208A (en
Inventor
三郎 金井
主人 高橋
浩一 岡村
良二 濱崎
哲 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP25824499A priority Critical patent/JP3534660B2/en
Publication of JP2000138208A publication Critical patent/JP2000138208A/en
Application granted granted Critical
Publication of JP3534660B2 publication Critical patent/JP3534660B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマ処理装置
係り、特に、高密度のプラズマを用い、試料にエッチ
ング等の処理を施すのに好適な、プラズマ処理装置に
するものである。
TECHNICAL FIELD The present invention relates to a plasma processing apparatus.
In particular, the present invention relates to a plasma processing apparatus suitable for subjecting a sample to processing such as etching using high-density plasma.

【0002】[0002]

【従来の技術】従来のプラズマ処理装置としては、例え
ば、半導体プラズマプロセス技術(菅野卓雄 編著、産
業図書発行、(1980)、P139)に記載のよう
に、マイクロ波プラズマ処理装置を用い、マイクロ波を
伝播する導波管内に石英製の放電室を有し、放電室外部
に配置したコイルより生じられる外部磁場とマイクロ波
電界の作用により、放電室内でプラズマを生成させるよ
うになっていた。そして、該プラズマを利用して半導体
ウェハの表面にエッチング等の処理を施すことが可能と
なる。
2. Description of the Related Art As a conventional plasma processing apparatus, for example, a microwave plasma processing apparatus is used as described in Semiconductor Plasma Process Technology (edited by Takuo Sugano, published by Sangyo Tosho, (1980), P139). There is a discharge chamber made of quartz in a waveguide that propagates the plasma, and plasma is generated in the discharge chamber by the action of an external magnetic field and a microwave electric field generated by a coil arranged outside the discharge chamber. Then, it becomes possible to perform processing such as etching on the surface of the semiconductor wafer using the plasma.

【0003】このようなマイクロ波エッチング処理装置
では、マイクロ波を導くと共に、外部磁場を処理室内に
導入するために、処理室として、導波管となる非磁性で
かつ導電性の材料が必要である。そのため、一般には処
理室の壁材料として、アルミニウム(Al)やステンレ
ス鋼(SUS)の金属が用いられる。
In such a microwave etching apparatus, a non-magnetic and electrically conductive material to serve as a waveguide is required as a processing chamber in order to introduce a microwave and introduce an external magnetic field into the processing chamber. is there. Therefore, a metal such as aluminum (Al) or stainless steel (SUS) is generally used as the wall material of the processing chamber.

【0004】ところで、処理室の壁面を構成するステン
レス鋼その他の金属は、プラズマにより削られ飛散し
て、その中に含まれている重金属と共に汚染源となる。
By the way, the stainless steel and other metals forming the wall surface of the processing chamber are scraped and scattered by the plasma, and become a pollution source together with the heavy metal contained therein.

【0005】一方、特開平4−229619号公報に
は、処理室で使用される反応ガスによる化学的腐食から
金属面を保護することのできる導電製のコーティングを
内側金属面上に形成するものが示されている。これは、
処理ガスに、塩素などのハロゲンガスなどを用いてプラ
ズマエッチングを行うと、処理室の金属壁が腐食すると
いう恐れがあるため、処理室の金属内壁面上に、コーテ
ィングにより保護膜を形成するものである。処理室の金
属はアルミニウムであり、コーティング材料には、Ti
N,InSn,SiC,TiC,TaC等があげられて
いる。コーティング層の厚みは、0.2μmから1μm
にわたるものとされている。
On the other hand, Japanese Patent Laid-Open No. 4-229619 discloses a method in which a conductive coating capable of protecting a metal surface from chemical corrosion by a reaction gas used in a processing chamber is formed on the inner metal surface. It is shown. this is,
When plasma etching is performed using halogen gas such as chlorine as the processing gas, the metal wall of the processing chamber may be corroded. Therefore, a protective film is formed by coating on the metal inner wall surface of the processing chamber. Is. The metal in the processing chamber is aluminum, and the coating material is Ti
N, InSn, SiC, TiC, TaC and the like are listed. The thickness of the coating layer is 0.2 μm to 1 μm
It is said to extend.

【0006】また、特開昭63−138737号公報に
は、チャンバ内に対向電極を備えたドライエッチング装
置において、汚染されたチャンバの内面を清浄可能にす
るために、チャンバに対して着脱自在な絶縁材でチャン
バ内面を覆ったものが示されている。絶縁材としては、
アルマイト、アルミナ溶射、テフロン、セラミック等が
挙げられている。
Further, in Japanese Patent Laid-Open No. 63-138737, a dry etching apparatus having a counter electrode inside the chamber is detachable from the chamber in order to clean the inner surface of the contaminated chamber. Insulation is shown covering the interior of the chamber. As an insulating material,
Alumite, alumina spraying, Teflon, ceramics, etc. are mentioned.

【0007】[0007]

【発明が解決しようとする課題】上記特開平4−229
619号公報に記載された従来技術では、処理室で使用
される反応ガスによる化学的腐食の観点からは金属面を
保護することはできる。ただし、典型的なプラズマエッ
チングプロセスの条件として上記公報のカラム5に記載
されていることからも明らかなとおり、プラズマ処理時
の温度は、約10℃〜約70℃という比較的低温の範囲
に限定されている。これはもし、プラズマ処理に伴い処
理室を構成するアルミニウムの温度が、例えば100℃
以上に上昇すると、アルミニウムの熱膨張のために、ア
ルミニウム表面のコーティング膜に割れが発生する恐れ
があるためと考えられる。割れの発生を避けるためには
コーティング膜を薄くせざるを得ない。しかし、膜厚を
薄くすると、プラズマエッチングに伴う反応ガスにより
コーティング膜が短時間に腐食されてしまい、コーティ
ング膜の役目を果たさない。例えば、発明者等の実験に
よれば、SiCの場合、エッチングにより毎分約0.0
5μm削られるというデータがある。そのため、0.2
μmから1μm程度の厚みでは、数時間で、換言すると
試料を数百枚処理した時点でコーティング層が破壊さ
れ、無くなってしまう。その結果、処理室の内壁の金属
表面がプラズマに露出し、プラズマにより削られあるい
は化学的に反応して変質し、これらが重金属汚染源とな
ったり、あるいは処理室の特性を劣化させてしまう。
DISCLOSURE OF THE INVENTION Problems to be Solved by the Invention
In the conventional technique described in Japanese Patent No. 619, it is possible to protect the metal surface from the viewpoint of chemical corrosion due to the reaction gas used in the processing chamber. However, as is clear from the description in column 5 of the above publication as typical plasma etching process conditions, the temperature during plasma processing is limited to a relatively low temperature range of about 10 ° C to about 70 ° C. Has been done. If the temperature of the aluminum forming the processing chamber is 100 ° C.
It is considered that when the temperature rises above the above range, cracks may occur in the coating film on the aluminum surface due to thermal expansion of aluminum. In order to avoid the occurrence of cracks, the coating film has to be thin. However, when the film thickness is reduced, the coating film is corroded in a short time by the reaction gas accompanying the plasma etching, and the function of the coating film is not fulfilled. For example, according to experiments by the inventors, in the case of SiC, about 0.0 per minute is obtained by etching.
There is data that it will be cut by 5 μm. Therefore, 0.2
With a thickness of about 1 μm to 1 μm, the coating layer is destroyed and disappears after several hours, in other words, when several hundred samples are processed. As a result, the metal surface of the inner wall of the processing chamber is exposed to the plasma, and is abraded by the plasma or chemically reacts to be deteriorated, which becomes a source of heavy metal contamination or deteriorates the characteristics of the processing chamber.

【0008】一方、前記特開昭63−138737号公
報記載の発明は、汚染された絶縁材をチャンバから外し
て清浄した後、再度チャンバ内に装着して使用するもの
であるが、しかしながら絶縁材等をチャンバ内面に装着
した場合には、プラズマ処理中に装着した絶縁材等の温
度が変動して、プラズマ処理特性が大幅に変動する問題
がある。
On the other hand, in the invention described in the above-mentioned Japanese Patent Laid-Open No. 63-138737, the contaminated insulating material is removed from the chamber, cleaned, and then mounted again in the chamber for use. However, the insulating material is used. When the above is mounted on the inner surface of the chamber, there is a problem that the temperature of the insulating material mounted during the plasma processing fluctuates and the plasma processing characteristics fluctuate significantly.

【0009】本発明の目的は、処理室内面がプラズマに
より変質したり重金属汚染源となることを防止すると共
に、処理室内面の温度を所望温度に保持することによ
り、プラズマ処理特性を経時的に安定させるプラズマ処
装置を提供することにある。
An object of the present invention is to prevent the surface of the processing chamber from being altered by plasma and to become a source of heavy metal pollution, and to keep the temperature of the surface of the processing chamber at a desired temperature to stabilize the plasma processing characteristics with time. Another object is to provide a plasma processing apparatus .

【0010】[0010]

【課題を解決するための手段】本発明は、プラズマ発生
装置と、減圧可能な処理室と、処理室にガスを供給する
処理ガス供給装置と、試料を保持する試料台と、制御装
置と真空排気装置とを備え、かつ前記処理室は減圧に耐
える外筒と該外筒の内側に配置された内筒を備えたプ
ラズマ処理装置において、前記内筒は非磁性材料からな
り、交換可能に構成されており、前記内筒の温度をモニ
ターする手段と、前記外筒の温度を制御する外筒温度制
御手段を備え、前記制御装置により、前記試料の処理条
件に応じてあらかじめ入力された所望内筒温度と前記内
筒のモニター温度とを比較演算して前記外筒温度制御手
段を制御し前記内筒温度を所定値に制御するように構成
したことを特徴とする。
The present invention is directed to a plasma generator, a decompressible processing chamber, a processing gas supply device for supplying a gas to the processing chamber, a sample stage for holding a sample, and a control device.
And a location and a vacuum exhaust device, and the processing chamber in a plasma processing apparatus that includes a cylindrical inner disposed inside the outer cylinder and the outer cylinder to withstand vacuum, the inner tube sounds of a non-magnetic material
It is configured to be replaceable, and the temperature of the inner cylinder can be monitored.
For controlling the temperature of the outer cylinder.
Control means, and the control device controls the sample processing conditions.
The desired inner cylinder temperature and the above
The external cylinder temperature control hand
It is configured to control the stage to control the inner cylinder temperature to a predetermined value.
Characterized in that it was.

【0011】本発明の他の特徴は、プラズマ発生装置
と、減圧可能な処理室と、処理室にガスを供給する処理
ガス供給装置と、試料を保持する試料台と、制御装置と
真空排気装置を備え、かつ前記処理室は減圧に耐える
外筒と該外筒の内側に配置された内筒を備えたプラズ
マ処理装置において、前記内筒は非磁性材料からなり、
交換可能に構成されており、前記内筒の温度をモニター
する手段と、前記外筒の温度を制御する外筒温度制御手
段を備え、前記制御装置により、多層膜構造の試料の処
理にあたって、あらかじめ入力された前記多層膜構造の
それぞれの膜の膜質、処理ガス種、放電条件に応じた所
望内筒温度と前記内筒のモニター温度とを比較演算して
前記外筒温度制御手段を制御し前記内筒温度を所定値に
制御するように構成したことにある。
Other features of the present invention include a plasma generator, a decompressible processing chamber, a processing gas supply device for supplying a gas to the processing chamber, a sample stage for holding a sample, and a controller. > an evacuation device, and the plasma processing apparatus having a inner cylinder the processing chamber is disposed inside the outer cylinder and the outer cylinder to withstand vacuum, the inner tube is made of non-magnetic material,
It is configured to be replaceable and monitors the temperature of the inner cylinder.
For controlling the temperature of the outer cylinder
The control device includes a step, and the control device processes the sample having the multilayer film structure.
For the sake of understanding, the previously entered multilayer film structure
Places depending on the film quality of each film, processing gas species, and discharge conditions
Comparing the desired inner cylinder temperature with the monitor temperature of the inner cylinder
Controls the outer cylinder temperature control means to bring the inner cylinder temperature to a predetermined value.
It is configured to control .

【0012】処理室の内壁としてセラミック等、重金属
を含まない材料からなる内筒を用いているため、ウェハ
の処理時に外筒を構成するアルミニウムなどの金属面が
露出せず、従ってプラズマによって金属が削られたり変
質したりして、ウェハに対する重金属汚染源となること
がない。一方、内筒は外筒に比べて熱伝導性が低いの
で、もしなんら制御しなければ、エッチング処理時に内
筒の温度、換言すると処理室の表面温度が200℃〜3
50℃あるいはそれ以上に達する。本発明では、内筒の
温度を所望値、例えば100℃〜350℃、の間の所望
値に制御するので、処理室の表面温度も所望値に維持さ
れるために、エッチング特性は安定したものとなる。
Since the inner cylinder made of a material that does not contain heavy metals such as ceramics is used as the inner wall of the processing chamber, the metal surface such as aluminum forming the outer cylinder is not exposed during the processing of the wafer, and therefore the metal is removed by the plasma. It does not become a source of heavy metal contamination on the wafer by being scraped or altered. On the other hand, since the inner cylinder has a lower thermal conductivity than the outer cylinder, the temperature of the inner cylinder during the etching process, in other words, the surface temperature of the processing chamber is 200 ° C. to 3 ° C. if no control is performed.
Reach 50 ° C or higher. In the present invention, since the temperature of the inner cylinder is controlled to a desired value, for example, a desired value between 100 ° C. and 350 ° C., the surface temperature of the processing chamber is also maintained at the desired value, so that the etching characteristics are stable. Becomes

【0013】また、内筒表面温度を所望のパターンに制
御することによりプロセスを安定化することも可能とな
る。
Further, the process can be stabilized by controlling the surface temperature of the inner cylinder in a desired pattern.

【0014】また、内筒を構成する材料の内側表面が、
プラズマによってわずかづつ削られる材料を使用した場
合には、内筒の内側表面が絶えず新しい表面に更新され
るので、内側表面の変質による汚染の心配はなく、処理
室としての特性の経時変化もほとんどない。また、内筒
は重金属を含んでいないので、削られても汚染源となる
心配はない。
The inner surface of the material forming the inner cylinder is
When using a material that is slightly scraped by plasma, the inner surface of the inner cylinder is constantly renewed to a new surface, so there is no risk of contamination due to alteration of the inner surface, and the characteristics of the processing chamber change little over time. Absent. Also, since the inner cylinder does not contain heavy metals, there is no concern that it will become a pollution source even if it is scraped.

【0015】[0015]

【実施例】以下、図を用いて本発明の実施例を説明す
る。まず図1は、本発明の一実施例になるマイクロ波プ
ラズマ処理装置の一部を縦断面した正面図であり、図2
にその要部拡大図を示す。1はマイクロ波の発振源とし
てのマグネトロン、2はマイクロ波の導波管である。3
は、処理室4を真空封止しマイクロ波を処理室4に供給
するための石英板である。処理室4は、例えば純度の高
いアルミニウム(Al)で作られた、減圧に耐える外筒
5と、その内側に配置された炭化珪素(SiC)等のセ
ラミックで作られた内筒6によって構成されている。処
理室4の内面は絶縁物、外部は導電物であるため、処理
室4は導波管の役目もしている。7は磁場を供給する第
一のソレノイドコイル、8(8A,8B)は、磁場を供
給する第二のソレノイドコイルである。処理室4は、真
空室9に接続された真空ポンプにより真空排気される。
10は、エッチング等の処理を行なうウェハ11を載置
する試料台であり、高周波電源12が接続されている。
13は処理ガス供給系であり、処理室4内にエッチン
グ、成膜等の処理を行なう処理ガスを供給する。
Embodiments of the present invention will be described below with reference to the drawings. First, FIG. 1 is a vertical cross-sectional front view of a part of a microwave plasma processing apparatus according to an embodiment of the present invention.
An enlarged view of the main part is shown in FIG. Reference numeral 1 is a magnetron as a microwave oscillation source, and 2 is a microwave waveguide. Three
Is a quartz plate for vacuum-sealing the processing chamber 4 and supplying microwaves to the processing chamber 4. The processing chamber 4 is composed of an outer cylinder 5 made of, for example, high-purity aluminum (Al) that can withstand pressure reduction, and an inner cylinder 6 disposed inside the outer cylinder 5 made of ceramic such as silicon carbide (SiC). ing. Since the inner surface of the processing chamber 4 is an insulator and the outside is a conductor, the processing chamber 4 also serves as a waveguide. Reference numeral 7 is a first solenoid coil for supplying a magnetic field, and 8 (8A, 8B) is a second solenoid coil for supplying a magnetic field. The processing chamber 4 is evacuated by a vacuum pump connected to the vacuum chamber 9.
Reference numeral 10 is a sample table on which a wafer 11 to be processed such as etching is placed, and a high frequency power source 12 is connected thereto.
A processing gas supply system 13 supplies a processing gas for performing processing such as etching and film formation into the processing chamber 4.

【0016】外筒5と内筒6の間は、0.1〜2mm程
度の隙間G14が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系15
は、ガス源16,圧力制御弁17、圧力検出器18、圧
力指令指示手段19、制御回路20を備えており、圧力
検出器18で隙間14の圧力Pを検出し、この圧力Pを
所望値に維持するように圧力制御弁17の開度を制御す
る。
There is a gap G14 of about 0.1 to 2 mm between the outer cylinder 5 and the inner cylinder 6, and a heat transfer gas for temperature control is introduced through the gas supply system 15 between them. Gas supply system 15
Is equipped with a gas source 16, a pressure control valve 17, a pressure detector 18, a pressure command instructing means 19, and a control circuit 20. The pressure detector 18 detects the pressure P in the gap 14 and sets this pressure P to a desired value. The opening degree of the pressure control valve 17 is controlled so as to be maintained at.

【0017】内筒6はサポート32に支持されている。
一定量消耗したとき新しいものに交換するために、外筒
5に対して着脱自在に支持されている。
The inner cylinder 6 is supported by a support 32.
It is detachably supported on the outer cylinder 5 so that it can be replaced with a new one when it is consumed by a certain amount.

【0018】外筒5の外周には、処理室4を加熱するた
めのヒータ21が配置されており、温度検出器23によ
り内筒6の温度Tを検出し、温度コントローラ22によ
り外筒5の温度T0が制御される。このヒータ21は、
外筒5の温度T0及び隙間14の圧力を所定値に維持す
ることにより、内筒6の温度Tを所定値に維持するため
のものである。
A heater 21 for heating the processing chamber 4 is arranged on the outer periphery of the outer cylinder 5, a temperature detector 23 detects the temperature T of the inner cylinder 6, and a temperature controller 22 detects the temperature of the outer cylinder 5. The temperature T0 is controlled. This heater 21
The temperature T0 of the outer cylinder 5 and the pressure of the gap 14 are maintained at predetermined values to maintain the temperature T of the inner cylinder 6 at a predetermined value.

【0019】プラズマエッチング処理に際しては、ガス
供給系13から処理室4に処理ガスを所定の流量で導入
しながら、他方真空ポンプにより真空排気することによ
り、処理室4の圧力を所定の処理圧力に調節する。ま
た、ヒータ21やガス供給系15、温度コントローラ2
2によって、外筒5の温度T0、内筒6の温度T、隙間
14の圧力Pを所定値に制御する。
In the plasma etching process, while the process gas is introduced into the process chamber 4 from the gas supply system 13 at a predetermined flow rate, the pressure in the process chamber 4 is adjusted to a predetermined process pressure by evacuating the process gas by the vacuum pump. Adjust. Further, the heater 21, the gas supply system 15, the temperature controller 2
2, the temperature T0 of the outer cylinder 5, the temperature T of the inner cylinder 6, and the pressure P of the gap 14 are controlled to predetermined values.

【0020】他方、処理を行なうべきウェハ11を試料
台10に載置、保持し、マグネトロン1及び第一、第二
のソレノイドコイル7、8をオンとし、マイクロ波を処
理室4に導びいて、処理室4内にプラズマ100を生成
させ、ウェハ11にエッチング等の処理を行う。
On the other hand, the wafer 11 to be processed is placed and held on the sample table 10, the magnetron 1 and the first and second solenoid coils 7 and 8 are turned on, and the microwave is guided to the processing chamber 4. The plasma 100 is generated in the processing chamber 4, and the wafer 11 is processed by etching or the like.

【0021】本発明によれば、ウェハ11の処理時に、
処理室4内壁として、アルミニウムなどの金属面が露出
していないために、プラズマ100によって金属が削ら
れたり変質したりして、ウェハ11に対する重金属汚染
源となることがない。
According to the present invention, during processing of the wafer 11,
Since the metal surface such as aluminum is not exposed as the inner wall of the processing chamber 4, the metal is not ground or altered by the plasma 100, and does not become a heavy metal contamination source for the wafer 11.

【0022】一方、内筒6を構成するSiCの内側表面
は、プラズマ100によってわずかづつ削られる。しか
し、重金属を含んでいないので、削られても汚染源とな
る心配はない。むしろ、削られることにより、内筒6の
内側表面が絶えず新しい表面に更新されるので、内側表
面の変質による汚染の心配はなく、処理室4としての特
性の経時変化もほとんどない。削られたSiC成分は、
真空ポンプで処理室4から真空排気される。
On the other hand, the inner surface of SiC forming the inner cylinder 6 is gradually scraped by the plasma 100. However, since it does not contain heavy metals, there is no risk of becoming a pollution source even if it is scraped. Rather, since the inner surface of the inner cylinder 6 is constantly updated to a new surface by being scraped, there is no risk of contamination due to alteration of the inner surface, and the characteristics of the processing chamber 4 hardly change with time. The removed SiC component is
The processing chamber 4 is evacuated by a vacuum pump.

【0023】ところで、エッチング処理時、処理室で発
生する熱により内筒の温度が上昇する。もしなんら制御
しなければ、内筒の温度Tは200℃〜350℃あるい
はそれ以上になる。一方、プラズマエッチング処理にお
ける、エッチング特性は内筒6の内側表面の温度に大き
く影響される。すなわち、内筒6の表面温度の変化によ
って、内筒6とエッチングガスの反応が変化し、エッチ
ングガスの雰囲気が変動するため、エッチング特性が安
定しない。例えば、円筒6の温度が変化することによ
り、壁への堆積物質の組成や堆積量が変動したり、壁と
の反応速度が変動することにより、プラズマ中の組成が
変動するため、エッチング特性が安定しない。
During the etching process, the temperature of the inner cylinder rises due to the heat generated in the processing chamber. If no control is performed, the temperature T of the inner cylinder becomes 200 ° C. to 350 ° C. or higher. On the other hand, the etching characteristics in the plasma etching process are greatly affected by the temperature of the inner surface of the inner cylinder 6. That is, the reaction between the inner cylinder 6 and the etching gas changes due to the change in the surface temperature of the inner cylinder 6, and the atmosphere of the etching gas changes, so that the etching characteristics are not stable. For example, when the temperature of the cylinder 6 changes, the composition and amount of the deposited substance on the wall change, and the reaction rate with the wall changes, which changes the composition in the plasma, resulting in an etching characteristic. Not stable.

【0024】本発明では、ヒータ21により外筒5の温
度T0の制御及び隙間14の圧力Pの制御を行うことに
より、内筒の表面温度Tを100℃〜350℃、望まし
くは150℃〜300℃の間の所望値に制御する。本発
明によれば、内筒6表面の温度Tが所定値に維持される
ために、エッチング特性は安定したものとなる。また、
内筒6の温度が所定値に維持され、内筒6の内側表面の
プラズマによる反応速度が安定するため、エッチングに
よって内筒6の表面が削られる量も一定になる。これに
よって、処理室4としての特性も安定したものとなる。
In the present invention, the temperature T0 of the outer cylinder 5 and the pressure P in the gap 14 are controlled by the heater 21 so that the surface temperature T of the inner cylinder is 100 ° C to 350 ° C, preferably 150 ° C to 300 ° C. Control to the desired value between ° C. According to the present invention, since the temperature T on the surface of the inner cylinder 6 is maintained at a predetermined value, the etching characteristic becomes stable. Also,
Since the temperature of the inner cylinder 6 is maintained at a predetermined value and the reaction rate of the plasma on the inner surface of the inner cylinder 6 is stabilized, the amount of the surface of the inner cylinder 6 scraped by etching becomes constant. As a result, the characteristics of the processing chamber 4 are also stable.

【0025】図3は、温度コントローラ22によ.内筒
6の温度制御機能を示すものである。一例として、外筒
5の温度をT0に維持することにより、内筒6の温度T
をT0に近つけることを示している。
FIG. 3 shows the temperature controller 22. The temperature control function of the inner cylinder 6 is shown. As an example, by maintaining the temperature of the outer cylinder 5 at T0, the temperature T of the inner cylinder 6 is
To approach T0.

【0026】この場合、図4に示すように、隙間14の
圧力Pを上げることにより、温度TとT0の差を小さく
できる。具体的には、隙間14が1mm、隙間14にH
eガスを供給し、ガス圧力を10Torrに制御した場
合、内筒6への入熱量が0〜300W相当の時、外筒5
の温度150℃にたいして、内筒6の温度を150℃±
20℃に保持することが可能である。
In this case, as shown in FIG. 4, by increasing the pressure P in the gap 14, the difference between the temperatures T and T0 can be reduced. Specifically, the gap 14 is 1 mm, and the gap 14 is H
When the e gas is supplied and the gas pressure is controlled to 10 Torr, when the heat input amount to the inner cylinder 6 is equivalent to 0 to 300 W, the outer cylinder 5
The temperature of the inner cylinder 6 to 150 ° C ± 150 ° C
It is possible to keep at 20 ° C.

【0027】内筒の所望温度は円筒の材質、被処理膜
質、処理ガスの種類、放電条件等の組合せにより最適値
が異なってくる。
The optimum value of the desired temperature of the inner cylinder varies depending on the combination of the material of the cylinder, the quality of the film to be processed, the type of processing gas, the discharge conditions and the like.

【0028】例えば、処理ガスとしてCF系ガスを用
い、円筒に石英を用いて図12に示すレジスト付酸化膜
試料を処理する場合、内筒の温度を制御しない時は、図
13に示すように試料処理枚数が増加するに従い、内筒
はプラズマからの熱を受け徐々に上昇してゆき、飽和温
度となる。この時、酸化膜のエッチング速度の変動は少
ないが、内筒の温度上昇に従いレジストのエッチング速
度は除々に低下し、内筒温度が飽和するとレジストのエ
ッチング速度も安定する。
For example, when a CF type gas is used as the processing gas and quartz is used for the cylinder to process the resist-coated oxide film sample shown in FIG. 12, when the temperature of the inner cylinder is not controlled, as shown in FIG. As the number of processed samples increases, the inner cylinder gradually receives heat from the plasma and gradually rises to reach the saturation temperature. At this time, the fluctuation of the etching rate of the oxide film is small, but the etching rate of the resist gradually decreases as the temperature of the inner cylinder rises, and the etching rate of the resist becomes stable when the temperature of the inner cylinder is saturated.

【0029】一方、内筒の温度をあらかじめ図13の飽
和温度に保持すれば、試料処理枚数の始めから安定した
レジストのエッチング速度を得ることができる。
On the other hand, if the temperature of the inner cylinder is kept at the saturation temperature of FIG. 13 in advance, a stable resist etching rate can be obtained from the beginning of the number of processed samples.

【0030】内筒の温度を図13の飽和温度でなく、初
期温度に保持すれば、試料処理枚数初期のエッチング速
度を得ることができる。
If the temperature of the inner cylinder is maintained at the initial temperature instead of the saturation temperature shown in FIG. 13, the etching rate at the initial stage of the number of processed samples can be obtained.

【0031】なお隙間14としては狭い方がガスによる
熱伝達性が良いが、2mm程度の間隙迄その効果が生じ
る。
The narrower the gap 14, the better the heat transfer by the gas, but the effect can be obtained up to a gap of about 2 mm.

【0032】実施例における内筒6の材料は、磁場を用
いたマイクロ波放電のために非磁性の材料であり、プラ
ズマによって変質せず、かつ、重金属を含まないことが
必要である。この条件を満たすものとして、炭素C、シ
リコン(Si)、石英(SiO2)、アルミナ(Al2O
3)等の材料が挙げられるが、プラズマ処理内容によっ
ては、アルミニウム材料でも良い。
The material of the inner cylinder 6 in the embodiment is a non-magnetic material for microwave discharge using a magnetic field, and it is necessary that it is not altered by plasma and does not contain heavy metals. To meet this condition, carbon C, silicon (Si), quartz (SiO2), alumina (Al2O)
Materials such as 3) may be used, but an aluminum material may be used depending on the content of plasma treatment.

【0033】また、内筒6は、所定値以上の機械的な強
度と耐久性が要求される。つまり、内筒6を構成する実
施例でのSiCの厚さは、プラズマ処理時に作用する外
力に耐えられる機械的な強度を有すると共に、プラズマ
100によって削られながらも、多量のウェハ処理に耐
えうる耐久性を有するものでなければならない。エッチ
ングにより毎分約0.05μm削られるとして、実用
上、数万枚のウェハ処理に耐えうるために、SiCの厚
さは2〜10mmあれば足りる。
Further, the inner cylinder 6 is required to have mechanical strength and durability of a predetermined value or more. That is, the thickness of the SiC in the embodiment forming the inner cylinder 6 has a mechanical strength capable of withstanding an external force acting during plasma processing, and can withstand a large amount of wafer processing while being scraped by the plasma 100. It must be durable. It is sufficient that the thickness of SiC is 2 to 10 mm in order to practically withstand the processing of tens of thousands of wafers, assuming that it is etched by about 0.05 μm per minute by etching.

【0034】図1の一実施例において、石英板3の表面
温度についても、内筒6の温度制御と同様な方法で、1
00℃〜350℃の温度に制御するのが良い。
In the embodiment of FIG. 1, the surface temperature of the quartz plate 3 is set to 1 by the same method as the temperature control of the inner cylinder 6.
It is preferable to control the temperature to 00 ° C to 350 ° C.

【0035】図5は、本発明の他の実施例のマイクロ波
プラズマ処理装置の縦断面図である。処理室4は、例え
ば純度の高いアルミニウムで作られた外筒5と、その内
側に配置されたセラミック製の内筒6によって構成され
ている。処理室4の内面は逆テーパ状となっており、内
筒6は円錐台型となっている。外筒5と内筒6の間には
隙間14がある。隙間14内には、図6に示すように、
アルミニウム製の波板30が配置されており、バネ力に
よって波板30が外筒5と内筒6に接触している。外筒
5の外周には加熱用のヒータ21が配置されている。内
筒6の下端部はバネ31を介して支持部32に保持され
ている。内筒6の上端部にもバネ33があり、これらの
バネ31,33によって、波板30と外筒5及び内筒6
の接触力を高めている。バネ31,33はまた、外筒5
と内筒6間の熱膨張の差を吸収する機能も備えている。
FIG. 5 is a vertical sectional view of a microwave plasma processing apparatus according to another embodiment of the present invention. The processing chamber 4 is composed of an outer cylinder 5 made of, for example, high-purity aluminum and a ceramic inner cylinder 6 arranged inside the outer cylinder 5. The inner surface of the processing chamber 4 has a reverse taper shape, and the inner cylinder 6 has a truncated cone shape. There is a gap 14 between the outer cylinder 5 and the inner cylinder 6. In the gap 14, as shown in FIG.
The corrugated plate 30 made of aluminum is arranged, and the corrugated plate 30 is in contact with the outer cylinder 5 and the inner cylinder 6 by the spring force. A heater 21 for heating is arranged on the outer circumference of the outer cylinder 5. The lower end portion of the inner cylinder 6 is held by the support portion 32 via the spring 31. A spring 33 is also provided at the upper end of the inner cylinder 6, and the corrugated plate 30, the outer cylinder 5, and the inner cylinder 6 are provided by these springs 31, 33.
The contact force of is increased. The springs 31 and 33 are also the outer cylinder 5
It also has a function of absorbing a difference in thermal expansion between the inner cylinder 6 and the inner cylinder 6.

【0036】この実施例でも、SiCで構成される内筒
6の機能は、前に述べた実施例と同じである。この実施
例では、外筒5と内筒6の間の熱の伝達を、波板30に
よる接触熱伝導方式と、隙間14内のガスによるガス伝
導方式の組み合わせとしている点に特徴がある。この実
施例によれば、処理室表面すなわち内筒6の表面温度T
が外筒5の温度T0に対して差の少ない値に維持される
ために、エッチング特性は安定したものとなる。
Also in this embodiment, the function of the inner cylinder 6 made of SiC is the same as that of the above-mentioned embodiment. This embodiment is characterized in that the heat transfer between the outer cylinder 5 and the inner cylinder 6 is a combination of the contact heat conduction method using the corrugated plate 30 and the gas conduction method using the gas in the gap 14. According to this embodiment, the surface temperature T of the surface of the processing chamber, that is, the inner cylinder 6
Is maintained at a value having a small difference with respect to the temperature T0 of the outer cylinder 5, so that the etching characteristic becomes stable.

【0037】上記図1〜5の実施例に於いて、内筒6の
温度は(間接的に検出されれば)直接検出しなくてもよ
い。ただし、内筒6に温度検出器23を付加することに
より、下記に示す効果がある。
In the embodiments shown in FIGS. 1 to 5, the temperature of the inner cylinder 6 need not be directly detected (if indirectly detected). However, by adding the temperature detector 23 to the inner cylinder 6, there are the following effects.

【0038】(1)内筒6の温度Tを更に正確に制御す
るために、隙間14の圧力を可変にする、又は外筒5の
温度を細かく調整することが可能となり内筒の温度制御
性が向上する。
(1) In order to control the temperature T of the inner cylinder 6 more accurately, the pressure in the gap 14 can be made variable or the temperature of the outer cylinder 5 can be finely adjusted. Is improved.

【0039】(2)内筒6の温度を監視し、内筒6の温
度が所定範囲外の時はプラズマ処理をしない等の警報出
力を出したり、プラズマ処理を停止することができる。
(2) The temperature of the inner cylinder 6 can be monitored, and when the temperature of the inner cylinder 6 is out of a predetermined range, an alarm output indicating that plasma processing is not performed or the plasma processing can be stopped.

【0040】また上記実施例1〜5では、外筒の温度制
御機能としてヒータ加熱機を記載したが、外筒に温度コ
ントロールされた循環液を流すことにより室温以下の冷
却から加熱まで、温度制御範囲を広くすることができ、
内筒の温度制御性が一層向上する。
Although the heater heating device is described as the temperature control function of the outer cylinder in the above-described first to fifth embodiments, the temperature control is performed from cooling to room temperature or below to heating by flowing the temperature-controlled circulating liquid in the outer cylinder. The range can be widened,
The temperature controllability of the inner cylinder is further improved.

【0041】図7は、本発明の他の実施例として、平行
平板プラズマエッチング装置への適用例を示す。この装
置は、真空容器としての処理室4は、外室40と、上板
41と、側壁42と、底板43とから成る実質的に閉じ
た金属反応で構成されている。真空容器中に一対の対向
する平行平板電極(外室40の内壁に接地されたアノー
ド、外室40に絶縁体46を介して装着されたカソード
47)を備え、カソード47に高周波エネルギーを供給
する高周波電源48がある。さらに、処理室4を部分的
に真空排気する真空ポンプへの接続部44と、弁制御さ
れる導管45を通して反応ガスを処理室4へ供給する反
応ガス供給源とがある。エッチングするウエーハ11
は、カソード47の上に載置される。
FIG. 7 shows an example of application to a parallel plate plasma etching apparatus as another embodiment of the present invention. In this apparatus, the processing chamber 4 as a vacuum container is composed of a substantially closed metal reaction consisting of an outer chamber 40, a top plate 41, a side wall 42 and a bottom plate 43. A pair of opposed parallel plate electrodes (an anode grounded to the inner wall of the outer chamber 40, a cathode 47 attached to the outer chamber 40 via an insulator 46) are provided in the vacuum container, and high frequency energy is supplied to the cathode 47. There is a high frequency power supply 48. Furthermore, there is a connection 44 to a vacuum pump for partially evacuating the processing chamber 4, and a reactive gas supply source for supplying the reactive gas to the processing chamber 4 through a valve-controlled conduit 45. Wafer to be etched 11
Are placed on the cathode 47.

【0042】SiCで構成される内筒49が外室40の
内側面に、すなわち、上板41、側壁42、及び底板4
3の内面に形成されている。外室40と内筒49の間に
は隙間50が有り、その間にガス供給系を介して温度制
御用の伝熱ガスが導入される。ガス供給系は、図1の実
施例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間5
0の圧力Pを所定値に維持するように動作する。また、
外室40の外周には、処理室4を加熱するためのヒータ
51が配置されており、図1の実施例で述べたと同様
に、温度コントローラによりヒータ51の温度T0が制
御され、内筒49の温度Tをコントローラで所定値に維
持することができる。内筒6に温度検出器23を付加し
てもよい。
An inner cylinder 49 made of SiC is provided on the inner surface of the outer chamber 40, that is, the upper plate 41, the side wall 42, and the bottom plate 4.
3 is formed on the inner surface. There is a gap 50 between the outer chamber 40 and the inner cylinder 49, and a heat transfer gas for temperature control is introduced between the gap 50 and the gas supply system. The gas supply system includes a gas source, a pressure control valve, a pressure detector, a pressure command instructing means, and a control circuit, as described in the embodiment of FIG.
It operates so as to maintain the pressure P of 0 at a predetermined value. Also,
A heater 51 for heating the processing chamber 4 is arranged on the outer periphery of the outer chamber 40, and the temperature T0 of the heater 51 is controlled by the temperature controller as in the embodiment of FIG. The temperature T can be maintained at a predetermined value by the controller. The temperature detector 23 may be added to the inner cylinder 6.

【0043】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒49の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。また、内筒49の温度
が所定値に維持されるため、安定したプラズマ処理が可
能となる。尚、平行平板型エッチング装置では内筒材質
は非磁性材料に限定する必要はない。
With such a configuration, by maintaining the temperature of the inner cylinder 49 at a predetermined value during the plasma etching of the wafer 11, the metal is scraped or the quality of the metal is changed by the plasma by the same operation as that of the above-described embodiment. The effect of not having to do is obtained. In addition, since the inner surface of the inner cylinder 49 is constantly updated with a new surface, there is no risk of contamination due to alteration of the inner surface. Further, since the temperature of the inner cylinder 49 is maintained at a predetermined value, stable plasma processing becomes possible. In the parallel plate type etching apparatus, the material of the inner cylinder does not have to be limited to the non-magnetic material.

【0044】本発明は、プラズマ発生機構が異なる他の
装置への適用が可能であり、その適用例を図8〜図11
に示す。
The present invention can be applied to other devices having different plasma generating mechanisms, and application examples thereof are shown in FIGS.
Shown in.

【0045】図8は本発明を、マグネット80を備えた
マグネトロンRIE装置に適用した例である。真空容器
としての処理室4は側壁42と、ウェハ11を載置する
試料台10とを備えており、試料台10の電極に高周波
エネルギーを供給する高周波電源48がある。さらに、
処理室4を部分的に真空排気する真空ポンプへの接続部
と、弁制御される導管13を通して反応ガスを処理室4
へ供給する反応ガス供給源とがある。
FIG. 8 shows an example in which the present invention is applied to a magnetron RIE device equipped with a magnet 80. The processing chamber 4 as a vacuum container is provided with a side wall 42 and a sample table 10 on which the wafer 11 is placed, and has a high frequency power supply 48 for supplying high frequency energy to the electrodes of the sample table 10. further,
The reaction gas is supplied to the processing chamber 4 through a connection to a vacuum pump for partially evacuating the processing chamber 4 and a valve-controlled conduit 13.
And a reaction gas supply source.

【0046】SiCで構成される内筒49が側壁42の
内側面に形成されている。側壁42と内筒49の間には
隙間が有り、その間にガス供給系15を介して温度制御
用の伝熱ガスが導入される。ガス供給系は、図1の実施
例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間の
圧力Pを所定値に維持するように動作する。また、側壁
42の外周には、処理室4を加熱するためのヒータ51
が配置されており、図1の実施例で述べたと同様に、温
度コントローラ22によりヒータ51を介して側壁42
の温度T0が制御され、内筒49の温度Tを所定値に維
持することができる。
An inner cylinder 49 made of SiC is formed on the inner side surface of the side wall 42. There is a gap between the side wall 42 and the inner cylinder 49, and the heat transfer gas for temperature control is introduced through the gap between the side wall 42 and the inner cylinder 49. The gas supply system includes a gas source, a pressure control valve, a pressure detector, a pressure command instructing means, and a control circuit, as described in the embodiment of FIG. 1, so as to maintain the pressure P in the gap at a predetermined value. To work. A heater 51 for heating the processing chamber 4 is provided on the outer periphery of the side wall 42.
And the side wall 42 through the heater 51 by the temperature controller 22 as described in the embodiment of FIG.
Is controlled to maintain the temperature T of the inner cylinder 49 at a predetermined value.

【0047】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、安定したプラズマ処理が可能となる。さらに、プラ
ズマによって金属が削られたり変質したりすることがな
いという効果が得られる。また、内筒49の内側表面が
絶えず新しい表面に更新されるので、内側表面の変質に
よる汚染の心配はない。
With such a configuration, by maintaining the temperature of the inner cylinder 49 at a predetermined value during the plasma etching of the wafer 11, stable plasma processing can be performed by the same operation as that of the above-described embodiment. . Furthermore, the effect that the metal is not scraped or altered by the plasma is obtained. In addition, since the inner surface of the inner cylinder 49 is constantly updated with a new surface, there is no risk of contamination due to alteration of the inner surface.

【0048】図9は本発明を、外部エネルギー供給放電
方式のうち、誘導結合型放電方式でかつ、無磁場タイプ
の装置に適用した例であり、処理室4はシリコンプレー
ト90と石英チャンバ92とで囲まれている。91は加
熱されたアンテナ部材、95は上部ヒータである。この
実施例においても、ウエーハ11のプラズマエッチング
時に、石英チャンバ92の温度を所定値Tに維持するこ
とにより、前に述べた実施例と同様な作用により、安定
したプラズマ処理が可能となる。さらに、プラズマによ
って石英チャンバ92の内側表面が絶えず新しい表面に
更新されるので、内側表面の変質による汚染の心配はな
い。
FIG. 9 shows an example in which the present invention is applied to an inductively coupled discharge system of the external energy supply discharge system and a magnetic field-free type device. The processing chamber 4 includes a silicon plate 90 and a quartz chamber 92. It is surrounded by. 91 is a heated antenna member, and 95 is an upper heater. Also in this embodiment, by maintaining the temperature of the quartz chamber 92 at a predetermined value T during the plasma etching of the wafer 11, stable plasma processing can be performed by the same operation as that of the above-described embodiment. Further, since the inner surface of the quartz chamber 92 is constantly updated with a new surface by the plasma, there is no fear of contamination due to alteration of the inner surface.

【0049】図10は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。105はベルヂャー、1
10はアンテナである。真空容器としての処理室4は、
内筒112、外筒114、ウェハ11を載置する試料台
10とを備えており、試料台10の電極に高周波エネル
ギーを供給する高周波電源48がある。さらに、処理室
4を部分的に真空排気する真空ポンプへの接続部と、弁
制御される導管を通して反応ガスを処理室4へ供給する
反応ガス供給源とがある。また、外筒114を加熱、冷
却して温度制御を行なうヒータ116及び冷却水通路1
20を備えている。
FIG. 10 shows an example in which the present invention is applied to an inductively coupled discharge system and a magnetic field type device of the external energy supply discharge system. 105 is Belger, 1
10 is an antenna. The processing chamber 4 as a vacuum container,
There is an inner cylinder 112, an outer cylinder 114, and a sample table 10 on which the wafer 11 is placed, and there is a high frequency power supply 48 that supplies high frequency energy to the electrodes of the sample table 10. Further, there is a connection to a vacuum pump for partially evacuating the processing chamber 4 and a reaction gas supply source for supplying the reaction gas to the processing chamber 4 through a valve-controlled conduit. In addition, the heater 116 and the cooling water passage 1 that control the temperature by heating and cooling the outer cylinder 114.
Equipped with 20.

【0050】SiCで構成される内筒112と外筒11
4の間には隙間が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系は、
前の実施例で述べたと同様に、ガス源,圧力制御弁、圧
力検出器、圧力指令指示手段、制御回路を備えており、
隙間の圧力Pを所定値に維持するように動作する。ま
た、温度コントローラによりヒータ116を介して外筒
114の温度T0が制御され、内筒112の温度Tを所
定値に維持することができる。
Inner cylinder 112 and outer cylinder 11 made of SiC
There is a gap between the four, and a heat transfer gas for temperature control is introduced via the gas supply system 15 between them. The gas supply system is
As described in the previous embodiment, a gas source, a pressure control valve, a pressure detector, a pressure command indicating means, and a control circuit are provided,
It operates so as to maintain the pressure P in the gap at a predetermined value. Further, the temperature T0 of the outer cylinder 114 is controlled by the temperature controller via the heater 116, and the temperature T of the inner cylinder 112 can be maintained at a predetermined value.

【0051】このような構成により、内筒112の温度
を所定値に維持することにより、前に述べた実施例と同
様な作用により、安定したプラズマ処理が可能となる。
さらに、プラズマによって金属が削られたり変質したり
することがないという効果が得られる。また、内筒の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。
With such a structure, by maintaining the temperature of the inner cylinder 112 at a predetermined value, stable plasma processing can be performed by the same operation as that of the above-described embodiment.
Furthermore, the effect that the metal is not scraped or altered by the plasma is obtained. Further, since the inner surface of the inner cylinder is constantly updated with a new surface, there is no risk of contamination due to alteration of the inner surface.

【0052】図11は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。120は電極、48は高
周波電源である。真空容器としての処理室4は、セラミ
ックプレート124、内筒122、ウェハ11を載置す
る試料台10を備えている。さらに、セラミックプレー
ト124を加熱、冷却して温度制御を行なうヒータ16
6及び隙間ヘガスヲ供給するガス通路130を備えてい
る。ガス供給系は、前の実施例で述べたと同様に、ガス
源,圧力制御弁、圧力検出器、圧力指令指示手段、制御
回路を備えており、隙間の圧力Pを所定値に維持するよ
うに動作する。また、温度コントローラによりヒータ1
26を介してセラミックプレート124の温度T0が制
御され、内筒122の温度Tを所定値に維持することが
できる。
FIG. 11 shows an example in which the present invention is applied to an inductively coupled discharge system and a magnetic field type device of the external energy supply discharge system. Reference numeral 120 is an electrode, and 48 is a high frequency power source. The processing chamber 4 as a vacuum container includes a ceramic plate 124, an inner cylinder 122, and a sample table 10 on which the wafer 11 is placed. Further, the heater 16 that controls the temperature by heating and cooling the ceramic plate 124
6 and a gas passage 130 for supplying gas to the gap. The gas supply system is provided with a gas source, a pressure control valve, a pressure detector, a pressure command instructing means, and a control circuit, as described in the previous embodiment, so as to maintain the pressure P in the gap at a predetermined value. Operate. In addition, the heater 1
The temperature T0 of the ceramic plate 124 is controlled via 26, and the temperature T of the inner cylinder 122 can be maintained at a predetermined value.

【0053】このような構成で内筒122の温度を所定
値に維持することにより、前に述べた実施例と同様な作
用により、安定したプラズマ処理が可能となる。さら
に、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒の内側表
面が絶えず新しい表面に更新されるので、内側表面の変
質による汚染の心配はない。
By maintaining the temperature of the inner cylinder 122 at a predetermined value with such a structure, stable plasma processing becomes possible by the same operation as that of the above-described embodiment. Furthermore, the effect that the metal is not scraped or altered by the plasma is obtained. Further, since the inner surface of the inner cylinder is constantly updated with a new surface, there is no risk of contamination due to alteration of the inner surface.

【0054】以上、図8〜図11で述べたいずれの実施
例も、磁場や電界への影響を少なくするために、内筒の
材質は非磁性非金属材料とするのが好ましい。
As described above, in any of the embodiments described with reference to FIGS. 8 to 11, it is preferable that the material of the inner cylinder is a non-magnetic non-metal material in order to reduce the influence on the magnetic field and the electric field.

【0055】本発明は以上述べたプラズマエッチング処
理に限らずCVD装置やスパッタ装置にも適用できる。
The present invention can be applied not only to the plasma etching process described above, but also to a CVD device or a sputtering device.

【0056】また、内筒の温度を所定値に維持すること
でプロセスを安定化させる場合に限らず、例えば、ロッ
トの初期に故意に内筒温度を変化させて、ロット初期の
プロセスの変化を補正する場合にも同様に適用できる。
すなわち、内筒の温度制御性を改善することにより、プ
ロセスの安定化が可能になる。
Further, the process is not limited to stabilizing the process by maintaining the temperature of the inner cylinder at a predetermined value. The same can be applied to correction.
That is, the process can be stabilized by improving the temperature controllability of the inner cylinder.

【0057】尚、図1〜図11で述べた装置は、次のよ
うにして使用される。例えば、装置起動開始前に、内筒
の温度を所望温度に制御し得るか否かがチェックされ
る。
The apparatus described with reference to FIGS. 1 to 11 is used as follows. For example, before starting the apparatus, it is checked whether the temperature of the inner cylinder can be controlled to a desired temperature.

【0058】まず、処理室4内は、真空ポンプの作動に
より所定の圧力に減圧排気される。その後、ヒータが作
動させられる。このヒータの発熱により内筒が加熱され
る。また、これと前後して隙間には、伝熱ガスが供給さ
れ、隙間のガス圧力は、所定の圧力に調節される。つま
り、内筒の加熱は、隙間に供給された伝熱ガスの熱伝導
を利用して実施される。加熱される内筒の温度は、直接
又は間接に検出され所望温度に制御される。これによ
り、内筒の温度を所望温度に制御し得ることが確認され
る。尚、内筒の温度を所望温度に制御し得ない場合は、
ヒータの作動及び隙間への伝熱ガスの供給が停止され、
不都合部分のチェック、復旧がなされる。
First, the inside of the processing chamber 4 is evacuated to a predetermined pressure by the operation of a vacuum pump. Then the heater is activated. The inner cylinder is heated by the heat generated by the heater. Around this, heat transfer gas is supplied to the gap, and the gas pressure in the gap is adjusted to a predetermined pressure. That is, the heating of the inner cylinder is performed by utilizing the heat conduction of the heat transfer gas supplied to the gap. The temperature of the heated inner cylinder is directly or indirectly detected and controlled to a desired temperature. This confirms that the temperature of the inner cylinder can be controlled to a desired temperature. If the temperature of the inner cylinder cannot be controlled to the desired temperature,
The operation of the heater and the supply of heat transfer gas to the gap are stopped,
The inconvenient part is checked and restored.

【0059】一方、図示を省略した搬送装置により、処
理室内にはウェハが、この場合、1個搬入される。該搬
入されたウェハは、搬送装置から試料台に受け渡され、
その被処理面と反対面を試料台の試料載置面に対応して
該載置面に載置される。
On the other hand, in this case, one wafer is loaded into the processing chamber by the transfer device (not shown). The loaded wafer is transferred from the transfer device to the sample table,
The surface opposite to the surface to be processed is placed on the mounting surface corresponding to the sample mounting surface of the sample table.

【0060】図1〜図11で述べた装置では、試料台に
は冷却機能を有する温度制御手段が付設され、また、C
VD装置、スパッタ装置等の処理時にウェハを加熱する
必要が有る装置では、加熱機能を有する温度制御手段が
付設される。また、試料台の試料載置面に載置されたウ
ェハは、バネ力や荷重を利用した機械的クランプ手段や
静電吸着手段や真空吸着手段等により試料台に保持され
る。
In the apparatus described with reference to FIGS. 1 to 11, the sample table is provided with temperature control means having a cooling function, and C
In a device such as a VD device or a sputtering device that needs to heat a wafer during processing, a temperature control unit having a heating function is additionally provided. Further, the wafer mounted on the sample mounting surface of the sample table is held on the sample table by a mechanical clamping means using a spring force or a load, an electrostatic attraction means, a vacuum attraction means, or the like.

【0061】その後、処理室内には、処理ガスが所定流
量で供給される。処理室内に供給された処理ガスの一部
は、作動している真空ポンプにより処理室外へ排気さ
れ、これにより処理室内の圧力は、ウェハの処理圧力に
調節される。
Thereafter, the processing gas is supplied into the processing chamber at a predetermined flow rate. Part of the processing gas supplied into the processing chamber is exhausted to the outside of the processing chamber by the operating vacuum pump, whereby the pressure inside the processing chamber is adjusted to the processing pressure of the wafer.

【0062】このような状態で、処理室内の処理ガスは
放電によりプラズマ化される。試料台の試料載置面に載
置されたウェハの被処理面は、該プラズマにより処理さ
れる。該処理時にウェハの温度は、所定温度に制御され
る。
In this state, the processing gas in the processing chamber is turned into plasma by discharge. The surface to be processed of the wafer mounted on the sample mounting surface of the sample table is processed by the plasma. During the processing, the temperature of the wafer is controlled to a predetermined temperature.

【0063】ウェハの処理時に、内筒の温度は連続、ま
たは、随時モニターされる。該モニター温度は、予め設
定された所望温度と比較され、該比較結果に基づき内筒
の温度は所望温度に制御される。内筒の温度制御は、外
筒と内筒との隙間の伝熱ガスの圧力を調節するか、ヒー
タ発熱を調節して外筒の温度を調節することで実施され
る。尚、外筒と内筒との隙間の伝熱ガスの圧力調節は、
該隙間に供給される伝熱ガスの供給量または圧力を調節
することで実施される。
During the processing of the wafer, the temperature of the inner cylinder is continuously or occasionally monitored. The monitor temperature is compared with a preset desired temperature, and the temperature of the inner cylinder is controlled to the desired temperature based on the comparison result. The temperature of the inner cylinder is controlled by adjusting the pressure of the heat transfer gas in the gap between the outer cylinder and the inner cylinder, or by adjusting the heat generated by the heater to adjust the temperature of the outer cylinder. In addition, the pressure adjustment of the heat transfer gas in the gap between the outer cylinder and the inner cylinder is
It is carried out by adjusting the supply amount or pressure of the heat transfer gas supplied to the gap.

【0064】一般に、複数個のウェハが1個毎連続して
処理される。この場合、内筒の温度は、複数個のウェハ
の処理が完了するまでウェハ1個の処理時にモーターさ
れ、そして、所望温度に制御される。例えば、内筒の温
度モニターに不都合が生じた場合や内筒の温度を所望温
度に制御し得なくなった場合、ウェハの処理特性を安定
に維持出来なくなったと判断され、ウェハの処理は中断
される。そして、該中断時に問題の解決対策が実施され
る。その後、引続き複数個のウェハの処理が再開され
る。
Generally, a plurality of wafers are successively processed one by one. In this case, the temperature of the inner cylinder is controlled by a motor during the processing of one wafer until the processing of a plurality of wafers is completed, and then controlled to a desired temperature. For example, if there is a problem in the temperature monitoring of the inner cylinder or if the temperature of the inner cylinder cannot be controlled to a desired temperature, it is determined that the wafer processing characteristics cannot be stably maintained, and the wafer processing is interrupted. . Then, at the time of the interruption, countermeasures for solving the problem are implemented. Then, the processing of a plurality of wafers is resumed.

【0065】内筒の温度モニターの不都合や内筒の温度
を所望温度に制御し得なくなるといったことは、制御装
置を介し何等かの警報を発することでオペレータに伝え
られる。これによりオペレータは、復旧対策を講じウェ
ハの処理を再開させる。尚、内筒の温度制御に係る要因
をモニターしておくことで、ウェハ処理の中断に至まで
の来歴をチェックすることができ、その原因の究明、復
旧対策を的確・早期に実施することができる。
The inconvenience of the temperature monitoring of the inner cylinder and the inability to control the temperature of the inner cylinder to the desired temperature are notified to the operator by issuing some alarm through the control device. As a result, the operator takes recovery measures and restarts the wafer processing. By monitoring the factors related to the temperature control of the inner cylinder, it is possible to check the history leading up to the interruption of the wafer processing, and it is possible to investigate the cause and implement the recovery measures accurately and early. it can.

【0066】また、処理室内は、クリーニング処理され
る。該処理は、内筒表面等の処理室内面や試料台等の処
理室内に配置されている内部品面を拭き取るか、クリー
ニング用ガスのプラズマを利用して実施される。また、
該処理は、ウェハの処理前や、複数のウェハの処理途中
や、ウェハの処理完了後に実施される。
The inside of the processing chamber is cleaned. The processing is carried out by wiping off the inner surface of the processing chamber such as the surface of the inner cylinder or the surface of the inner component arranged in the processing chamber such as the sample table, or by using the plasma of the cleaning gas. Also,
The processing is performed before the processing of the wafers, during the processing of the plurality of wafers, or after the completion of the processing of the wafers.

【0067】拭き取ってクリーニング処理する場合、該
処理終了後であってウェハの処理開始前に内筒の温度を
所望温度に制御し得るか否かがチェックされる。また、
プラズマを利用してクリーニング処理する場合、該処理
中または該処理終了後であってウェハの処理開始前に内
筒の温度を所望温度に制御し得るか否かがチェックされ
る。
When the wiping and cleaning process is performed, it is checked whether or not the temperature of the inner cylinder can be controlled to a desired temperature after the completion of the process and before the start of the processing of the wafer. Also,
When the cleaning process is performed using plasma, it is checked whether the temperature of the inner cylinder can be controlled to a desired temperature during the process or after the process is completed and before the process of the wafer is started.

【0068】更に、処理室内では、慣らし放電(シーズ
ニング)処理が実施される。該処理は、その日のウェハ
処理開始前や、クリーニング処理終了後であってウェハ
の処理開始前に実施される。この場合、慣らし放電処理
中に内筒の温度を所望温度に制御し得るか否かをチェッ
クするようにしても良い。
Further, a break-in discharge (seasoning) treatment is carried out in the treatment chamber. The processing is performed before the wafer processing starts on that day or after the cleaning processing ends and before the wafer processing starts. In this case, it may be possible to check whether the temperature of the inner cylinder can be controlled to a desired temperature during the break-in discharge process.

【0069】プラズマ処理特性を経時的に安定させるた
めには、ウェハの処理条件に応じた温度に内筒の温度を
制御する必要が有る。ここで、ウェハの処理条件とし
て、被処理膜質、処理ガス種、放電条件、放電タイプ等
が挙げられる。
In order to stabilize the plasma processing characteristics with time, it is necessary to control the temperature of the inner cylinder to a temperature according to the processing conditions of the wafer. Here, as the processing conditions of the wafer, there are a film quality to be processed, a processing gas type, a discharge condition, a discharge type and the like.

【0070】そこで、ウェハの処理条件が上位制御装置
またはオペレータにより処理装置の制御装置に入力され
る。該制御装置には、ウェハ処理条件に応じた内筒の温
度が予め入力されている。制御装置では、入力されたウ
ェハ処理条件に応じた内筒の温度が制御温度として選択
・設定される。一方、検出・モニターされた内筒の温度
は、制御装置に入力される。該検出・モニター温度は、
制御温度と比較演算され、該結果に基づき内筒の温度は
制御温度に制御される。
Therefore, the wafer processing conditions are input to the controller of the processing apparatus by the host controller or the operator. The temperature of the inner cylinder corresponding to the wafer processing conditions is previously input to the control device. In the control device, the temperature of the inner cylinder according to the input wafer processing condition is selected and set as the control temperature. On the other hand, the detected and monitored temperature of the inner cylinder is input to the control device. The detection / monitor temperature is
The temperature of the inner cylinder is controlled to the control temperature based on the result of comparison calculation with the control temperature.

【0071】更に、例えば、ウェハが多層膜構造である
場合、それぞれの膜の膜質、処理ガス種、放電条件等に
応じた温度に内筒の温度を制御するようにすれば、プラ
ズマ処理特性を木目細かく経時的に安定させることがで
きる。
Further, for example, when the wafer has a multi-layered film structure, if the temperature of the inner cylinder is controlled to a temperature according to the film quality of each film, the type of processing gas, the discharge conditions, etc., the plasma processing characteristics can be improved. It can be finely grained and stabilized over time.

【0072】慣らし放電(シーズニング)処理後の1ロ
ッド処理中にウェハの処理性能が変化する場合には、処
理性能を一定化するために、内筒の温度を所望の温度パ
ターンに沿って変化させると良い。
When the processing performance of the wafer changes during one rod processing after the break-in discharge (seasoning) processing, the temperature of the inner cylinder is changed along a desired temperature pattern in order to keep the processing performance constant. And good.

【0073】これまでは、チャンバの内筒の温調を対象
に述べて来たが、試料台の周辺に設置される試料台カバ
ーの温調に対しても同様に適用できる。
Up to now, the temperature control of the inner cylinder of the chamber has been described, but the same can be applied to the temperature control of the sample table cover installed around the sample table.

【0074】図14に本発明を適用した試料台部分の実
施例の断面図を示す。試料台10中は温調用液体が循環
し、所望の温度に保持されている試料台の表面には絶縁
物が塗布されており、処理室に放電が生じている状態で
静電チャック用直流電源54により、試料11を静電力
により試料台10に引きつける。試料11と試料台10
間には熱伝導を良くするために伝熱用ガス(例えばHe
ガス等)を導入する。試料台10の上部周囲には試料台
カバー(アルミナ等の絶縁物やSiC等の抵抗体)が設
置され、金属製の試料台10をプラズマにさらした時問
題となる金属類の放出を阻止している。ところで試料台
カバー表面50には、プラズマ中のイオン・ラジカル等
が衝突するため温度が上昇する。試料周辺の試料台カバ
ー50の温度が変動すると、試料周辺における科学・物
理反応が変化し、試料の処理特性を変化させる欠点があ
った。そこで試料台10と試料台カバー51との間にガ
ス封止手段51(例えば0リング等)を設け、その間に
伝熱ガスを導入する。圧力制御等については内筒の場合
と同様である。図14では、試料冷却用伝熱ガスと試料
台カバー冷却用伝熱ガスとを併用しているが、別々に供
給しても良いことはもちろんである。
FIG. 14 shows a sectional view of an embodiment of the sample stage part to which the present invention is applied. A temperature control liquid circulates in the sample table 10, an insulator is applied to the surface of the sample table held at a desired temperature, and a DC power source for an electrostatic chuck in a state where discharge is generated in the processing chamber. By 54, the sample 11 is attracted to the sample table 10 by electrostatic force. Sample 11 and sample stand 10
A heat transfer gas (for example, He
Gas, etc.) is introduced. A sample table cover (an insulator such as alumina or a resistor such as SiC) is installed around the upper part of the sample table 10 to prevent the release of metals that are a problem when the metal sample table 10 is exposed to plasma. ing. By the way, the temperature of the sample table cover surface 50 rises because ions, radicals, etc. in the plasma collide with it. If the temperature of the sample table cover 50 around the sample fluctuates, the scientific / physical reaction around the sample changes, and there is a drawback that the processing characteristics of the sample change. Therefore, the gas sealing means 51 (for example, 0 ring) is provided between the sample table 10 and the sample table cover 51, and the heat transfer gas is introduced between them. The pressure control and the like are the same as in the case of the inner cylinder. In FIG. 14, the heat transfer gas for cooling the sample and the heat transfer gas for cooling the sample stand cover are used together, but it goes without saying that they may be supplied separately.

【0075】[0075]

【発明の効果】本発明によれば、プラズマに直接接触す
る内筒の温度を制御することが可能となり、プラズマ処
理の経時的な特性変化の制御が可能となる。
According to the present invention, it is possible to control the temperature of the inner cylinder which is in direct contact with plasma, and it is possible to control the characteristic change of the plasma processing with time.

【0076】また、処理室を構成する非磁性でかつ導電
性の金属材料がプラズマにより削られたり変質したりし
て重金属汚染源となることを防止すると共に、処理室の
壁面が処理室内で使用される反応ガスにより化学的腐食
するおそれの無い状態で、安定したプラズマ処理特性を
有するプラズマ処理装置を提供することができる。
Further, the non-magnetic and conductive metal material forming the processing chamber is prevented from being scraped or altered by the plasma to become a source of heavy metal contamination, and the wall surface of the processing chamber is used in the processing chamber. It is possible to provide a plasma processing apparatus having stable plasma processing characteristics in a state where there is no risk of chemical corrosion due to the reaction gas.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例になるマイクロ波プラズマ処
理装置の一部を縦断面した正面図である。
FIG. 1 is a vertical cross-sectional front view of a part of a microwave plasma processing apparatus according to an embodiment of the present invention.

【図2】図1の内筒の温度制御部を示す要部拡大図であ
る。
FIG. 2 is an enlarged view of an essential part showing a temperature control unit of the inner cylinder of FIG.

【図3】図1の温度コントローラの機能を示す図であ
る。
3 is a diagram showing functions of the temperature controller of FIG. 1. FIG.

【図4】温度制御における隙間の圧力Pと温度差の関係
を示す図である。
FIG. 4 is a diagram showing a relationship between a pressure P in a gap and a temperature difference in temperature control.

【図5】本発明の第2の実施例のマイクロ波プラズマ処
理装置の縦断面図である。
FIG. 5 is a vertical sectional view of a microwave plasma processing apparatus according to a second embodiment of the present invention.

【図6】図5のプラズマ処理装置の要部横断面図であ
る。
6 is a cross-sectional view of the main parts of the plasma processing apparatus of FIG.

【図7】本発明の第3の実施例になる、平行板プラズマ
エッチング装置の縦断面図である。
FIG. 7 is a vertical sectional view of a parallel plate plasma etching apparatus according to a third embodiment of the present invention.

【図8】本発明を、マグネトロンRIE装置に適用した
例の縦断面図である。
FIG. 8 is a vertical cross-sectional view of an example in which the present invention is applied to a magnetron RIE device.

【図9】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、無磁場タイプの装置に
適用した例の縦断面図である。
FIG. 9 is a vertical cross-sectional view of an example in which the present invention is applied to an inductively coupled discharge method and a magnetic field-free type apparatus of an external energy supply discharge method.

【図10】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
FIG. 10 is a vertical cross-sectional view of an example in which the present invention is applied to an inductively coupled discharge system of the external energy supply discharge system and a magnetic field type device.

【図11】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
FIG. 11 is a vertical cross-sectional view of an example in which the present invention is applied to an inductively coupled discharge system of the external energy supply discharge system and a magnetic field type device.

【図12】本発明の装置で処理される試料の一例として
の、レジスト付酸化膜試料の縦断面図である。
FIG. 12 is a vertical cross-sectional view of an oxide film sample with resist as an example of a sample processed by the apparatus of the present invention.

【図13】ウェハ処理枚数と内筒の温度との関係を示す
図である。
FIG. 13 is a diagram showing the relationship between the number of processed wafers and the temperature of the inner cylinder.

【図14】本発明を適用する処理装置の試料台カバー部
の実施例の断面図である。
FIG. 14 is a cross-sectional view of an embodiment of a sample table cover section of a processing apparatus to which the present invention is applied.

【符号の説明】[Explanation of symbols]

1…マグネトロン、2…導波管、3…石英板、4…処理
室、5…外筒、6…内筒、7…第一のソレノイドコイ
ル、8…第二のソレノイドコイル、9…真空室、10…
試料台、11…ウェハ、13…処理ガス供給系、14…
隙間、15…ガス供給系、17…圧力制御弁、18…圧
力検出器、20…制御回路、21…ヒータ、22…温度
コントローラ22
1 ... Magnetron, 2 ... Waveguide, 3 ... Quartz plate, 4 ... Processing chamber, 5 ... Outer cylinder, 6 ... Inner cylinder, 7 ... First solenoid coil, 8 ... Second solenoid coil, 9 ... Vacuum chamber 10, ...
Sample stage, 11 ... Wafer, 13 ... Processing gas supply system, 14 ...
Gap, 15 ... Gas supply system, 17 ... Pressure control valve, 18 ... Pressure detector, 20 ... Control circuit, 21 ... Heater, 22 ... Temperature controller 22

───────────────────────────────────────────────────── フロントページの続き (72)発明者 濱崎 良二 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (72)発明者 伊東 哲 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (56)参考文献 特開 平6−188220(JP,A) 特開 平4−214873(JP,A) 特開 平1−220447(JP,A) 特開 平6−224153(JP,A) 特開 平4−87329(JP,A) 特開 平7−22404(JP,A) 特開 平4−184924(JP,A) 特開 平5−211125(JP,A) 特開 昭62−95828(JP,A) 特開 昭58−153332(JP,A) 特開 昭63−5526(JP,A) 特開 昭57−23228(JP,A) 実開 昭63−75034(JP,U) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00 H05H 1/46 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Ryoji Hamasaki 794, Higashi-Toyoi, Daimo, Shimomatsu, Yamaguchi Prefecture Inside the Kasado Plant, Hitachi, Ltd. Mfg. Co., Ltd. in Kasado Plant (56) Reference JP-A-6-188220 (JP, A) JP-A-4-214873 (JP, A) JP-A1-220447 (JP, A) JP-A-6-224153 ( JP, A) JP 4-87329 (JP, A) JP 7-22404 (JP, A) JP 4-184924 (JP, A) JP 5-211125 (JP, A) JP JP-A-62-95828 (JP, A) JP-A-58-153332 (JP, A) JP-A-63-5526 (JP, A) JP-A-57-23228 (JP, A) Actual development JP-A-63-75034 (JP , U) (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21/3065 C23F 4 / 00 H05H 1/46

Claims (14)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、制御装置と真空排気装置とを備
え、かつ前記処理室は減圧に耐える外筒と該外筒の内側
に配置された内筒とを備えたプラズマ処理装置におい
て、 前記内筒は非磁性材料からなり、交換可能に構成されて
おり、 前記内筒の温度をモニターする手段と、前記外筒の温度
を制御する外筒温度制御手段を備え、 前記制御装置により、前記試料の処理条件に応じてあら
かじめ入力された所望内筒温度と前記内筒のモニター温
度とを比較演算して前記外筒温度制御手段を制御し前記
内筒温度を所定値に制御するように構成したことを特徴
とするプラズマ処理装置。
1. A plasma generator, a processing chamber capable of reducing pressure, a processing gas supply device for supplying a gas to the processing chamber, a sample stage for holding a sample, a control device, and a vacuum exhaust device, and In the plasma processing apparatus, the processing chamber includes an outer cylinder that withstands reduced pressure and an inner cylinder arranged inside the outer cylinder, wherein the inner cylinder is made of a non-magnetic material and is configured to be replaceable. And a means for monitoring the temperature of the outer cylinder, and a desired inner cylinder temperature and a desired inner cylinder temperature pre-input according to the processing conditions of the sample by the control device. A plasma processing apparatus characterized by being configured to perform a comparison calculation with a monitor temperature to control the outer cylinder temperature control means to control the inner cylinder temperature to a predetermined value.
【請求項2】 プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、制御装置と真空排気装置とを備
え、かつ前記処理室は減圧に耐える外筒と該外筒の内側
に配置された内筒とを備えたプラズマ処理装置におい
て、 前記内筒は非磁性材料からなり、交換可能に構成されて
おり、 前記内筒の温度をモニターする手段と、前記外筒の温度
を制御する外筒温度制御手段を備え、 前記制御装置により、多層膜構造の試料の処理にあたっ
て、あらかじめ入力された前記多層膜構造のそれぞれの
膜の膜質、処理ガス種、放電条件に応じた所望内筒温度
と前記内筒のモニター温度とを比較演算して前記外筒温
度制御手段を制御し前記内筒温度を所定値に制御するよ
うに構成したことを特徴とするプラズマ処理装置。
2. A plasma generator, a processing chamber capable of reducing the pressure, a processing gas supply device for supplying gas to the processing chamber, a sample stage for holding a sample, a control device and a vacuum exhaust device, and In the plasma processing apparatus, the processing chamber includes an outer cylinder that withstands reduced pressure and an inner cylinder arranged inside the outer cylinder, wherein the inner cylinder is made of a non-magnetic material and is configured to be replaceable. The temperature of the outer cylinder and the outer cylinder temperature control means for controlling the temperature of the outer cylinder, and when processing the sample of the multilayer film structure by the controller, each film of the multilayer film structure input in advance The desired inner cylinder temperature according to the film quality, the type of processing gas, and the discharge condition is compared with the monitor temperature of the inner cylinder to control the outer cylinder temperature control means to control the inner cylinder temperature to a predetermined value. Characterized by being configured Plasma processing apparatus.
【請求項3】 プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、制御装置と真空排気装置とを備
え、かつ前記処理室は減圧に耐える外筒と該外筒の内側
に配置された内筒とを備えたプラズマ処理装置におい
て、 前記内筒は非磁性材料からなり、交換可能に構成されて
おり、 前記内筒の温度をモニターする手段と、前記外筒の温度
を制御する外筒温度制御手段を備え、 前記制御装置により、ロットの処理条件に応じてあらか
じめ入力された所望内筒温度と前記内筒のモニター温度
とを比較演算して前記外筒温度制御手段を制御すること
により、ロット初期に内筒温度を変化させてロット初期
のプロセスの変化を補正する制御を行うように構成した
ことを特徴とするプラズマ処理装置。
3. A plasma generator, a processing chamber capable of reducing the pressure, a processing gas supply device for supplying gas to the processing chamber, a sample stage for holding a sample, a control device and a vacuum exhaust device, and In the plasma processing apparatus, the processing chamber includes an outer cylinder that withstands reduced pressure and an inner cylinder arranged inside the outer cylinder, wherein the inner cylinder is made of a non-magnetic material and is configured to be replaceable. The temperature of the inner cylinder and the outer cylinder temperature control means for controlling the temperature of the outer cylinder, and the desired inner cylinder temperature and the inner cylinder monitored by the controller, which are pre-input according to the processing conditions of the lot. By controlling the outer cylinder temperature control means by comparing the temperature with the temperature, the inner cylinder temperature is changed at the initial stage of the lot to perform the control for correcting the process change at the initial stage of the lot. Plasma treatment Apparatus.
【請求項4】 プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、制御装置と真空排気装置とを備
え、かつ前記処理室は減圧に耐える外筒と該外筒の内側
に配置された内筒とを備えたプラズマ処理装置におい
て、 前記内筒は非磁性材料からなり、交換可能に構成されて
おり、 前記内筒の温度をモニターする手段と、前記外筒の温度
を制御する外筒温度制御手段を備え、 前記制御装置により、ロットの処理条件に応じてあらか
じめ入力された所望内筒温度パターンと前記内筒のモニ
ター温度とを比較演算して前記外筒温度制御手段を制御
することにより、1ロット処理中の内筒温度を制御して
該1ロット処理中の試料の処理性能を一定化する制御を
行うように構成したことを特徴とするプラズマ処理装
置。
4. A plasma generator, a processing chamber capable of depressurizing, a processing gas supply device for supplying gas to the processing chamber, a sample stage for holding a sample, a control device and a vacuum exhaust device, and In the plasma processing apparatus, the processing chamber includes an outer cylinder that withstands reduced pressure and an inner cylinder arranged inside the outer cylinder, wherein the inner cylinder is made of a non-magnetic material and is configured to be replaceable. Means for monitoring the temperature of the inner cylinder, and an outer cylinder temperature control means for controlling the temperature of the outer cylinder, and the desired inner cylinder temperature pattern and the desired inner cylinder temperature pattern pre-input according to the processing conditions of the lot by the control device. By performing a comparison calculation with the monitor temperature and controlling the outer cylinder temperature control means, the inner cylinder temperature during one lot processing is controlled so that the processing performance of the sample during one lot processing is made constant. Specially configured To plasma processing apparatus.
【請求項5】 請求項1乃至請求項4のいずれかに記載の
プラズマ処理装置において、前記外筒と前記内筒との隙
間に伝熱ガスを供給するように構成したことを特徴とす
るプラズマ処理装置。
5. The plasma processing apparatus according to any one of claims 1 to 4, wherein heat transfer gas is supplied to a gap between the outer cylinder and the inner cylinder. Processing equipment.
【請求項6】 請求項5に記載のプラズマ処理装置におい
て、 前記モニターする手段は、内筒の温度を連続、又は随時
にモニターすることを特徴とするプラズマ処理装置。
6. The plasma processing apparatus according to claim 5, wherein the means for monitoring continuously or at any time monitors the temperature of the inner cylinder.
【請求項7】 請求項5に記載のプラズマ処理装置におい
て、 前記モニターする手段は、複数個の試料が1個毎連続し
て処理されるときに、内筒の温度を複数個の試料の処理
が完了するまで1個毎に連続してモニターすることを特
徴とするプラズマ処理装置。
7. The plasma processing apparatus according to claim 5, wherein the means for monitoring, when the plurality of samples are processed sequentially for each one, the processing temperature of the inner cylinder of the plurality of sample A plasma processing apparatus characterized by continuously monitoring every one until completion.
【請求項8】 請求項5に記載のプラズマ処理装置におい
て、 前記モニターする手段は、試料のプラズマ処理の中断に
至るまでの来歴をチェックし、試料処理を再開させるこ
とを特徴とするプラズマ処理装置。
8. The plasma processing apparatus according to claim 5, wherein the monitoring unit checks the history of the sample until the plasma processing is interrupted and restarts the sample processing. .
【請求項9】 請求項5に記載のプラズマ処理装置におい
て、 前記モニターする手段は、処理室内で慣らし放電(シー
ズニング)処理をするときに、慣らし放電処理中に内筒
の温度をモニターすることを特徴とするプラズマ処理装
置。
9. The plasma processing apparatus according to claim 5, wherein the means for monitoring monitors the temperature of the inner cylinder during the break-in discharge process when performing the break-in discharge (seasoning) process in the processing chamber. Characteristic plasma processing device.
【請求項10】 請求項5に記載のプラズマ処理装置にお
いて、 前記モニターする手段は、試料のプラズマ処理開始前ま
たはクリーニング処理終了後に、内筒の温度をモニター
することを特徴とするプラズマ処理装置。
10. The plasma processing apparatus according to claim 5, wherein the means for monitoring monitors the temperature of the inner cylinder before starting the plasma processing of the sample or after finishing the cleaning processing.
【請求項11】 請求項5に記載のプラズマ処理装置にお
いて、 前記モニターする手段に依存して、試料のプラズマ処理
を中断させる手段を備えたことを特徴とするプラズマ処
理装置。
11. The plasma processing apparatus according to claim 5, further comprising means for interrupting the plasma processing of the sample depending on the monitoring means.
【請求項12】 請求項5に記載のプラズマ処理装置にお
いて、 前記モニター温度によって警報を発する手段を備えたこ
とを特徴としたプラズマ処理装置。
12. The plasma processing apparatus according to claim 5, further comprising means for issuing an alarm according to the monitor temperature.
【請求項13】 プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、制御装置と真空排気装置とを備
え、かつ前記処理室は減圧に耐える外筒と該外筒の内側
に配置された内筒とを備えたプラズマ処理装置におい
て、 前記内筒は非磁性材料からなり、交換可能に構成されて
おり、 前記内筒の温度をモニターする手段と、前記外筒の温度
を制御する外筒温度制御手段と、 試料のプラズマ処理前、複数の試料のプラズマ処理途
中、または試料のプラズマ処理後にクリーニング用ガス
のプラズマを利用してクリーニング処理を行う手段とを
備え、 クリーニング処理後であって試料のプラズマ処理開始前
に、内筒の温度をモニターして前記外筒温度制御手段を
制御することにより前記内筒の温度を所定値に制御する
よう構成されていることを特徴とするプラズマ処理装
置。
13. A plasma generator, a processing chamber capable of decompressing, a processing gas supply device for supplying a gas to the processing chamber, a sample stage for holding a sample, a controller and a vacuum exhaust device, and In the plasma processing apparatus, the processing chamber includes an outer cylinder that withstands reduced pressure and an inner cylinder arranged inside the outer cylinder, wherein the inner cylinder is made of a non-magnetic material and is configured to be replaceable. Means for monitoring the temperature of the outer cylinder, outer cylinder temperature control means for controlling the temperature of the outer cylinder, and plasma of cleaning gas before plasma treatment of samples, during plasma treatment of a plurality of samples, or after plasma treatment of samples And a means for performing a cleaning process, and the temperature of the inner cylinder is monitored after the cleaning process and before the plasma treatment of the sample is started to control the outer cylinder temperature control means. A plasma processing apparatus, which is configured to control the temperature of the cylinder to a predetermined value.
【請求項14】 請求項13に記載のプラズマ処理装置に
おいて、前記外筒と前記内筒との隙間に伝熱ガスを供給
するように構成したことを特徴とするプラズマ処理装
置。
14. The plasma processing apparatus according to claim 13, wherein the heat transfer gas is supplied to a gap between the outer cylinder and the inner cylinder.
JP25824499A 1999-09-13 1999-09-13 Plasma processing equipment Expired - Lifetime JP3534660B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25824499A JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25824499A JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP05747295A Division JP3257328B2 (en) 1995-03-16 1995-03-16 Plasma processing apparatus and plasma processing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004021217A Division JP4105641B2 (en) 2004-01-29 2004-01-29 Plasma processing apparatus and sample processing method

Publications (2)

Publication Number Publication Date
JP2000138208A JP2000138208A (en) 2000-05-16
JP3534660B2 true JP3534660B2 (en) 2004-06-07

Family

ID=17317540

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25824499A Expired - Lifetime JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP3534660B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7437965B2 (en) * 2020-02-21 2024-02-26 東京エレクトロン株式会社 Plasma processing equipment and member temperature determination method
CN114615786A (en) * 2022-01-28 2022-06-10 北京控制工程研究所 Magnetic response magnetic plasma power thruster cathode and preparation method thereof

Also Published As

Publication number Publication date
JP2000138208A (en) 2000-05-16

Similar Documents

Publication Publication Date Title
JP3257328B2 (en) Plasma processing apparatus and plasma processing method
JP3411539B2 (en) Plasma processing apparatus and plasma processing method
JP3243740B2 (en) Hot wall reactive ion etching with temperature control for process stability
RU2237314C2 (en) Plasma treatment chamber and method for treating semiconductor substrate in the chamber
US5895586A (en) Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
JP3689732B2 (en) Monitoring device for plasma processing equipment
EP1295317A2 (en) Semiconductor processing equipment having improved particle performance
JP4554815B2 (en) Contamination control method and plasma processing chamber
JPH11340149A (en) System and method for plasma treatment
JP2007324154A (en) Plasma treating apparatus
JP3534716B2 (en) Plasma processing method
JP3534660B2 (en) Plasma processing equipment
JP3218917B2 (en) Plasma processing apparatus and plasma processing method
JP4224374B2 (en) Plasma processing apparatus processing method and plasma processing method
JP2005150606A (en) Plasma treatment apparatus
JP2000164582A (en) Plasma processing system
JP4105641B2 (en) Plasma processing apparatus and sample processing method
JP3077516B2 (en) Plasma processing equipment
JP2007142175A (en) Plasma processing method and plasma processing device
JP2008034885A (en) Plasma processor and plasma processing method
JP4690837B2 (en) Temperature control method and temperature control apparatus for sample mounting electrode
JP2001148300A (en) Discharge plasma treatment apparatus

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20031202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040129

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040309

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090319

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090319

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100319

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120319

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 9