JP2000138208A - Method and device for plasma processing - Google Patents

Method and device for plasma processing

Info

Publication number
JP2000138208A
JP2000138208A JP11258244A JP25824499A JP2000138208A JP 2000138208 A JP2000138208 A JP 2000138208A JP 11258244 A JP11258244 A JP 11258244A JP 25824499 A JP25824499 A JP 25824499A JP 2000138208 A JP2000138208 A JP 2000138208A
Authority
JP
Japan
Prior art keywords
temperature
processing
sample
plasma
inner cylinder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11258244A
Other languages
Japanese (ja)
Other versions
JP3534660B2 (en
Inventor
Saburo Kanai
三郎 金井
Nushito Takahashi
主人 高橋
Koichi Okamura
浩一 岡村
Ryoji Hamazaki
良二 濱崎
Satoru Ito
哲 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP25824499A priority Critical patent/JP3534660B2/en
Publication of JP2000138208A publication Critical patent/JP2000138208A/en
Application granted granted Critical
Publication of JP3534660B2 publication Critical patent/JP3534660B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a plasma processing method, wherein the inside surface of a process chamber is prevented from decomposed under plasma or becoming a heavy metal contamination source, while plasma process characteristics is stabilized with respect to aging. SOLUTION: A plasma generating device of external energy supply discharge method, a process chamber 4, a device for supplying the process chamber with a supply gas, a sample stage 10 which holds a sample in the process chamber, and a device for depressurizing and exhausting the process chamber, are provided. Here, the process chamber 4 comprises an external tube 5 which stands depressurizing, an internal tube 6 provided inside the external tube 5 with a gap in between, and a temperature control/keeping means, which keeps the temperature of the internal tube 6 at a specified temperature through the external tube 5 with the internal tube 6 formed of a non-magnetic material.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマ処理装置
及びプラズマ処理方法に係り、特に、高密度のプラズマ
を用い、試料にエッチング等の処理を施すのに好適な、
プラズマ処理装置及びプラズマ処理方法に関するもので
ある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and a plasma processing method, and more particularly to a plasma processing apparatus and a plasma processing method suitable for performing processing such as etching on a sample using high-density plasma.
The present invention relates to a plasma processing apparatus and a plasma processing method.

【0002】[0002]

【従来の技術】従来のプラズマ処理装置としては、例え
ば、半導体プラズマプロセス技術(菅野卓雄 編著、産
業図書発行、(1980)、P139)に記載のよう
に、マイクロ波プラズマ処理装置を用い、マイクロ波を
伝播する導波管内に石英製の放電室を有し、放電室外部
に配置したコイルより生じられる外部磁場とマイクロ波
電界の作用により、放電室内でプラズマを生成させるよ
うになっていた。そして、該プラズマを利用して半導体
ウェハの表面にエッチング等の処理を施すことが可能と
なる。
2. Description of the Related Art As a conventional plasma processing apparatus, for example, a microwave plasma processing apparatus is used as described in a semiconductor plasma process technique (ed. By Takuo Sugano, published by Sangyo Tosho, (1980), p. 139). A plasma discharge chamber made of quartz is provided in a waveguide for transmitting plasma, and plasma is generated in the discharge chamber by the action of an external magnetic field and a microwave electric field generated by a coil disposed outside the discharge chamber. Then, the surface of the semiconductor wafer can be subjected to a process such as etching using the plasma.

【0003】このようなマイクロ波エッチング処理装置
では、マイクロ波を導くと共に、外部磁場を処理室内に
導入するために、処理室として、導波管となる非磁性で
かつ導電性の材料が必要である。そのため、一般には処
理室の壁材料として、アルミニウム(Al)やステンレ
ス鋼(SUS)の金属が用いられる。
In such a microwave etching apparatus, a non-magnetic and conductive material serving as a waveguide is required for the processing chamber in order to guide microwaves and introduce an external magnetic field into the processing chamber. is there. Therefore, generally, a metal such as aluminum (Al) or stainless steel (SUS) is used as a wall material of the processing chamber.

【0004】ところで、処理室の壁面を構成するステン
レス鋼その他の金属は、プラズマにより削られ飛散し
て、その中に含まれている重金属と共に汚染源となる。
[0004] Incidentally, stainless steel and other metals constituting the wall surface of the processing chamber are shaved and scattered by the plasma and become a source of contamination together with the heavy metals contained therein.

【0005】一方、特開平4−229619号公報に
は、処理室で使用される反応ガスによる化学的腐食から
金属面を保護することのできる導電製のコーティングを
内側金属面上に形成するものが示されている。これは、
処理ガスに、塩素などのハロゲンガスなどを用いてプラ
ズマエッチングを行うと、処理室の金属壁が腐食すると
いう恐れがあるため、処理室の金属内壁面上に、コーテ
ィングにより保護膜を形成するものである。処理室の金
属はアルミニウムであり、コーティング材料には、Ti
N,InSn,SiC,TiC,TaC等があげられて
いる。コーティング層の厚みは、0.2μmから1μm
にわたるものとされている。
On the other hand, Japanese Patent Application Laid-Open No. Hei 4-229419 discloses a method in which a conductive coating capable of protecting a metal surface from chemical corrosion by a reaction gas used in a processing chamber is formed on an inner metal surface. It is shown. this is,
When plasma etching is performed using a halogen gas such as chlorine as the processing gas, the metal wall of the processing chamber may be corroded. Therefore, a protective film is formed by coating on the metal inner wall surface of the processing chamber. It is. The metal of the processing chamber is aluminum, and the coating material is Ti
N, InSn, SiC, TiC, TaC and the like are mentioned. The thickness of the coating layer is from 0.2 μm to 1 μm
It is said to be over.

【0006】また、特開昭63−138737号公報に
は、チャンバ内に対向電極を備えたドライエッチング装
置において、汚染されたチャンバの内面を清浄可能にす
るために、チャンバに対して着脱自在な絶縁材でチャン
バ内面を覆ったものが示されている。絶縁材としては、
アルマイト、アルミナ溶射、テフロン、セラミック等が
挙げられている。
Japanese Unexamined Patent Application Publication No. 63-138737 discloses a dry etching apparatus having a counter electrode in a chamber, in which a contaminated chamber can be detachably attached to the chamber in order to clean the inner surface of the chamber. An insulating material is shown covering the inner surface of the chamber. As insulation,
Alumite, alumina spraying, Teflon, ceramic and the like are mentioned.

【0007】[0007]

【発明が解決しようとする課題】上記特開平4−229
619号公報に記載された従来技術では、処理室で使用
される反応ガスによる化学的腐食の観点からは金属面を
保護することはできる。ただし、典型的なプラズマエッ
チングプロセスの条件として上記公報のカラム5に記載
されていることからも明らかなとおり、プラズマ処理時
の温度は、約10℃〜約70℃という比較的低温の範囲
に限定されている。これはもし、プラズマ処理に伴い処
理室を構成するアルミニウムの温度が、例えば100℃
以上に上昇すると、アルミニウムの熱膨張のために、ア
ルミニウム表面のコーティング膜に割れが発生する恐れ
があるためと考えられる。割れの発生を避けるためには
コーティング膜を薄くせざるを得ない。しかし、膜厚を
薄くすると、プラズマエッチングに伴う反応ガスにより
コーティング膜が短時間に腐食されてしまい、コーティ
ング膜の役目を果たさない。例えば、発明者等の実験に
よれば、SiCの場合、エッチングにより毎分約0.0
5μm削られるというデータがある。そのため、0.2
μmから1μm程度の厚みでは、数時間で、換言すると
試料を数百枚処理した時点でコーティング層が破壊さ
れ、無くなってしまう。その結果、処理室の内壁の金属
表面がプラズマに露出し、プラズマにより削られあるい
は化学的に反応して変質し、これらが重金属汚染源とな
ったり、あるいは処理室の特性を劣化させてしまう。
Problems to be Solved by the Invention
In the prior art described in Japanese Patent No. 619, a metal surface can be protected from the viewpoint of chemical corrosion due to a reaction gas used in a processing chamber. However, as is clear from the column 5 of the above publication as typical plasma etching process conditions, the temperature during the plasma processing is limited to a relatively low temperature range of about 10 ° C. to about 70 ° C. Have been. This is because if the temperature of the aluminum constituting the processing chamber accompanying the plasma processing is, for example, 100 ° C.
It is considered that when the temperature rises above, cracks may occur in the coating film on the aluminum surface due to thermal expansion of the aluminum. In order to avoid the occurrence of cracks, the coating film must be thinned. However, when the film thickness is reduced, the coating film is corroded in a short time by the reaction gas accompanying the plasma etching, and does not serve as the coating film. For example, according to experiments by the inventors, in the case of SiC, about 0.0
There is data that it is cut by 5 μm. Therefore, 0.2
With a thickness of about 1 μm to 1 μm, the coating layer is destroyed and disappears in several hours, in other words, when several hundred samples are processed. As a result, the metal surface of the inner wall of the processing chamber is exposed to the plasma, and is shaved by the plasma or chemically reacted and deteriorated, thereby becoming a heavy metal contamination source or deteriorating the characteristics of the processing chamber.

【0008】一方、前記特開昭63−138737号公
報記載の発明は、汚染された絶縁材をチャンバから外し
て清浄した後、再度チャンバ内に装着して使用するもの
であるが、しかしながら絶縁材等をチャンバ内面に装着
した場合には、プラズマ処理中に装着した絶縁材等の温
度が変動して、プラズマ処理特性が大幅に変動する問題
がある。
On the other hand, the invention described in Japanese Patent Application Laid-Open No. 63-138737 discloses a method in which a contaminated insulating material is removed from a chamber, cleaned, and then mounted again in the chamber for use. When such components are mounted on the inner surface of the chamber, there is a problem that the temperature of the insulating material or the like mounted during the plasma processing fluctuates and the plasma processing characteristics fluctuate greatly.

【0009】本発明の目的は、処理室内面がプラズマに
より変質したり重金属汚染源となることを防止すると共
に、処理室内面の温度を所望温度に保持することによ
り、プラズマ処理特性を経時的に安定させるプラズマ処
理装置及びプラズマ処理方法を提供することにある。
An object of the present invention is to prevent the inside of a processing chamber from being deteriorated by plasma or to become a source of heavy metal contamination, and to maintain the temperature of the inside of the processing chamber at a desired temperature, thereby stabilizing the plasma processing characteristics over time. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method to be performed.

【0010】[0010]

【課題を解決するための手段】本発明は、外部エネルギ
供給放電方式のプラズマ発生装置と、処理室と、該処理
室内に処理ガスを供給する装置と、前記処理室内で試料
を保持する試料台と、前記処理室内を減圧排気する装置
とを備えたプラズマ処理装置において、前記処理室が、
減圧に耐える外筒と、該外筒の内側に隙間を介して配置
された内筒と、該内筒の温度を、前記外筒を介して所望
温度に保持する温度制御保持手段とを備え、前記内筒を
非磁性材料で形成したことを特徴とする。
SUMMARY OF THE INVENTION The present invention provides a plasma generator of an external energy supply discharge system, a processing chamber, an apparatus for supplying a processing gas into the processing chamber, and a sample stage for holding a sample in the processing chamber. And a plasma processing apparatus including a device for evacuating and exhausting the processing chamber, wherein the processing chamber is
An outer cylinder that withstands decompression, an inner cylinder disposed inside the outer cylinder via a gap, and a temperature control holding unit that holds the temperature of the inner cylinder at a desired temperature via the outer cylinder, The inner cylinder is formed of a non-magnetic material.

【0011】本発明の他の特徴は、プラズマ発生装置
と、減圧可能な処理室と、処理室にガスを供給する処理
ガス供給装置と、試料を保持する試料台と、真空排気装
置より成るプラズマ処理装置において、前記処理室が、
減圧に耐える外筒と、該外筒の内側に隙間を介して配置
された内筒と、前記内筒の温度を検出する手段とを有
し、前記外筒に温度制御手段を設け、前記隙間に前記外
筒と前記内筒間の熱伝達手段を設けたことにある。
Another feature of the present invention is that a plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply apparatus for supplying a gas to the processing chamber, a sample table for holding a sample, and a plasma evacuation apparatus are provided. In the processing apparatus, the processing chamber includes:
An outer cylinder that withstands pressure reduction, an inner cylinder disposed inside the outer cylinder via a gap, and a unit for detecting a temperature of the inner cylinder; a temperature control unit provided in the outer cylinder; And heat transfer means between the outer cylinder and the inner cylinder.

【0012】処理室の内壁としてセラミック等、重金属
を含まない材料からなる内筒を用いているため、ウェハ
の処理時に外筒を構成するアルミニウムなどの金属面が
露出せず、従ってプラズマによって金属が削られたり変
質したりして、ウェハに対する重金属汚染源となること
がない。一方、内筒は外筒に比べて熱伝導性が低いの
で、もしなんら制御しなければ、エッチング処理時に内
筒の温度、換言すると処理室の表面温度が200℃〜3
50℃あるいはそれ以上に達する。本発明では、内筒の
温度を所望値、例えば100℃〜350℃、の間の所望
値に制御するので、処理室の表面温度も所望値に維持さ
れるために、エッチング特性は安定したものとなる。
Since an inner cylinder made of a material that does not contain heavy metals such as ceramic is used for the inner wall of the processing chamber, a metal surface such as aluminum constituting the outer cylinder is not exposed during wafer processing. It is not scraped or deteriorated and does not become a heavy metal contamination source for the wafer. On the other hand, since the inner cylinder has a lower thermal conductivity than the outer cylinder, if no control is performed, the temperature of the inner cylinder during the etching process, in other words, the surface temperature of the processing chamber is 200 ° C. to 3 ° C.
It reaches 50 ° C or higher. In the present invention, since the temperature of the inner cylinder is controlled to a desired value, for example, a desired value between 100 ° C. and 350 ° C., the surface temperature of the processing chamber is also maintained at the desired value, so that the etching characteristics are stable. Becomes

【0013】また、内筒表面温度を所望のパターンに制
御することによりプロセスを安定化することも可能とな
る。
The process can be stabilized by controlling the surface temperature of the inner cylinder to a desired pattern.

【0014】また、内筒を構成する材料の内側表面が、
プラズマによってわずかづつ削られる材料を使用した場
合には、内筒の内側表面が絶えず新しい表面に更新され
るので、内側表面の変質による汚染の心配はなく、処理
室としての特性の経時変化もほとんどない。また、内筒
は重金属を含んでいないので、削られても汚染源となる
心配はない。
Further, the inner surface of the material constituting the inner cylinder is
When using a material that is cut little by little by plasma, the inner surface of the inner cylinder is constantly updated to a new surface, so there is no risk of contamination due to deterioration of the inner surface, and there is almost no change over time in the characteristics of the processing chamber. Absent. Also, since the inner cylinder does not contain heavy metals, there is no concern that it will be a source of contamination even if it is shaved.

【0015】[0015]

【実施例】以下、図を用いて本発明の実施例を説明す
る。まず図1は、本発明の一実施例になるマイクロ波プ
ラズマ処理装置の一部を縦断面した正面図であり、図2
にその要部拡大図を示す。1はマイクロ波の発振源とし
てのマグネトロン、2はマイクロ波の導波管である。3
は、処理室4を真空封止しマイクロ波を処理室4に供給
するための石英板である。処理室4は、例えば純度の高
いアルミニウム(Al)で作られた、減圧に耐える外筒
5と、その内側に配置された炭化珪素(SiC)等のセ
ラミックで作られた内筒6によって構成されている。処
理室4の内面は絶縁物、外部は導電物であるため、処理
室4は導波管の役目もしている。7は磁場を供給する第
一のソレノイドコイル、8(8A,8B)は、磁場を供
給する第二のソレノイドコイルである。処理室4は、真
空室9に接続された真空ポンプにより真空排気される。
10は、エッチング等の処理を行なうウェハ11を載置
する試料台であり、高周波電源12が接続されている。
13は処理ガス供給系であり、処理室4内にエッチン
グ、成膜等の処理を行なう処理ガスを供給する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to the drawings. First, FIG. 1 is a front view in which a part of a microwave plasma processing apparatus according to one embodiment of the present invention is longitudinally sectioned.
FIG. 1 is a magnetron as a microwave oscillation source, and 2 is a microwave waveguide. 3
Is a quartz plate for vacuum-sealing the processing chamber 4 and supplying microwaves to the processing chamber 4. The processing chamber 4 includes an outer cylinder 5 made of, for example, high-purity aluminum (Al) and resistant to reduced pressure, and an inner cylinder 6 made of a ceramic such as silicon carbide (SiC) disposed inside the outer cylinder 5. ing. Since the inner surface of the processing chamber 4 is made of an insulating material and the outside is made of a conductive material, the processing chamber 4 also functions as a waveguide. 7 is a first solenoid coil for supplying a magnetic field, and 8 (8A, 8B) is a second solenoid coil for supplying a magnetic field. The processing chamber 4 is evacuated by a vacuum pump connected to a vacuum chamber 9.
Reference numeral 10 denotes a sample stage on which a wafer 11 to be subjected to a process such as etching is placed, and a high-frequency power source 12 is connected to the sample stage.
A processing gas supply system 13 supplies a processing gas for performing processing such as etching and film formation into the processing chamber 4.

【0016】外筒5と内筒6の間は、0.1〜2mm程
度の隙間G14が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系15
は、ガス源16,圧力制御弁17、圧力検出器18、圧
力指令指示手段19、制御回路20を備えており、圧力
検出器18で隙間14の圧力Pを検出し、この圧力Pを
所望値に維持するように圧力制御弁17の開度を制御す
る。
Between the outer cylinder 5 and the inner cylinder 6, there is a gap G14 of about 0.1 to 2 mm, between which a heat transfer gas for temperature control is introduced via a gas supply system 15. Gas supply system 15
Comprises a gas source 16, a pressure control valve 17, a pressure detector 18, a pressure command instructing means 19, and a control circuit 20. The pressure detector 18 detects the pressure P in the gap 14, and sets the pressure P to a desired value. The opening of the pressure control valve 17 is controlled so as to maintain the pressure.

【0017】内筒6はサポート32に支持されている。
一定量消耗したとき新しいものに交換するために、外筒
5に対して着脱自在に支持されている。
The inner cylinder 6 is supported by a support 32.
It is detachably supported with respect to the outer cylinder 5 in order to replace it with a new one when it has been consumed by a certain amount.

【0018】外筒5の外周には、処理室4を加熱するた
めのヒータ21が配置されており、温度検出器23によ
り内筒6の温度Tを検出し、温度コントローラ22によ
り外筒5の温度T0が制御される。このヒータ21は、
外筒5の温度T0及び隙間14の圧力を所定値に維持す
ることにより、内筒6の温度Tを所定値に維持するため
のものである。
A heater 21 for heating the processing chamber 4 is disposed on the outer periphery of the outer cylinder 5, a temperature T of the inner cylinder 6 is detected by a temperature detector 23, and a temperature controller 22 controls the temperature of the outer cylinder 5. The temperature T0 is controlled. This heater 21
By maintaining the temperature T0 of the outer cylinder 5 and the pressure of the gap 14 at predetermined values, the temperature T of the inner cylinder 6 is maintained at a predetermined value.

【0019】プラズマエッチング処理に際しては、ガス
供給系13から処理室4に処理ガスを所定の流量で導入
しながら、他方真空ポンプにより真空排気することによ
り、処理室4の圧力を所定の処理圧力に調節する。ま
た、ヒータ21やガス供給系15、温度コントローラ2
2によって、外筒5の温度T0、内筒6の温度T、隙間
14の圧力Pを所定値に制御する。
In the plasma etching process, the pressure in the processing chamber 4 is reduced to a predetermined processing pressure by introducing a processing gas from the gas supply system 13 into the processing chamber 4 at a predetermined flow rate and evacuating the processing chamber 4 by a vacuum pump. Adjust. Further, the heater 21, the gas supply system 15, the temperature controller 2
2, the temperature T0 of the outer cylinder 5, the temperature T of the inner cylinder 6, and the pressure P of the gap 14 are controlled to predetermined values.

【0020】他方、処理を行なうべきウェハ11を試料
台10に載置、保持し、マグネトロン1及び第一、第二
のソレノイドコイル7、8をオンとし、マイクロ波を処
理室4に導びいて、処理室4内にプラズマ100を生成
させ、ウェハ11にエッチング等の処理を行う。
On the other hand, the wafer 11 to be processed is placed and held on the sample table 10, the magnetron 1 and the first and second solenoid coils 7 and 8 are turned on, and the microwave is guided to the processing chamber 4. Then, a plasma 100 is generated in the processing chamber 4 and the wafer 11 is subjected to processing such as etching.

【0021】本発明によれば、ウェハ11の処理時に、
処理室4内壁として、アルミニウムなどの金属面が露出
していないために、プラズマ100によって金属が削ら
れたり変質したりして、ウェハ11に対する重金属汚染
源となることがない。
According to the present invention, when processing the wafer 11,
Since the metal surface such as aluminum is not exposed as the inner wall of the processing chamber 4, the metal is not shaved or deteriorated by the plasma 100 and does not become a heavy metal contamination source for the wafer 11.

【0022】一方、内筒6を構成するSiCの内側表面
は、プラズマ100によってわずかづつ削られる。しか
し、重金属を含んでいないので、削られても汚染源とな
る心配はない。むしろ、削られることにより、内筒6の
内側表面が絶えず新しい表面に更新されるので、内側表
面の変質による汚染の心配はなく、処理室4としての特
性の経時変化もほとんどない。削られたSiC成分は、
真空ポンプで処理室4から真空排気される。
On the other hand, the inner surface of the SiC constituting the inner cylinder 6 is slightly cut by the plasma 100. However, since it does not contain heavy metals, there is no worry that it will be a source of pollution even if it is scraped. Rather, since the inner surface of the inner cylinder 6 is constantly updated to a new surface by being scraped, there is no concern about contamination due to deterioration of the inner surface, and there is almost no change in the characteristics of the processing chamber 4 with time. The shaved SiC component is
The processing chamber 4 is evacuated by a vacuum pump.

【0023】ところで、エッチング処理時、処理室で発
生する熱により内筒の温度が上昇する。もしなんら制御
しなければ、内筒の温度Tは200℃〜350℃あるい
はそれ以上になる。一方、プラズマエッチング処理にお
ける、エッチング特性は内筒6の内側表面の温度に大き
く影響される。すなわち、内筒6の表面温度の変化によ
って、内筒6とエッチングガスの反応が変化し、エッチ
ングガスの雰囲気が変動するため、エッチング特性が安
定しない。例えば、円筒6の温度が変化することによ
り、壁への堆積物質の組成や堆積量が変動したり、壁と
の反応速度が変動することにより、プラズマ中の組成が
変動するため、エッチング特性が安定しない。
During the etching process, the temperature of the inner cylinder increases due to heat generated in the processing chamber. If not controlled, the temperature T of the inner cylinder will be between 200 ° C and 350 ° C or higher. On the other hand, the etching characteristics in the plasma etching process are greatly affected by the temperature of the inner surface of the inner cylinder 6. That is, the reaction between the inner cylinder 6 and the etching gas changes due to the change in the surface temperature of the inner cylinder 6, and the atmosphere of the etching gas changes, so that the etching characteristics are not stable. For example, as the temperature of the cylinder 6 changes, the composition and amount of the deposited material on the wall fluctuates, or the reaction speed with the wall fluctuates, so that the composition in the plasma fluctuates. Not stable.

【0024】本発明では、ヒータ21により外筒5の温
度T0の制御及び隙間14の圧力Pの制御を行うことに
より、内筒の表面温度Tを100℃〜350℃、望まし
くは150℃〜300℃の間の所望値に制御する。本発
明によれば、内筒6表面の温度Tが所定値に維持される
ために、エッチング特性は安定したものとなる。また、
内筒6の温度が所定値に維持され、内筒6の内側表面の
プラズマによる反応速度が安定するため、エッチングに
よって内筒6の表面が削られる量も一定になる。これに
よって、処理室4としての特性も安定したものとなる。
In the present invention, by controlling the temperature T0 of the outer cylinder 5 and the pressure P of the gap 14 by the heater 21, the surface temperature T of the inner cylinder is set to 100 to 350 ° C, preferably 150 to 300 ° C. Control to the desired value between ° C. According to the present invention, since the temperature T on the surface of the inner cylinder 6 is maintained at a predetermined value, the etching characteristics become stable. Also,
Since the temperature of the inner cylinder 6 is maintained at a predetermined value and the reaction speed of the plasma on the inner surface of the inner cylinder 6 is stabilized, the amount of the surface of the inner cylinder 6 that is etched away is also constant. Thereby, the characteristics of the processing chamber 4 are also stabilized.

【0025】図3は、温度コントローラ22によ.内筒
6の温度制御機能を示すものである。一例として、外筒
5の温度をT0に維持することにより、内筒6の温度T
をT0に近つけることを示している。
FIG. 3 shows a temperature control function of the inner cylinder 6. As an example, by maintaining the temperature of the outer cylinder 5 at T0, the temperature T of the inner cylinder 6 is maintained.
Is approaching T0.

【0026】この場合、図4に示すように、隙間14の
圧力Pを上げることにより、温度TとT0の差を小さく
できる。具体的には、隙間14が1mm、隙間14にH
eガスを供給し、ガス圧力を10Torrに制御した場
合、内筒6への入熱量が0〜300W相当の時、外筒5
の温度150℃にたいして、内筒6の温度を150℃±
20℃に保持することが可能である。
In this case, as shown in FIG. 4, by increasing the pressure P in the gap 14, the difference between the temperatures T and T0 can be reduced. Specifically, the gap 14 is 1 mm, and the gap 14 is H
When e gas is supplied and the gas pressure is controlled to 10 Torr, when the heat input to the inner cylinder 6 is equivalent to 0 to 300 W, the outer cylinder 5
The temperature of the inner cylinder 6 to 150 ° C ± 150 ° C
It is possible to keep it at 20 ° C.

【0027】内筒の所望温度は円筒の材質、被処理膜
質、処理ガスの種類、放電条件等の組合せにより最適値
が異なってくる。
The optimum value of the desired temperature of the inner cylinder differs depending on the combination of the material of the cylinder, the quality of the film to be processed, the type of the processing gas, the discharge conditions and the like.

【0028】例えば、処理ガスとしてCF系ガスを用
い、円筒に石英を用いて図12に示すレジスト付酸化膜
試料を処理する場合、内筒の温度を制御しない時は、図
13に示すように試料処理枚数が増加するに従い、内筒
はプラズマからの熱を受け徐々に上昇してゆき、飽和温
度となる。この時、酸化膜のエッチング速度の変動は少
ないが、内筒の温度上昇に従いレジストのエッチング速
度は除々に低下し、内筒温度が飽和するとレジストのエ
ッチング速度も安定する。
For example, when a CF-based gas is used as a processing gas and quartz is used as a cylinder to process an oxide film sample with a resist shown in FIG. 12, when the temperature of the inner cylinder is not controlled, as shown in FIG. As the number of processed samples increases, the inner cylinder receives heat from the plasma and gradually rises to a saturation temperature. At this time, although the change in the etching rate of the oxide film is small, the etching rate of the resist gradually decreases as the temperature of the inner cylinder increases, and the etching rate of the resist becomes stable when the temperature of the inner cylinder is saturated.

【0029】一方、内筒の温度をあらかじめ図13の飽
和温度に保持すれば、試料処理枚数の始めから安定した
レジストのエッチング速度を得ることができる。
On the other hand, if the temperature of the inner cylinder is maintained at the saturation temperature in FIG. 13 in advance, a stable resist etching rate can be obtained from the beginning of the number of processed samples.

【0030】内筒の温度を図13の飽和温度でなく、初
期温度に保持すれば、試料処理枚数初期のエッチング速
度を得ることができる。
If the temperature of the inner cylinder is maintained at the initial temperature instead of the saturation temperature in FIG. 13, the etching rate at the initial stage of the number of processed samples can be obtained.

【0031】なお隙間14としては狭い方がガスによる
熱伝達性が良いが、2mm程度の間隙迄その効果が生じ
る。
It is to be noted that the narrower the gap 14, the better the heat transfer by the gas is, but the effect is obtained up to a gap of about 2 mm.

【0032】実施例における内筒6の材料は、磁場を用
いたマイクロ波放電のために非磁性の材料であり、プラ
ズマによって変質せず、かつ、重金属を含まないことが
必要である。この条件を満たすものとして、炭素C、シ
リコン(Si)、石英(SiO2)、アルミナ(Al2O
3)等の材料が挙げられるが、プラズマ処理内容によっ
ては、アルミニウム材料でも良い。
The material of the inner cylinder 6 in the embodiment is a non-magnetic material for microwave discharge using a magnetic field, and it is necessary that the material is not altered by plasma and does not contain heavy metals. Those satisfying this condition include carbon C, silicon (Si), quartz (SiO2), and alumina (Al2O).
Materials such as 3) are mentioned, but an aluminum material may be used depending on the content of the plasma treatment.

【0033】また、内筒6は、所定値以上の機械的な強
度と耐久性が要求される。つまり、内筒6を構成する実
施例でのSiCの厚さは、プラズマ処理時に作用する外
力に耐えられる機械的な強度を有すると共に、プラズマ
100によって削られながらも、多量のウェハ処理に耐
えうる耐久性を有するものでなければならない。エッチ
ングにより毎分約0.05μm削られるとして、実用
上、数万枚のウェハ処理に耐えうるために、SiCの厚
さは2〜10mmあれば足りる。
The inner cylinder 6 is required to have a mechanical strength and durability equal to or more than a predetermined value. That is, the thickness of SiC in the embodiment constituting the inner cylinder 6 has a mechanical strength that can withstand the external force acting during the plasma processing, and can withstand a large amount of wafer processing while being shaved by the plasma 100. It must be durable. Assuming that the thickness is about 0.05 μm per minute by etching, the thickness of SiC is only required to be 2 to 10 mm in order to practically endure the processing of tens of thousands of wafers.

【0034】図1の一実施例において、石英板3の表面
温度についても、内筒6の温度制御と同様な方法で、1
00℃〜350℃の温度に制御するのが良い。
In the embodiment shown in FIG. 1, the surface temperature of the quartz plate 3 is also determined by the same method as that for controlling the temperature of the inner cylinder 6.
It is preferable to control the temperature to be from 00 ° C to 350 ° C.

【0035】図5は、本発明の他の実施例のマイクロ波
プラズマ処理装置の縦断面図である。処理室4は、例え
ば純度の高いアルミニウムで作られた外筒5と、その内
側に配置されたセラミック製の内筒6によって構成され
ている。処理室4の内面は逆テーパ状となっており、内
筒6は円錐台型となっている。外筒5と内筒6の間には
隙間14がある。隙間14内には、図6に示すように、
アルミニウム製の波板30が配置されており、バネ力に
よって波板30が外筒5と内筒6に接触している。外筒
5の外周には加熱用のヒータ21が配置されている。内
筒6の下端部はバネ31を介して支持部32に保持され
ている。内筒6の上端部にもバネ33があり、これらの
バネ31,33によって、波板30と外筒5及び内筒6
の接触力を高めている。バネ31,33はまた、外筒5
と内筒6間の熱膨張の差を吸収する機能も備えている。
FIG. 5 is a longitudinal sectional view of a microwave plasma processing apparatus according to another embodiment of the present invention. The processing chamber 4 includes an outer cylinder 5 made of, for example, high-purity aluminum, and a ceramic inner cylinder 6 disposed inside the outer cylinder 5. The inner surface of the processing chamber 4 has an inverted tapered shape, and the inner cylinder 6 has a truncated cone shape. There is a gap 14 between the outer cylinder 5 and the inner cylinder 6. In the gap 14, as shown in FIG.
An aluminum corrugated plate 30 is arranged, and the corrugated plate 30 is in contact with the outer cylinder 5 and the inner cylinder 6 by a spring force. A heater 21 for heating is arranged on the outer periphery of the outer cylinder 5. The lower end of the inner cylinder 6 is held by a support portion 32 via a spring 31. A spring 33 is also provided at the upper end of the inner cylinder 6, and the corrugated plate 30, the outer cylinder 5 and the inner cylinder 6 are formed by the springs 31 and 33.
The contact force is increased. The springs 31 and 33 are also provided with the outer cylinder 5.
It also has a function of absorbing a difference in thermal expansion between the inner cylinder 6 and the inner cylinder 6.

【0036】この実施例でも、SiCで構成される内筒
6の機能は、前に述べた実施例と同じである。この実施
例では、外筒5と内筒6の間の熱の伝達を、波板30に
よる接触熱伝導方式と、隙間14内のガスによるガス伝
導方式の組み合わせとしている点に特徴がある。この実
施例によれば、処理室表面すなわち内筒6の表面温度T
が外筒5の温度T0に対して差の少ない値に維持される
ために、エッチング特性は安定したものとなる。
In this embodiment, the function of the inner cylinder 6 made of SiC is the same as that of the above-described embodiment. This embodiment is characterized in that the heat transfer between the outer cylinder 5 and the inner cylinder 6 is a combination of a contact heat conduction method using the corrugated plate 30 and a gas conduction method using the gas in the gap 14. According to this embodiment, the processing chamber surface, that is, the surface temperature T of the inner cylinder 6 is set.
Is maintained at a value having a small difference with respect to the temperature T0 of the outer cylinder 5, so that the etching characteristics become stable.

【0037】上記図1〜5の実施例に於いて、内筒6の
温度は(間接的に検出されれば)直接検出しなくてもよ
い。ただし、内筒6に温度検出器23を付加することに
より、下記に示す効果がある。
In the embodiments of FIGS. 1 to 5, the temperature of the inner cylinder 6 need not be directly detected (if it is indirectly detected). However, adding the temperature detector 23 to the inner cylinder 6 has the following effects.

【0038】(1)内筒6の温度Tを更に正確に制御す
るために、隙間14の圧力を可変にする、又は外筒5の
温度を細かく調整することが可能となり内筒の温度制御
性が向上する。
(1) In order to more accurately control the temperature T of the inner cylinder 6, the pressure in the gap 14 can be made variable or the temperature of the outer cylinder 5 can be finely adjusted. Is improved.

【0039】(2)内筒6の温度を監視し、内筒6の温
度が所定範囲外の時はプラズマ処理をしない等の警報出
力を出したり、プラズマ処理を停止することができる。
(2) The temperature of the inner cylinder 6 is monitored, and when the temperature of the inner cylinder 6 is out of the predetermined range, an alarm output such as not performing the plasma processing can be issued or the plasma processing can be stopped.

【0040】また上記実施例1〜5では、外筒の温度制
御機能としてヒータ加熱機を記載したが、外筒に温度コ
ントロールされた循環液を流すことにより室温以下の冷
却から加熱まで、温度制御範囲を広くすることができ、
内筒の温度制御性が一層向上する。
In the first to fifth embodiments, the heater is described as the temperature control function of the outer cylinder. However, the temperature control from the cooling down to the room temperature to the heating can be performed by flowing the circulating fluid whose temperature is controlled to the outer cylinder. Range can be widened,
The temperature controllability of the inner cylinder is further improved.

【0041】図7は、本発明の他の実施例として、平行
平板プラズマエッチング装置への適用例を示す。この装
置は、真空容器としての処理室4は、外室40と、上板
41と、側壁42と、底板43とから成る実質的に閉じ
た金属反応で構成されている。真空容器中に一対の対向
する平行平板電極(外室40の内壁に接地されたアノー
ド、外室40に絶縁体46を介して装着されたカソード
47)を備え、カソード47に高周波エネルギーを供給
する高周波電源48がある。さらに、処理室4を部分的
に真空排気する真空ポンプへの接続部44と、弁制御さ
れる導管45を通して反応ガスを処理室4へ供給する反
応ガス供給源とがある。エッチングするウエーハ11
は、カソード47の上に載置される。
FIG. 7 shows another embodiment of the present invention applied to a parallel plate plasma etching apparatus. In this apparatus, the processing chamber 4 as a vacuum vessel is constituted by a substantially closed metal reaction comprising an outer chamber 40, an upper plate 41, a side wall 42, and a bottom plate 43. A pair of opposed parallel plate electrodes (an anode grounded on the inner wall of the outer chamber 40, and a cathode 47 mounted on the outer chamber 40 via an insulator 46) are provided in a vacuum vessel, and high-frequency energy is supplied to the cathode 47. There is a high frequency power supply 48. Further, there is a connection 44 to a vacuum pump for partially evacuating the processing chamber 4 and a reaction gas supply source for supplying a reaction gas to the processing chamber 4 through a valve-controlled conduit 45. Wafer 11 to be etched
Is mounted on the cathode 47.

【0042】SiCで構成される内筒49が外室40の
内側面に、すなわち、上板41、側壁42、及び底板4
3の内面に形成されている。外室40と内筒49の間に
は隙間50が有り、その間にガス供給系を介して温度制
御用の伝熱ガスが導入される。ガス供給系は、図1の実
施例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間5
0の圧力Pを所定値に維持するように動作する。また、
外室40の外周には、処理室4を加熱するためのヒータ
51が配置されており、図1の実施例で述べたと同様
に、温度コントローラによりヒータ51の温度T0が制
御され、内筒49の温度Tをコントローラで所定値に維
持することができる。内筒6に温度検出器23を付加し
てもよい。
The inner cylinder 49 made of SiC is provided on the inner surface of the outer chamber 40, that is, the upper plate 41, the side wall 42, and the bottom plate 4
3 is formed on the inner surface. There is a gap 50 between the outer chamber 40 and the inner cylinder 49, between which a heat transfer gas for temperature control is introduced via a gas supply system. The gas supply system is provided with a gas source, a pressure control valve, a pressure detector, a pressure command indicating means, and a control circuit as in the embodiment of FIG.
It operates to maintain the pressure P of 0 at a predetermined value. Also,
A heater 51 for heating the processing chamber 4 is arranged on the outer periphery of the outer chamber 40, and the temperature controller controls the temperature T 0 of the heater 51 as described in the embodiment of FIG. Can be maintained at a predetermined value by the controller. A temperature detector 23 may be added to the inner cylinder 6.

【0043】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒49の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。また、内筒49の温度
が所定値に維持されるため、安定したプラズマ処理が可
能となる。尚、平行平板型エッチング装置では内筒材質
は非磁性材料に限定する必要はない。
With such a configuration, the temperature of the inner cylinder 49 is maintained at a predetermined value during the plasma etching of the wafer 11, so that the metal is cut or deteriorated by the plasma by the same operation as in the above-described embodiment. The effect of not being done is obtained. Further, since the inner surface of the inner cylinder 49 is constantly updated to a new surface, there is no fear of contamination due to deterioration of the inner surface. Further, since the temperature of the inner cylinder 49 is maintained at a predetermined value, stable plasma processing can be performed. In the parallel plate type etching apparatus, the material of the inner cylinder does not need to be limited to a non-magnetic material.

【0044】本発明は、プラズマ発生機構が異なる他の
装置への適用が可能であり、その適用例を図8〜図11
に示す。
The present invention can be applied to another apparatus having a different plasma generating mechanism.
Shown in

【0045】図8は本発明を、マグネット80を備えた
マグネトロンRIE装置に適用した例である。真空容器
としての処理室4は側壁42と、ウェハ11を載置する
試料台10とを備えており、試料台10の電極に高周波
エネルギーを供給する高周波電源48がある。さらに、
処理室4を部分的に真空排気する真空ポンプへの接続部
と、弁制御される導管13を通して反応ガスを処理室4
へ供給する反応ガス供給源とがある。
FIG. 8 shows an example in which the present invention is applied to a magnetron RIE apparatus having a magnet 80. The processing chamber 4 as a vacuum vessel includes a side wall 42 and the sample stage 10 on which the wafer 11 is mounted, and has a high frequency power supply 48 for supplying high frequency energy to the electrodes of the sample stage 10. further,
The reaction gas is passed through a connection to a vacuum pump to partially evacuate the processing chamber 4 and a valve-controlled conduit 13.
And a reaction gas supply source to supply the reaction gas.

【0046】SiCで構成される内筒49が側壁42の
内側面に形成されている。側壁42と内筒49の間には
隙間が有り、その間にガス供給系15を介して温度制御
用の伝熱ガスが導入される。ガス供給系は、図1の実施
例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間の
圧力Pを所定値に維持するように動作する。また、側壁
42の外周には、処理室4を加熱するためのヒータ51
が配置されており、図1の実施例で述べたと同様に、温
度コントローラ22によりヒータ51を介して側壁42
の温度T0が制御され、内筒49の温度Tを所定値に維
持することができる。
An inner cylinder 49 made of SiC is formed on the inner surface of the side wall 42. There is a gap between the side wall 42 and the inner cylinder 49, and a heat transfer gas for temperature control is introduced through the gas supply system 15 between the gap. The gas supply system includes a gas source, a pressure control valve, a pressure detector, a pressure command indicating means, and a control circuit, as described in the embodiment of FIG. 1, so as to maintain the pressure P in the gap at a predetermined value. Works. A heater 51 for heating the processing chamber 4 is provided on the outer periphery of the side wall 42.
The side wall 42 is provided by the temperature controller 22 via the heater 51 in the same manner as described in the embodiment of FIG.
Is controlled, and the temperature T of the inner cylinder 49 can be maintained at a predetermined value.

【0047】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、安定したプラズマ処理が可能となる。さらに、プラ
ズマによって金属が削られたり変質したりすることがな
いという効果が得られる。また、内筒49の内側表面が
絶えず新しい表面に更新されるので、内側表面の変質に
よる汚染の心配はない。
With such a configuration, by maintaining the temperature of the inner cylinder 49 at a predetermined value during the plasma etching of the wafer 11, stable plasma processing can be performed by the same operation as in the above-described embodiment. . Further, there is obtained an effect that the metal is not shaved or deteriorated by the plasma. Further, since the inner surface of the inner cylinder 49 is constantly updated to a new surface, there is no fear of contamination due to deterioration of the inner surface.

【0048】図9は本発明を、外部エネルギー供給放電
方式のうち、誘導結合型放電方式でかつ、無磁場タイプ
の装置に適用した例であり、処理室4はシリコンプレー
ト90と石英チャンバ92とで囲まれている。91は加
熱されたアンテナ部材、95は上部ヒータである。この
実施例においても、ウエーハ11のプラズマエッチング
時に、石英チャンバ92の温度を所定値Tに維持するこ
とにより、前に述べた実施例と同様な作用により、安定
したプラズマ処理が可能となる。さらに、プラズマによ
って石英チャンバ92の内側表面が絶えず新しい表面に
更新されるので、内側表面の変質による汚染の心配はな
い。
FIG. 9 shows an example in which the present invention is applied to an inductively coupled discharge type non-magnetic field type apparatus among the external energy supply discharge methods. The processing chamber 4 includes a silicon plate 90 and a quartz chamber 92. Is surrounded by 91 is a heated antenna member, and 95 is an upper heater. Also in this embodiment, by maintaining the temperature of the quartz chamber 92 at the predetermined value T during the plasma etching of the wafer 11, stable plasma processing can be performed by the same operation as the above-described embodiment. Further, since the inner surface of the quartz chamber 92 is constantly updated with a new surface by the plasma, there is no fear of contamination due to deterioration of the inner surface.

【0049】図10は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。105はベルヂャー、1
10はアンテナである。真空容器としての処理室4は、
内筒112、外筒114、ウェハ11を載置する試料台
10とを備えており、試料台10の電極に高周波エネル
ギーを供給する高周波電源48がある。さらに、処理室
4を部分的に真空排気する真空ポンプへの接続部と、弁
制御される導管を通して反応ガスを処理室4へ供給する
反応ガス供給源とがある。また、外筒114を加熱、冷
却して温度制御を行なうヒータ116及び冷却水通路1
20を備えている。
FIG. 10 shows an example in which the present invention is applied to an apparatus of an inductively coupled discharge type and a magnetic field type among the external energy supply discharge types. 105 is Belzer, 1
Reference numeral 10 denotes an antenna. The processing chamber 4 as a vacuum vessel is
The apparatus includes an inner cylinder 112, an outer cylinder 114, and a sample stage 10 on which the wafer 11 is mounted. A high-frequency power supply 48 supplies high-frequency energy to the electrodes of the sample stage 10. Furthermore, there is a connection to a vacuum pump for partially evacuating the processing chamber 4 and a reaction gas supply for supplying the reaction gas to the processing chamber 4 through a valve-controlled conduit. Further, a heater 116 for heating and cooling the outer cylinder 114 to perform temperature control and a cooling water passage 1 are provided.
20.

【0050】SiCで構成される内筒112と外筒11
4の間には隙間が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系は、
前の実施例で述べたと同様に、ガス源,圧力制御弁、圧
力検出器、圧力指令指示手段、制御回路を備えており、
隙間の圧力Pを所定値に維持するように動作する。ま
た、温度コントローラによりヒータ116を介して外筒
114の温度T0が制御され、内筒112の温度Tを所
定値に維持することができる。
The inner cylinder 112 and the outer cylinder 11 made of SiC
4, there is a gap, and a heat transfer gas for temperature control is introduced through the gas supply system 15 between them. The gas supply system is
As described in the previous embodiment, a gas source, a pressure control valve, a pressure detector, a pressure command indicating means, and a control circuit are provided.
It operates to maintain the pressure P in the gap at a predetermined value. Further, the temperature T0 of the outer cylinder 114 is controlled by the temperature controller via the heater 116, and the temperature T of the inner cylinder 112 can be maintained at a predetermined value.

【0051】このような構成により、内筒112の温度
を所定値に維持することにより、前に述べた実施例と同
様な作用により、安定したプラズマ処理が可能となる。
さらに、プラズマによって金属が削られたり変質したり
することがないという効果が得られる。また、内筒の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。
With this configuration, by maintaining the temperature of the inner cylinder 112 at a predetermined value, stable plasma processing can be performed by the same operation as in the above-described embodiment.
Further, there is obtained an effect that the metal is not shaved or deteriorated by the plasma. In addition, since the inner surface of the inner cylinder is constantly updated with a new surface, there is no risk of contamination due to deterioration of the inner surface.

【0052】図11は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。120は電極、48は高
周波電源である。真空容器としての処理室4は、セラミ
ックプレート124、内筒122、ウェハ11を載置す
る試料台10を備えている。さらに、セラミックプレー
ト124を加熱、冷却して温度制御を行なうヒータ16
6及び隙間ヘガスヲ供給するガス通路130を備えてい
る。ガス供給系は、前の実施例で述べたと同様に、ガス
源,圧力制御弁、圧力検出器、圧力指令指示手段、制御
回路を備えており、隙間の圧力Pを所定値に維持するよ
うに動作する。また、温度コントローラによりヒータ1
26を介してセラミックプレート124の温度T0が制
御され、内筒122の温度Tを所定値に維持することが
できる。
FIG. 11 shows an example in which the present invention is applied to an inductively coupled discharge type magnetic field type apparatus among external energy supply discharge methods. 120 is an electrode and 48 is a high frequency power supply. The processing chamber 4 serving as a vacuum vessel includes a ceramic plate 124, an inner cylinder 122, and a sample stage 10 on which the wafer 11 is placed. Further, a heater 16 for heating and cooling the ceramic plate 124 to control the temperature is provided.
6 and a gas passage 130 for supplying gas to the gap. As described in the previous embodiment, the gas supply system includes a gas source, a pressure control valve, a pressure detector, a pressure command indicating means, and a control circuit so as to maintain the pressure P in the gap at a predetermined value. Operate. In addition, heater 1
The temperature T0 of the ceramic plate 124 is controlled via 26, and the temperature T of the inner cylinder 122 can be maintained at a predetermined value.

【0053】このような構成で内筒122の温度を所定
値に維持することにより、前に述べた実施例と同様な作
用により、安定したプラズマ処理が可能となる。さら
に、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒の内側表
面が絶えず新しい表面に更新されるので、内側表面の変
質による汚染の心配はない。
By maintaining the temperature of the inner cylinder 122 at a predetermined value in such a configuration, stable plasma processing can be performed by the same operation as in the above-described embodiment. Further, there is obtained an effect that the metal is not shaved or deteriorated by the plasma. In addition, since the inner surface of the inner cylinder is constantly updated with a new surface, there is no risk of contamination due to deterioration of the inner surface.

【0054】以上、図8〜図11で述べたいずれの実施
例も、磁場や電界への影響を少なくするために、内筒の
材質は非磁性非金属材料とするのが好ましい。
In any of the embodiments described above with reference to FIGS. 8 to 11, the inner cylinder is preferably made of a non-magnetic non-metallic material in order to reduce the influence on the magnetic field and the electric field.

【0055】本発明は以上述べたプラズマエッチング処
理に限らずCVD装置やスパッタ装置にも適用できる。
The present invention can be applied not only to the plasma etching process described above but also to a CVD device or a sputtering device.

【0056】また、内筒の温度を所定値に維持すること
でプロセスを安定化させる場合に限らず、例えば、ロッ
トの初期に故意に内筒温度を変化させて、ロット初期の
プロセスの変化を補正する場合にも同様に適用できる。
すなわち、内筒の温度制御性を改善することにより、プ
ロセスの安定化が可能になる。
Further, the present invention is not limited to the case where the process is stabilized by maintaining the temperature of the inner cylinder at a predetermined value. The same applies to the case of correction.
That is, by improving the temperature controllability of the inner cylinder, the process can be stabilized.

【0057】尚、図1〜図11で述べた装置は、次のよ
うにして使用される。例えば、装置起動開始前に、内筒
の温度を所望温度に制御し得るか否かがチェックされ
る。
The apparatus described with reference to FIGS. 1 to 11 is used as follows. For example, before starting the apparatus, it is checked whether the temperature of the inner cylinder can be controlled to a desired temperature.

【0058】まず、処理室4内は、真空ポンプの作動に
より所定の圧力に減圧排気される。その後、ヒータが作
動させられる。このヒータの発熱により内筒が加熱され
る。また、これと前後して隙間には、伝熱ガスが供給さ
れ、隙間のガス圧力は、所定の圧力に調節される。つま
り、内筒の加熱は、隙間に供給された伝熱ガスの熱伝導
を利用して実施される。加熱される内筒の温度は、直接
又は間接に検出され所望温度に制御される。これによ
り、内筒の温度を所望温度に制御し得ることが確認され
る。尚、内筒の温度を所望温度に制御し得ない場合は、
ヒータの作動及び隙間への伝熱ガスの供給が停止され、
不都合部分のチェック、復旧がなされる。
First, the inside of the processing chamber 4 is evacuated to a predetermined pressure by operating a vacuum pump. Thereafter, the heater is operated. The inner cylinder is heated by the heat generated by the heater. Before and after this, a heat transfer gas is supplied to the gap, and the gas pressure in the gap is adjusted to a predetermined pressure. That is, the heating of the inner cylinder is performed using the heat conduction of the heat transfer gas supplied to the gap. The temperature of the heated inner cylinder is directly or indirectly detected and controlled to a desired temperature. Thereby, it is confirmed that the temperature of the inner cylinder can be controlled to a desired temperature. If the temperature of the inner cylinder cannot be controlled to the desired temperature,
The operation of the heater and the supply of heat transfer gas to the gap are stopped,
Inconvenient parts are checked and recovered.

【0059】一方、図示を省略した搬送装置により、処
理室内にはウェハが、この場合、1個搬入される。該搬
入されたウェハは、搬送装置から試料台に受け渡され、
その被処理面と反対面を試料台の試料載置面に対応して
該載置面に載置される。
On the other hand, in this case, one wafer is carried into the processing chamber by a transfer device not shown. The loaded wafer is transferred from the transfer device to the sample stage,
The surface opposite to the surface to be processed is mounted on the mounting surface corresponding to the sample mounting surface of the sample stage.

【0060】図1〜図11で述べた装置では、試料台に
は冷却機能を有する温度制御手段が付設され、また、C
VD装置、スパッタ装置等の処理時にウェハを加熱する
必要が有る装置では、加熱機能を有する温度制御手段が
付設される。また、試料台の試料載置面に載置されたウ
ェハは、バネ力や荷重を利用した機械的クランプ手段や
静電吸着手段や真空吸着手段等により試料台に保持され
る。
In the apparatus described with reference to FIGS. 1 to 11, the sample stage is provided with a temperature control means having a cooling function.
In a device such as a VD device or a sputtering device which needs to heat a wafer during processing, a temperature control means having a heating function is additionally provided. The wafer mounted on the sample mounting surface of the sample stage is held on the sample stage by a mechanical clamping device using a spring force or a load, an electrostatic suction device, a vacuum suction device, or the like.

【0061】その後、処理室内には、処理ガスが所定流
量で供給される。処理室内に供給された処理ガスの一部
は、作動している真空ポンプにより処理室外へ排気さ
れ、これにより処理室内の圧力は、ウェハの処理圧力に
調節される。
Thereafter, a processing gas is supplied at a predetermined flow rate into the processing chamber. A part of the processing gas supplied into the processing chamber is exhausted out of the processing chamber by the operating vacuum pump, whereby the pressure in the processing chamber is adjusted to the processing pressure of the wafer.

【0062】このような状態で、処理室内の処理ガスは
放電によりプラズマ化される。試料台の試料載置面に載
置されたウェハの被処理面は、該プラズマにより処理さ
れる。該処理時にウェハの温度は、所定温度に制御され
る。
In such a state, the processing gas in the processing chamber is turned into plasma by electric discharge. The processed surface of the wafer mounted on the sample mounting surface of the sample stage is processed by the plasma. During the processing, the temperature of the wafer is controlled to a predetermined temperature.

【0063】ウェハの処理時に、内筒の温度は連続、ま
たは、随時モニターされる。該モニター温度は、予め設
定された所望温度と比較され、該比較結果に基づき内筒
の温度は所望温度に制御される。内筒の温度制御は、外
筒と内筒との隙間の伝熱ガスの圧力を調節するか、ヒー
タ発熱を調節して外筒の温度を調節することで実施され
る。尚、外筒と内筒との隙間の伝熱ガスの圧力調節は、
該隙間に供給される伝熱ガスの供給量または圧力を調節
することで実施される。
During the processing of the wafer, the temperature of the inner cylinder is monitored continuously or as needed. The monitor temperature is compared with a preset desired temperature, and the temperature of the inner cylinder is controlled to the desired temperature based on the comparison result. The temperature control of the inner cylinder is performed by adjusting the pressure of the heat transfer gas in the gap between the outer cylinder and the inner cylinder, or by adjusting the heat generated by the heater to adjust the temperature of the outer cylinder. In addition, the pressure adjustment of the heat transfer gas in the gap between the outer cylinder and the inner cylinder
This is performed by adjusting the supply amount or pressure of the heat transfer gas supplied to the gap.

【0064】一般に、複数個のウェハが1個毎連続して
処理される。この場合、内筒の温度は、複数個のウェハ
の処理が完了するまでウェハ1個の処理時にモーターさ
れ、そして、所望温度に制御される。例えば、内筒の温
度モニターに不都合が生じた場合や内筒の温度を所望温
度に制御し得なくなった場合、ウェハの処理特性を安定
に維持出来なくなったと判断され、ウェハの処理は中断
される。そして、該中断時に問題の解決対策が実施され
る。その後、引続き複数個のウェハの処理が再開され
る。
Generally, a plurality of wafers are continuously processed one by one. In this case, the temperature of the inner cylinder is motorized during processing of one wafer until processing of a plurality of wafers is completed, and is controlled to a desired temperature. For example, when a problem occurs in the temperature monitoring of the inner cylinder or when the temperature of the inner cylinder cannot be controlled to a desired temperature, it is determined that the processing characteristics of the wafer cannot be stably maintained, and the processing of the wafer is interrupted. . Then, at the time of the interruption, a solution to the problem is implemented. Thereafter, the processing of the plurality of wafers is resumed.

【0065】内筒の温度モニターの不都合や内筒の温度
を所望温度に制御し得なくなるといったことは、制御装
置を介し何等かの警報を発することでオペレータに伝え
られる。これによりオペレータは、復旧対策を講じウェ
ハの処理を再開させる。尚、内筒の温度制御に係る要因
をモニターしておくことで、ウェハ処理の中断に至まで
の来歴をチェックすることができ、その原因の究明、復
旧対策を的確・早期に実施することができる。
The inconvenience of monitoring the temperature of the inner cylinder and the inability to control the temperature of the inner cylinder to a desired temperature are communicated to the operator by issuing some kind of alarm via the control device. As a result, the operator takes recovery measures and restarts the processing of the wafer. By monitoring the factors related to the temperature control of the inner cylinder, it is possible to check the history up to the interruption of the wafer processing, and to investigate the cause and take corrective measures promptly and early. it can.

【0066】また、処理室内は、クリーニング処理され
る。該処理は、内筒表面等の処理室内面や試料台等の処
理室内に配置されている内部品面を拭き取るか、クリー
ニング用ガスのプラズマを利用して実施される。また、
該処理は、ウェハの処理前や、複数のウェハの処理途中
や、ウェハの処理完了後に実施される。
The inside of the processing chamber is cleaned. The processing is performed by wiping the inner surface of the processing chamber such as the inner cylinder surface or the inner component surface disposed in the processing chamber such as the sample stage, or by using plasma of a cleaning gas. Also,
The processing is performed before processing the wafer, during the processing of a plurality of wafers, or after the processing of the wafer is completed.

【0067】拭き取ってクリーニング処理する場合、該
処理終了後であってウェハの処理開始前に内筒の温度を
所望温度に制御し得るか否かがチェックされる。また、
プラズマを利用してクリーニング処理する場合、該処理
中または該処理終了後であってウェハの処理開始前に内
筒の温度を所望温度に制御し得るか否かがチェックされ
る。
In the case of performing the cleaning process by wiping, it is checked whether or not the temperature of the inner cylinder can be controlled to a desired temperature after the completion of the process and before the start of the processing of the wafer. Also,
In the case of performing the cleaning process using the plasma, it is checked whether or not the temperature of the inner cylinder can be controlled to a desired temperature during the process or after the process and before starting the processing of the wafer.

【0068】更に、処理室内では、慣らし放電(シーズ
ニング)処理が実施される。該処理は、その日のウェハ
処理開始前や、クリーニング処理終了後であってウェハ
の処理開始前に実施される。この場合、慣らし放電処理
中に内筒の温度を所望温度に制御し得るか否かをチェッ
クするようにしても良い。
Further, a break-in discharge (seasoning) process is performed in the processing chamber. This processing is performed before the start of the wafer processing of the day or after the end of the cleaning processing and before the processing of the wafer. In this case, whether or not the temperature of the inner cylinder can be controlled to a desired temperature during the break-in discharge processing may be checked.

【0069】プラズマ処理特性を経時的に安定させるた
めには、ウェハの処理条件に応じた温度に内筒の温度を
制御する必要が有る。ここで、ウェハの処理条件とし
て、被処理膜質、処理ガス種、放電条件、放電タイプ等
が挙げられる。
In order to stabilize the plasma processing characteristics over time, it is necessary to control the temperature of the inner cylinder to a temperature according to the processing conditions of the wafer. Here, the processing conditions for the wafer include the quality of the film to be processed, the type of processing gas, the discharge conditions, the discharge type, and the like.

【0070】そこで、ウェハの処理条件が上位制御装置
またはオペレータにより処理装置の制御装置に入力され
る。該制御装置には、ウェハ処理条件に応じた内筒の温
度が予め入力されている。制御装置では、入力されたウ
ェハ処理条件に応じた内筒の温度が制御温度として選択
・設定される。一方、検出・モニターされた内筒の温度
は、制御装置に入力される。該検出・モニター温度は、
制御温度と比較演算され、該結果に基づき内筒の温度は
制御温度に制御される。
Then, the processing conditions of the wafer are input to the control device of the processing device by the host control device or the operator. The temperature of the inner cylinder according to the wafer processing conditions is input to the control device in advance. In the control device, the temperature of the inner cylinder according to the input wafer processing conditions is selected and set as the control temperature. On the other hand, the detected and monitored temperature of the inner cylinder is input to the control device. The detection / monitor temperature is
The control temperature is compared with the control temperature, and the temperature of the inner cylinder is controlled to the control temperature based on the result.

【0071】更に、例えば、ウェハが多層膜構造である
場合、それぞれの膜の膜質、処理ガス種、放電条件等に
応じた温度に内筒の温度を制御するようにすれば、プラ
ズマ処理特性を木目細かく経時的に安定させることがで
きる。
Further, for example, when the wafer has a multilayer film structure, the plasma processing characteristics can be improved by controlling the temperature of the inner cylinder to a temperature corresponding to the film quality of each film, the type of processing gas, the discharge conditions, and the like. The wood can be finely stabilized over time.

【0072】慣らし放電(シーズニング)処理後の1ロ
ッド処理中にウェハの処理性能が変化する場合には、処
理性能を一定化するために、内筒の温度を所望の温度パ
ターンに沿って変化させると良い。
If the processing performance of the wafer changes during one rod processing after the break-in (seasoning) processing, the temperature of the inner cylinder is changed along a desired temperature pattern in order to stabilize the processing performance. And good.

【0073】これまでは、チャンバの内筒の温調を対象
に述べて来たが、試料台の周辺に設置される試料台カバ
ーの温調に対しても同様に適用できる。
The temperature control of the inner cylinder of the chamber has been described so far, but the present invention can be similarly applied to the temperature control of a sample stage cover installed around the sample stage.

【0074】図14に本発明を適用した試料台部分の実
施例の断面図を示す。試料台10中は温調用液体が循環
し、所望の温度に保持されている試料台の表面には絶縁
物が塗布されており、処理室に放電が生じている状態で
静電チャック用直流電源54により、試料11を静電力
により試料台10に引きつける。試料11と試料台10
間には熱伝導を良くするために伝熱用ガス(例えばHe
ガス等)を導入する。試料台10の上部周囲には試料台
カバー(アルミナ等の絶縁物やSiC等の抵抗体)が設
置され、金属製の試料台10をプラズマにさらした時問
題となる金属類の放出を阻止している。ところで試料台
カバー表面50には、プラズマ中のイオン・ラジカル等
が衝突するため温度が上昇する。試料周辺の試料台カバ
ー50の温度が変動すると、試料周辺における科学・物
理反応が変化し、試料の処理特性を変化させる欠点があ
った。そこで試料台10と試料台カバー51との間にガ
ス封止手段51(例えば0リング等)を設け、その間に
伝熱ガスを導入する。圧力制御等については内筒の場合
と同様である。図14では、試料冷却用伝熱ガスと試料
台カバー冷却用伝熱ガスとを併用しているが、別々に供
給しても良いことはもちろんである。
FIG. 14 is a sectional view of an embodiment of a sample stage to which the present invention is applied. A temperature control liquid circulates through the sample stage 10, an insulator is applied to the surface of the sample stage maintained at a desired temperature, and a DC power supply for electrostatic chucking is performed in a state where discharge occurs in the processing chamber. With 54, the sample 11 is attracted to the sample stage 10 by electrostatic force. Sample 11 and sample stage 10
Between them, a heat transfer gas (for example, He
Gas, etc.). A sample stage cover (an insulator such as alumina or a resistor such as SiC) is provided around the upper portion of the sample stage 10 to prevent the release of metals that may cause a problem when the metal sample stage 10 is exposed to plasma. ing. By the way, the temperature rises because the ions and radicals in the plasma collide with the sample stage cover surface 50. When the temperature of the sample stage cover 50 around the sample fluctuates, the scientific and physical reactions around the sample change, and there is a disadvantage that the processing characteristics of the sample change. Therefore, a gas sealing means 51 (for example, an O-ring) is provided between the sample stage 10 and the sample stage cover 51, and a heat transfer gas is introduced therebetween. The pressure control and the like are the same as in the case of the inner cylinder. In FIG. 14, the heat transfer gas for cooling the sample and the heat transfer gas for cooling the sample stage cover are used in combination, but it goes without saying that they may be supplied separately.

【0075】[0075]

【発明の効果】本発明によれば、プラズマに直接接触す
る内筒の温度を制御することが可能となり、プラズマ処
理の経時的な特性変化の制御が可能となる。
According to the present invention, it is possible to control the temperature of the inner cylinder which is in direct contact with the plasma, and it is possible to control the change over time in the characteristics of the plasma processing.

【0076】また、処理室を構成する非磁性でかつ導電
性の金属材料がプラズマにより削られたり変質したりし
て重金属汚染源となることを防止すると共に、処理室の
壁面が処理室内で使用される反応ガスにより化学的腐食
するおそれの無い状態で、安定したプラズマ処理特性を
有するプラズマ処理装置及び方法を提供することができ
る。
Further, it is possible to prevent the non-magnetic and conductive metal material constituting the processing chamber from being scraped or deteriorated by the plasma to become a heavy metal contamination source, and to use the wall surface of the processing chamber in the processing chamber. It is possible to provide a plasma processing apparatus and method having stable plasma processing characteristics in a state where there is no possibility of chemical corrosion by a reaction gas.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例になるマイクロ波プラズマ処
理装置の一部を縦断面した正面図である。
FIG. 1 is a front view of a longitudinal section of a part of a microwave plasma processing apparatus according to an embodiment of the present invention.

【図2】図1の内筒の温度制御部を示す要部拡大図であ
る。
FIG. 2 is an enlarged view of a main part showing a temperature control unit of the inner cylinder of FIG. 1;

【図3】図1の温度コントローラの機能を示す図であ
る。
FIG. 3 is a diagram showing functions of a temperature controller of FIG. 1;

【図4】温度制御における隙間の圧力Pと温度差の関係
を示す図である。
FIG. 4 is a diagram showing a relationship between a pressure P in a gap and a temperature difference in temperature control.

【図5】本発明の第2の実施例のマイクロ波プラズマ処
理装置の縦断面図である。
FIG. 5 is a longitudinal sectional view of a microwave plasma processing apparatus according to a second embodiment of the present invention.

【図6】図5のプラズマ処理装置の要部横断面図であ
る。
FIG. 6 is a cross-sectional view of a main part of the plasma processing apparatus of FIG. 5;

【図7】本発明の第3の実施例になる、平行板プラズマ
エッチング装置の縦断面図である。
FIG. 7 is a longitudinal sectional view of a parallel plate plasma etching apparatus according to a third embodiment of the present invention.

【図8】本発明を、マグネトロンRIE装置に適用した
例の縦断面図である。
FIG. 8 is a longitudinal sectional view of an example in which the present invention is applied to a magnetron RIE device.

【図9】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、無磁場タイプの装置に
適用した例の縦断面図である。
FIG. 9 is a longitudinal sectional view of an example in which the present invention is applied to an inductively-coupled discharge system and a non-magnetic field type device among external energy supply discharge systems.

【図10】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
FIG. 10 is a longitudinal sectional view of an example in which the present invention is applied to an apparatus of an inductively coupled discharge type and a magnetic field type among external energy supply discharge types.

【図11】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
FIG. 11 is a longitudinal sectional view of an example in which the present invention is applied to a device having an inductively coupled discharge method and a magnetic field type among external energy supply discharge methods.

【図12】本発明の装置で処理される試料の一例として
の、レジスト付酸化膜試料の縦断面図である。
FIG. 12 is a longitudinal sectional view of a resist-coated oxide film sample as an example of a sample processed by the apparatus of the present invention.

【図13】ウェハ処理枚数と内筒の温度との関係を示す
図である。
FIG. 13 is a diagram showing a relationship between the number of processed wafers and the temperature of the inner cylinder.

【図14】本発明を適用する処理装置の試料台カバー部
の実施例の断面図である。
FIG. 14 is a sectional view of an embodiment of a sample stage cover of the processing apparatus to which the present invention is applied.

【符号の説明】[Explanation of symbols]

1…マグネトロン、2…導波管、3…石英板、4…処理
室、5…外筒、6…内筒、7…第一のソレノイドコイ
ル、8…第二のソレノイドコイル、9…真空室、10…
試料台、11…ウェハ、13…処理ガス供給系、14…
隙間、15…ガス供給系、17…圧力制御弁、18…圧
力検出器、20…制御回路、21…ヒータ、22…温度
コントローラ22
DESCRIPTION OF SYMBOLS 1 ... Magnetron, 2 ... Waveguide, 3 ... Quartz plate, 4 ... Processing chamber, 5 ... Outer cylinder, 6 ... Inner cylinder, 7 ... First solenoid coil, 8 ... Second solenoid coil, 9 ... Vacuum chamber , 10 ...
Sample stage, 11 wafer, 13 processing gas supply system, 14
Gaps, 15: gas supply system, 17: pressure control valve, 18: pressure detector, 20: control circuit, 21: heater, 22: temperature controller 22

───────────────────────────────────────────────────── フロントページの続き (72)発明者 岡村 浩一 山口県下松市大字東豊井794番地 株式会 社日立製作所笠戸工場内 (72)発明者 濱崎 良二 山口県下松市大字東豊井794番地 株式会 社日立製作所笠戸工場内 (72)発明者 伊東 哲 山口県下松市大字東豊井794番地 株式会 社日立製作所笠戸工場内 ──────────────────────────────────────────────────の Continuing on the front page (72) Inventor Koichi Okamura 794, Higashi-Toyoi, Kazamatsu, Kudamatsu City, Yamaguchi Prefecture Inside the Kasado Plant of Hitachi, Ltd. Inside the Kasado Plant of Hitachi, Ltd.

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】外部エネルギ供給放電方式のプラズマ発生
装置と、処理室と、該処理室内に処理ガスを供給する装
置と、前記処理室内で試料を保持する試料台と、前記処
理室内を減圧排気する装置とを備えたプラズマ処理装置
において、 前記処理室が、減圧に耐える外筒と、該外筒の内側に隙
間を介して配置された内筒と、該内筒の温度を、前記外
筒を介して所望温度に保持する温度制御保持手段とを備
え、 前記内筒を非磁性材料で形成したことを特徴とするプラ
ズマ処理装置。
1. A plasma generator of an external energy supply discharge system, a processing chamber, a device for supplying a processing gas into the processing chamber, a sample stage for holding a sample in the processing chamber, and a reduced pressure exhaust in the processing chamber. A plasma processing apparatus comprising: an outer cylinder that withstands reduced pressure; an inner cylinder disposed inside the outer cylinder via a gap; and a temperature of the inner cylinder. And a temperature control holding means for holding the temperature at a desired temperature via a non-magnetic material.
【請求項2】前記プラズマ発生装置の放電方式が、誘導
放電、有磁場放電、マイクロ波放電から選ばれることを
特徴とする請求項1記載のプラズマ処理装置。
2. The plasma processing apparatus according to claim 1, wherein a discharge method of said plasma generator is selected from an induction discharge, a magnetic field discharge, and a microwave discharge.
【請求項3】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置より成るプラズマ処
理装置において、 前記処理室が、減圧に耐える外筒と、該外筒の内側に隙
間を介して配置された内筒と、前記内筒の温度を検出す
る手段とを有し、前記外筒に温度制御手段を設け、前記
隙間に前記外筒と前記内筒間の熱伝達手段を設けたこと
を特徴とするプラズマ処理装置。
3. A plasma processing apparatus comprising: a plasma generator; a processing chamber capable of reducing pressure; a processing gas supply device for supplying a gas to the processing chamber; a sample table for holding a sample; The chamber has an outer cylinder that withstands pressure reduction, an inner cylinder disposed inside the outer cylinder via a gap, and a unit for detecting a temperature of the inner cylinder, and a temperature control unit is provided in the outer cylinder. And a heat transfer means provided between the outer cylinder and the inner cylinder in the gap.
【請求項4】プラズマ発生装置と、減圧可能な処理室と
処理室にガスを供給する処理ガス供給装置と、試料を保
持する試料台と、真空排気装置より成るプラズマ処理装
置において、試料台に隙間を介して配置された試料台カ
バーを備え、該試料台に温度制御手段を設け、前記隙間
に前記試料台と前記試料台カバーとの間に熱伝達手段を
設けたことを特徴とするプラズマ処理装置。
4. A plasma processing apparatus comprising: a plasma generating apparatus; a processing chamber capable of reducing pressure; a processing gas supply apparatus for supplying gas to the processing chamber; a sample table for holding a sample; A plasma, comprising: a sample stage cover disposed via a gap; a temperature control unit provided in the sample stage; and a heat transfer unit provided between the sample stage and the sample stage cover in the gap. Processing equipment.
【請求項5】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置を備え、前記処理室
が、減圧に耐える外筒と、該外筒の内側に隙間を介して
配置された内筒とを有し、前記外筒に温度制御手段を設
け、前記隙間に前記外筒と前記内筒間の熱伝達手段を設
けたプラズマ処理装置により、試料をプラズマ処理する
プラズマ処理方法であって、 前記内筒の温度を所定の範囲に保持しながら、前記試料
に対してプラズマ処理を行うことを特徴とするプラズマ
処理方法。
5. A plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply device for supplying gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device, wherein the processing chamber has a reduced pressure. And an inner cylinder disposed inside the outer cylinder with a gap therebetween, wherein the outer cylinder is provided with temperature control means, and heat transfer between the outer cylinder and the inner cylinder is provided in the gap. A plasma processing method for performing plasma processing on a sample by a plasma processing apparatus provided with means, wherein the plasma processing is performed on the sample while maintaining the temperature of the inner cylinder within a predetermined range. Processing method.
【請求項6】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置を備え、前記処理室
が、減圧に耐える外筒と、該外筒の内側に隙間を介して
配置された内筒とを有し、前記外筒に温度制御手段を設
け、前記隙間に前記外筒と前記内筒間の熱伝達手段を設
けたプラズマ処理装置により、試料をプラズマ処理する
プラズマ処理方法であって、 前記外筒として非磁性材料を用い、前記内筒として重金
属を含まない非磁性材料を用い、 前記内筒の温度を0℃〜350℃の範囲の任意の温度に
ほぼ保ちながら前記試料に対してプラズマ処理を行うこ
とを特徴とするプラズマ処理方法。
6. A plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply device for supplying a gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device, wherein the processing chamber has a reduced pressure. And an inner cylinder disposed inside the outer cylinder with a gap therebetween, wherein the outer cylinder is provided with temperature control means, and heat transfer between the outer cylinder and the inner cylinder is provided in the gap. A plasma processing method for performing plasma processing on a sample by a plasma processing apparatus provided with a means, wherein a non-magnetic material is used as the outer cylinder, a non-magnetic material containing no heavy metal is used as the inner cylinder, and a temperature of the inner cylinder is used. A plasma processing method for performing plasma processing on the sample while maintaining the temperature substantially at an arbitrary temperature in the range of 0 ° C. to 350 ° C.
【請求項7】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置を備え、前記処理室
が、減圧に耐える外筒と、該外筒の内側に隙間を介して
配置された内筒とを有し、前記外筒に温度制御手段を設
け、前記隙間に前記外筒と前記内筒間の熱伝達手段を設
けたプラズマ処理装置により、試料をプラズマ処理する
プラズマ処理方法であって、 前記内筒として重金属を含まない非磁性材料を用い、前
記内筒の温度を所定の範囲に保持しながら、前記試料に
対してプラズマ処理を行ない、 プラズマによって前記内筒の表面が所定量削られたと
き、前記内筒を新たな内筒と交換することを特徴とする
プラズマ処理方法。
7. A plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply device for supplying a gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device, wherein the processing chamber has a reduced pressure. And an inner cylinder disposed inside the outer cylinder with a gap therebetween, a temperature control means provided in the outer cylinder, and heat transfer between the outer cylinder and the inner cylinder in the gap. A plasma processing method for performing plasma processing on a sample by a plasma processing apparatus provided with a means, wherein a non-magnetic material containing no heavy metal is used as the inner cylinder, and the temperature of the inner cylinder is maintained within a predetermined range, A plasma processing method comprising: performing plasma processing on a sample; and replacing the inner cylinder with a new inner cylinder when a predetermined amount of the surface of the inner cylinder is shaved by plasma.
【請求項8】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置を備え、前記処理室
が、減圧に耐える外筒と、該外筒の内側に隙間を介して
配置された内筒と、前記内筒の温度を検出する手段とを
有し、前記外筒に温度制御手段を設け、前記隙間に前記
外筒と前記内筒間の熱伝達手段を設けたプラズマ処理装
置により、前記内筒の温度を所定の範囲に保持しなが
ら、試料をプラズマ処理するプラズマ処理方法であっ
て、 試料処理前、または試料処理中、または試料処理前から
試料処理中に前記内筒の温度を監視し、所定温度範囲を
越えたときは、試料処理を行わないことを特徴とするプ
ラズマ処理方法。
8. A plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply device for supplying gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device, wherein the processing chamber has a reduced pressure. An outer cylinder that withstands, an inner cylinder disposed inside the outer cylinder via a gap, and a unit for detecting a temperature of the inner cylinder, wherein a temperature control unit is provided on the outer cylinder, and the gap is provided in the gap. A plasma processing method for performing plasma processing on a sample while maintaining the temperature of the inner cylinder in a predetermined range by a plasma processing apparatus provided with a heat transfer unit between the outer cylinder and the inner cylinder. Alternatively, during the sample processing or during the sample processing before the sample processing, the temperature of the inner cylinder is monitored, and when the temperature exceeds a predetermined temperature range, the sample processing is not performed.
【請求項9】プラズマ発生装置と、減圧可能な処理室
と、処理室にガスを供給する処理ガス供給装置と、試料
を保持する試料台と、真空排気装置を備え、前記処理室
が、減圧に耐える外筒と、該外筒の内側とを有したプラ
ズマ処理装置により、試料をプラズマ処理するプラズマ
処理方法であって、 前記内筒の温度を所定の範囲に保持することにより、プ
ラズマ処理される前記試料のプラズマ処理特性の経時的
変動を抑制することを特徴とするプラズマ処理方法。
9. A plasma generating apparatus, a processing chamber capable of reducing pressure, a processing gas supply apparatus for supplying a gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device, wherein the processing chamber has a reduced pressure. A plasma processing method for performing plasma processing on a sample by using a plasma processing apparatus having an outer cylinder that withstands and an inner side of the outer cylinder, wherein the plasma processing is performed by maintaining the temperature of the inner cylinder in a predetermined range. A plasma processing characteristic of the sample, the variation with time of the plasma processing characteristics being suppressed.
【請求項10】磁場とマイクロ波を用いたプラズマ発生
装置と、減圧可能な処理室と、処理室にガスを供給する
処理ガス供給装置と、試料を保持する試料台と、真空排
気装置を備え、前記処理室が、減圧に耐える外筒と、該
外筒の内側に非磁性材料の内筒とを有したプラズマ処理
装置により、試料をプラズマ処理するプラズマ処理方法
であって、 前記内筒の温度を所定の範囲に保持することにより、プ
ラズマ処理される前記試料のプラズマ処理特性の経時的
変動を抑制することを特徴とするプラズマ処理方法。
10. A plasma generating apparatus using a magnetic field and a microwave, a processing chamber capable of reducing pressure, a processing gas supply device for supplying a gas to the processing chamber, a sample table for holding a sample, and a vacuum exhaust device. A plasma processing method for performing plasma processing on a sample by using a plasma processing apparatus in which the processing chamber has an outer cylinder that withstands reduced pressure and an inner cylinder of a nonmagnetic material inside the outer cylinder; A plasma processing method, comprising: maintaining a temperature within a predetermined range to suppress a temporal change in plasma processing characteristics of the sample to be subjected to plasma processing.
【請求項11】エッチング処理用のガスをプラズマ化す
る工程と、 処理室内の試料を、前記プラズマによりエッチング処理
する工程と、 前記処理室内壁の温度を、前記試料の異なる材料のエッ
チング速度がそれぞれ安定化する温度に保存する工程と
を有することを特徴とするプラズマ処理方法。
11. A process for converting a gas for an etching process into a plasma, a process for etching a sample in a processing chamber by the plasma, and a process for controlling a temperature of an inner wall of the processing chamber and an etching rate of a material different from the sample. Storing at a stabilizing temperature.
【請求項12】複数枚の前記試料を1枚ごとエッチング
処理するに際し、前記処理室内壁の温度を、前記試料の
異なる材料のエッチング速度がそれぞれ安定化する温度
に保持することを特徴とする請求項11記載のプラズマ
処理方法。
12. When etching a plurality of samples one by one, the temperature of the inner wall of the processing chamber is maintained at a temperature at which the etching rates of different materials of the samples are stabilized. Item 12. The plasma processing method according to Item 11.
【請求項13】前記処理室内壁の温度を、前記試料の酸
化膜とレジストのエッチング速度が、それぞれ安定化す
る温度に保持することを特徴とする請求項11または1
2記載のプラズマ処理方法。
13. The method according to claim 11, wherein the temperature of the inner wall of the processing chamber is maintained at a temperature at which the etching rates of the oxide film and the resist of the sample are stabilized.
3. The plasma processing method according to 2.
【請求項14】前記処理室内壁の温度を、前記試料の酸
化膜とレジストのうち、前記処理室内壁の温度によりエ
ッチング速度が変化するレジストのエッチング速度が安
定化する温度に少なくとも保持することを特徴とする請
求項13記載のプラズマ処理方法。
14. The method according to claim 14, wherein the temperature of the inner wall of the processing chamber is maintained at least at a temperature at which the etching rate of the resist, of which the etching rate changes depending on the temperature of the inner wall of the processing chamber, is stabilized. 14. The plasma processing method according to claim 13, wherein:
JP25824499A 1999-09-13 1999-09-13 Plasma processing equipment Expired - Lifetime JP3534660B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25824499A JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25824499A JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP05747295A Division JP3257328B2 (en) 1995-03-16 1995-03-16 Plasma processing apparatus and plasma processing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004021217A Division JP4105641B2 (en) 2004-01-29 2004-01-29 Plasma processing apparatus and sample processing method

Publications (2)

Publication Number Publication Date
JP2000138208A true JP2000138208A (en) 2000-05-16
JP3534660B2 JP3534660B2 (en) 2004-06-07

Family

ID=17317540

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25824499A Expired - Lifetime JP3534660B2 (en) 1999-09-13 1999-09-13 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP3534660B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021130864A (en) * 2020-02-21 2021-09-09 東京エレクトロン株式会社 Plasma treatment apparatus and member temperature determining method
CN114615786A (en) * 2022-01-28 2022-06-10 北京控制工程研究所 Magnetic response magnetic plasma power thruster cathode and preparation method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021130864A (en) * 2020-02-21 2021-09-09 東京エレクトロン株式会社 Plasma treatment apparatus and member temperature determining method
JP7437965B2 (en) 2020-02-21 2024-02-26 東京エレクトロン株式会社 Plasma processing equipment and member temperature determination method
CN114615786A (en) * 2022-01-28 2022-06-10 北京控制工程研究所 Magnetic response magnetic plasma power thruster cathode and preparation method thereof

Also Published As

Publication number Publication date
JP3534660B2 (en) 2004-06-07

Similar Documents

Publication Publication Date Title
JP3257328B2 (en) Plasma processing apparatus and plasma processing method
JP3411539B2 (en) Plasma processing apparatus and plasma processing method
US5895586A (en) Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
JP3243740B2 (en) Hot wall reactive ion etching with temperature control for process stability
JP3689732B2 (en) Monitoring device for plasma processing equipment
US6890861B1 (en) Semiconductor processing equipment having improved particle performance
KR101941064B1 (en) Plasma processing method
JP4554815B2 (en) Contamination control method and plasma processing chamber
JP2007324154A (en) Plasma treating apparatus
JP3660582B2 (en) Plasma etching processing equipment
JP3534716B2 (en) Plasma processing method
JP3534660B2 (en) Plasma processing equipment
JP2005150606A (en) Plasma treatment apparatus
JP3218917B2 (en) Plasma processing apparatus and plasma processing method
JP4105641B2 (en) Plasma processing apparatus and sample processing method
JP2015141956A (en) Plasma processing device, and plasma processing method
JP2008034885A (en) Plasma processor and plasma processing method
JP3077516B2 (en) Plasma processing equipment
JPH1088372A (en) Surface treating device and surface treating method
JP4690837B2 (en) Temperature control method and temperature control apparatus for sample mounting electrode
JP3699416B2 (en) Plasma processing equipment
JPH08321492A (en) Method and equipment for plasma treatment
JP2021197378A (en) Etching method and substrate processing device
JP2022118626A (en) Processing container, plasma processing apparatus, and manufacturing method of processing container
JPH07273091A (en) Plasma process apparatus

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20031202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040129

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040309

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090319

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090319

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100319

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120319

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 9