JP3517180B2 - Coating and development processing system - Google Patents

Coating and development processing system

Info

Publication number
JP3517180B2
JP3517180B2 JP2000135993A JP2000135993A JP3517180B2 JP 3517180 B2 JP3517180 B2 JP 3517180B2 JP 2000135993 A JP2000135993 A JP 2000135993A JP 2000135993 A JP2000135993 A JP 2000135993A JP 3517180 B2 JP3517180 B2 JP 3517180B2
Authority
JP
Japan
Prior art keywords
substrate
path
wafer
pressure
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000135993A
Other languages
Japanese (ja)
Other versions
JP2001319857A (en
Inventor
雄二 松山
淳一 北野
高広 北野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000135993A priority Critical patent/JP3517180B2/en
Priority to TW090110636A priority patent/TW594835B/en
Priority to US09/850,032 priority patent/US6585430B2/en
Priority to KR1020010024831A priority patent/KR100646515B1/en
Priority to SG200102686A priority patent/SG94813A1/en
Priority to CNB011220457A priority patent/CN1237402C/en
Publication of JP2001319857A publication Critical patent/JP2001319857A/en
Application granted granted Critical
Publication of JP3517180B2 publication Critical patent/JP3517180B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は,基板の塗布現像処
理システムに関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate coating and developing treatment system.

【0002】[0002]

【従来の技術】例えば半導体デバイスの製造プロセスに
おけるフォトリソグラフィー工程では,ウェハ表面にレ
ジスト膜を形成するレジスト塗布処理,ウェハにパター
ンを照射して露光する露光処理,露光後のウェハに対し
て現像を行う現像処理,塗布処理前,露光処理前後及び
現像処理後にする加熱処理,冷却処理等が行われる。こ
れらの処理は,個別に設けられた各処理装置において行
われ,これらの各処理装置は,前記一連の処理を連続し
て行えるように一つに集約され,塗布現像処理システム
を構成している。
2. Description of the Related Art For example, in a photolithography process in a semiconductor device manufacturing process, a resist coating process for forming a resist film on a wafer surface, an exposure process for irradiating a wafer with a pattern for exposure, and a development process for the exposed wafer. The development processing, the coating processing, the heating processing before and after the exposure processing, and the heating processing and the cooling processing performed after the development processing are performed. These processes are carried out by the respective processing devices provided individually, and these respective processing devices are integrated into one so that the series of processes can be carried out continuously, and constitute a coating and developing processing system. .

【0003】通常,前記塗布現像処理システムは,この
塗布現像処理システム内に基板を搬入出するローダ・ア
ンローダ部と,塗布処理装置,現像処理装置,熱処理装
置等を有し,前記ウェハ処理の大半が行われる処理部
と,ウェハの露光処理が行われるシステム外にある露光
処理装置に隣接して設けられ,前記処理部と前記露光処
理装置間でウェハの受け渡しを行うインタフェイス部と
で構成されている。
Usually, the coating / development processing system has a loader / unloader section for loading / unloading a substrate into / from the coating / development processing system, a coating treatment apparatus, a development treatment apparatus, a heat treatment apparatus, etc. And an interface section that is provided adjacent to an exposure processing apparatus outside the system that performs wafer exposure processing and that transfers wafers between the processing section and the exposure processing apparatus. ing.

【0004】そして,この塗布現像処理システムにおい
てウェハの処理が行われる際には,ウェハに微粒子等の
不純物が付着することを防止するために,前記塗布現像
処理システム内には,空気清浄機等で清浄にされた空気
がダウンフローとして供給され,その一方で,塗布現像
処理システム内の雰囲気を排気するようにして,ウェハ
を清浄な状態で処理できるようにしていた。
When a wafer is processed in this coating and developing treatment system, in order to prevent impurities such as fine particles from adhering to the wafer, an air cleaner or the like is provided in the coating and developing treatment system. The air cleaned in 1. is supplied as a downflow, while the atmosphere in the coating and developing system is exhausted so that the wafer can be processed in a clean state.

【0005】[0005]

【発明が解決しようとする課題】しかしながら,近年,
より細かく,より精密な回路パターンを形成するため
に,より短い波長の光を用いた露光技術が開発されつつ
あり,その短い波長の光を用いた場合には,今まで問題
とならなかった分子レベルの不純物,例えば,酸素,オ
ゾン,水蒸気,水分,有機物等の不純物が露光処理に悪
影響を与え,精密な回路パターンが形成されないことが
懸念される。
[Problems to be Solved by the Invention] However, in recent years,
In order to form a finer and more precise circuit pattern, an exposure technique using shorter wavelength light is being developed, and when the shorter wavelength light is used, there has been no problem until now. It is feared that impurities of a level such as oxygen, ozone, water vapor, water, organic substances, etc. may adversely affect the exposure process and a precise circuit pattern may not be formed.

【0006】したがって,少なくともウェハが露光処理
される際には,ウェハ上に酸素等の不純物が付着してな
いようにする必要があるが,従来のような清浄な空気の
供給では,その空気内に酸素等の不純物が含まれている
ため,ウェハ上に不純物が付着することを抑制できない
し,ウェハ上に付着してしまった不純物を除去すること
もできない。
Therefore, it is necessary to prevent impurities such as oxygen from adhering to the wafer at least when the wafer is subjected to exposure processing. Since impurities such as oxygen are included in the above, it is not possible to prevent the impurities from adhering to the wafer, and it is also impossible to remove the impurities adhering to the wafer.

【0007】本発明は,かかる点に鑑みてなされたもの
であり,ウェハ等の基板に付着した酸素等の分子レベル
の不純物を除去できる塗布現像処理システムを提供する
ことをその目的とする。
The present invention has been made in view of the above circumstances, and an object thereof is to provide a coating and developing treatment system capable of removing molecular level impurities such as oxygen adhering to a substrate such as a wafer.

【0008】[0008]

【課題を解決するための手段】請求項1の発明によれ
ば,少なくとも基板に塗布膜を形成する塗布処理装置
と,前記基板の現像を行う現像処理装置と,前記基板の
熱処理を行う熱処理装置と,これらの塗布処理装置,現
像処理装置及び熱処理装置に対して前記基板の搬入出を
行う第1の搬送装置とを有する処理部と,少なくとも前
記処理部と前記基板の露光処理を行う露光処理装置との
間の経路で基板の搬送を行う第2の搬送装置を有するイ
ンタフェイス部とを有する塗布現像処理システムであっ
て,前記インタフェイス部と前記露光処理装置との間に
接続され,気密に閉鎖可能な受け渡し部と,前記受け渡
し部内を所定の設定圧力に減圧する減圧装置とを有する
ことを特徴とする塗布現像処理システムが提供される。
According to the invention of claim 1, at least a coating treatment apparatus for forming a coating film on a substrate, a development treatment apparatus for developing the substrate, and a heat treatment apparatus for heat treating the substrate. And a processing unit having a first transfer device for loading and unloading the substrate with respect to the coating processing device, the development processing device, and the thermal processing device, and an exposure process for performing at least the processing unit and the exposure process of the substrate. A coating / development processing system having an interface section having a second transfer device for transferring a substrate along a path between the apparatus and the apparatus, wherein the coating and development processing system is connected between the interface section and the exposure processing apparatus and is airtight. There is provided a coating and developing treatment system comprising: a delivery unit that can be closed; and a decompression device that decompresses the inside of the delivery unit to a predetermined set pressure.

【0009】このように,前記インタフェイス部と露光
処理装置との間に接続され,気密に閉鎖可能な前記受け
渡し部と,その受け渡し部を減圧する前記減圧装置とを
設けることにより,露光処理前に基板は前記受け渡し部
内を通過し,その際に受け渡し部内を減圧させることが
できる。そして,受け渡し部内が減圧されると,それに
よって,基板表面に付着していた不純物を離脱させ,こ
れを除去することができる。したがって,露光処理前の
基板から酸素等の分子レベルの不純物を除去することが
でき,短い波長,例えば157nm以下の波長の光を用
いて露光処理された場合でも,不純物に邪魔されずその
露光処理が好適に行われる。また,処理液中の溶剤も同
時に蒸発させることができ,従来,加熱によって行われ
ていたこのような処理を同時に実施することができる。
As described above, by providing the transfer section which is connected between the interface section and the exposure processing apparatus and can be airtightly closed, and the depressurizing apparatus which depressurizes the transfer section, before the exposure processing. Further, the substrate passes through the inside of the transfer section, and at that time, the inside of the transfer section can be depressurized. Then, when the pressure in the transfer section is reduced, the impurities adhering to the surface of the substrate can be separated and removed. Therefore, it is possible to remove molecular level impurities such as oxygen from the substrate before the exposure processing, and even when the exposure processing is performed using light having a short wavelength, for example, a wavelength of 157 nm or less, the exposure processing is not disturbed by the impurities. Is preferably performed. Also, the solvent in the treatment liquid can be evaporated at the same time, and such treatment conventionally performed by heating can be simultaneously performed.

【0010】かかる請求項1の発明において,請求項2
のように前記受け渡し部は,前記インタフェイス部から
前記露光処理装置に基板が搬送されるときに通過する第
1の経路と,前記露光処理装置から前記インタフェイス
部に基板が搬送される際に通過する第2の経路とを有
し,前記第1の経路と前記第2の経路は,各経路毎に所
定の設定圧力に減圧可能に構成されるようにしてもよ
い。
According to the invention of claim 1, claim 2
As described above, the transfer unit has a first path through which the substrate is transferred from the interface unit to the exposure processing apparatus, and a substrate that is transferred from the exposure processing apparatus to the interface unit. There may be a second path that passes through, and the first path and the second path may be configured to be depressurizable to a predetermined set pressure for each path.

【0011】このように,受け渡し部内の経路を独立し
て2つ設けることにより,露光処理前に基板は第1の経
路を通過し,露光処理後の基板は第2の経路を通過する
ようにして,基板の処理がスムーズに行われる。また,
各経路毎に所定の圧力を設定可能にすることにより,例
えば第1の経路内の圧力を比較的高い減圧度に設定し,
第2の経路内の圧力を比較的低い減圧度に設定できるた
め,露光処理前に通過する第1の経路内では,基板上に
付着した不純物が除去され,露光処理後に通過する第2
の経路内では,新しく基板上に不純物が付着しない程度
に第2の経路内を清浄な状態にすることができる。ま
た,各経路毎に所定の圧力に設定することができるた
め,各経路毎に必要とされる最も減圧度の低い圧力に設
定することができる。例えば,前記第1の経路内の圧力
を基板上に付着した不純物を除去できる最も減圧度の低
い圧力に設定し,前記第2の経路内の圧力をこの第2の
経路内の雰囲気が露光処理装置内に流入しない程度の圧
力に設定できる。一般的に減圧度を高くすると,その分
気密性が要求され,外気との圧力差から装置の強度等も
必要になることから,必要以上に減圧することは設計
上,コスト上好ましくなく,できる限り減圧度を抑える
ことは重要である。また,第1の経路内を所定の圧力ま
で減圧させることにより,処理液中の溶剤も同時に蒸発
させることができ,従来,処理部で加熱によって行われ
ていたこのような処理を同時に実施することができる。
In this way, by providing two independent paths in the transfer unit, the substrate passes through the first path before the exposure processing and the substrate after the exposure processing passes through the second path. As a result, the substrate can be processed smoothly. Also,
By making it possible to set a predetermined pressure for each path, for example, the pressure in the first path is set to a relatively high degree of pressure reduction,
Since the pressure in the second path can be set to a relatively low degree of pressure reduction, the impurities adhering to the substrate are removed in the first path that passes before the exposure processing, and the second path that passes after the exposure processing is removed.
In the route (2), the inside of the second route can be cleaned to the extent that impurities are not newly attached to the substrate. Further, since it is possible to set a predetermined pressure for each path, it is possible to set the pressure that has the lowest degree of pressure reduction required for each path. For example, the pressure in the first path is set to a pressure with the lowest degree of pressure reduction capable of removing impurities adhering to the substrate, and the pressure in the second path is exposed by the atmosphere in the second path. The pressure can be set so that it does not flow into the device. Generally, when the degree of decompression is increased, airtightness is required, and the strength of the device is also required due to the pressure difference with the outside air. Therefore, decompressing more than necessary is not preferable in terms of design and cost, and can be done. It is important to control the degree of pressure reduction as long as possible. Further, by reducing the pressure in the first path to a predetermined pressure, the solvent in the treatment liquid can be evaporated at the same time, and such treatment conventionally performed by heating in the treatment section can be simultaneously performed. You can

【0012】かかる請求項2の発明において,請求項3
のように前記第1の経路内の圧力が,前記露光処理装置
内の圧力よりも低く設定されるようにしてもよい。この
ように,第1の経路内の圧力を露光処理装置内の圧力よ
りも低く設定することにより,前記第1の経路内の雰囲
気が前記露光処理装置内に流入することが抑制できる。
したがって,露光処理するにあたり厳格な雰囲気が要求
される露光処理装置が,清浄な雰囲気に維持され,露光
処理が好適に行われる。
According to the invention of claim 2, claim 3
As described above, the pressure in the first path may be set lower than the pressure in the exposure processing apparatus. In this way, by setting the pressure in the first path lower than the pressure in the exposure processing apparatus, it is possible to suppress the atmosphere in the first path from flowing into the exposure processing apparatus.
Therefore, the exposure processing apparatus, which requires a strict atmosphere for the exposure processing, is maintained in a clean atmosphere, and the exposure processing is appropriately performed.

【0013】また,請求項4のように,前記第2の経路
内の圧力が前記露光処理装置内の圧力よりも低く設定さ
れるようにしてもよい。このように,第2の経路内の圧
力を露光処理装置内の圧力よりも低くすることにより,
請求項3と同様にして,露光処理装置内の雰囲気が清浄
な状態に維持され,基板の露光処理が好適に行われる。
Further, as in claim 4, the pressure in the second path may be set lower than the pressure in the exposure processing apparatus. In this way, by making the pressure in the second path lower than the pressure in the exposure processing apparatus,
Similarly to the third aspect, the atmosphere in the exposure processing apparatus is maintained in a clean state, and the exposure processing of the substrate is suitably performed.

【0014】以上に記載した請求項2〜4の各塗布現像
処理システムにおいて,請求項5のように前記受け渡し
部の第1の経路には,基板を載置する載置部と,前記載
置部と前記露光処理装置に対して基板の搬入出を行う第
3の搬送装置とが設けられており,前記第2の搬送装置
は,少なくとも前記載置部に前記基板を搬送可能に構成
されるようにしてもよい。
In each of the coating and developing treatment systems according to claims 2 to 4 described above, as in claim 5, in the first path of the transfer section, a mounting section for mounting a substrate and the above-mentioned mounting section. Unit and a third transfer device for loading and unloading the substrate with respect to the exposure processing apparatus are provided, and the second transfer device is configured to be able to transfer the substrate to at least the placement unit. You may do it.

【0015】このように,第1の経路内に前記載置部と
第3の搬送装置を設け,更に第2の搬送装置を前記載置
部に対して基板を搬送可能にすることにより,インタフ
ェイス部内の基板を第2の搬送装置によって第1の経路
内の前記載置部に搬送し,その載置部の基板を第3の搬
送装置によって前記露光処理装置まで搬送することがで
きるので,インタフェイス部から露光装置までの基板の
搬送が好適に行われる。また,第3の搬送装置を第1の
経路内に設けることにより,第3の搬送装置に付着した
不純物も除去することができ,搬送装置の汚れによって
基板が汚染されることが防止される。なお,上述した第
1の経路内を減圧し,基板上から不純物を除去する処理
は,基板が前記載置部に載置されているときに行われて
もよいし,第1の経路内の他の位置,例えば第3の搬送
装置に搬送されているときに行われてもよい。
As described above, by providing the placing section and the third transfer device in the first path, and further enabling the substrate to be transferred to the placing section by the second transfer apparatus, the interface can be improved. Since the substrate in the face portion can be transferred to the above-described mounting portion in the first path by the second transfer device, and the substrate on the mounting portion can be transferred to the exposure processing device by the third transfer device, The substrate is preferably transported from the interface section to the exposure device. Further, by providing the third transfer device in the first path, it is possible to remove impurities attached to the third transfer device and prevent the substrate from being contaminated due to the contamination of the transfer device. Note that the above-described process of depressurizing the inside of the first path and removing impurities from the substrate may be performed when the substrate is placed on the placing part, or inside the first path. It may be performed at another position, for example, while being transported to the third transport device.

【0016】かかる請求項5の発明において,請求項6
のように前記載置部には,基板を前記載置部に載置し保
持する保持手段が設けられるようにしてもよい。このよ
うに,前記載置部に保持手段を設けることにより,前記
載置部に基板を保持し,基板を動かないようにして第1
の経路内を減圧することができる。したがって,第1の
経路内を減圧して基板から不純物を除去する際に,その
負圧により基板が浮き上がることが防止され,不純物の
除去処理を好適に行うことができる。
According to the invention of claim 5, claim 6
As described above, the placement unit may be provided with a holding unit that places and holds the substrate on the placement unit. As described above, by providing the holding means in the above-mentioned placing portion, the substrate is held in the above-mentioned placing portion, and the substrate is prevented from moving.
It is possible to reduce the pressure in the path. Therefore, when the pressure in the first path is reduced to remove the impurities from the substrate, the negative pressure prevents the substrate from floating, and the impurity removal process can be suitably performed.

【0017】上述した請求項2〜4の発明において,請
求項7のように前記第1の経路内には,この第1の経路
の圧力よりも低い圧力に調節可能な高減圧室が設けられ
るようにしてもよい。このように,第1の経路内に前記
高減圧室を設けることにより,上述した基板上の不純物
の除去処理を前記高減圧室内において,より高いレベル
で行うことができる。また,この高減圧室を用いて処理
液中の溶剤を蒸発させる処理も行うことができる。ま
た,基板上の不純物を全て除去するためには比較的高い
減圧度が必要となり,さらに処理液中の溶剤の蒸発処理
にとっては高減圧であることが好ましいが,例えば露光
処理装置内の圧力が常圧に近い場合には,不純物の除去
に必要な圧力と露光処理内の圧力との差が大きくなるた
め,基板を露光処理装置内に搬入する際に,露光処理装
置から第1の経路内に向けて激しい気流が発生すること
が予想される。そこで,例えば露光処理装置と接する第
1の経路内の圧力を比較的低い減圧度に抑え,その第1
の経路内に,別途不純物を強力に除去できる高減圧室を
設けることにより,圧力が段階的に高くなっていくた
め,前記気流の発生を抑制することができる。なお,高
減圧室内には,減圧の際の負圧によって基板が浮き上が
らないように基板を保持する保持手段が設けられること
が好ましい。
In the inventions of claims 2 to 4 described above, as in claim 7, a high decompression chamber capable of adjusting a pressure lower than the pressure of the first path is provided in the first path. You may do it. In this way, by providing the high decompression chamber in the first path, the above-described impurity removal process on the substrate can be performed at a higher level in the high decompression chamber. In addition, the process of evaporating the solvent in the processing liquid can also be performed using the high decompression chamber. Further, a relatively high degree of pressure reduction is required to remove all impurities on the substrate, and high pressure reduction is preferable for the evaporation process of the solvent in the processing liquid. When the pressure is close to the normal pressure, the difference between the pressure required for removing impurities and the pressure in the exposure processing becomes large. Therefore, when the substrate is loaded into the exposure processing apparatus, the pressure in the first path from the exposure processing apparatus is increased. It is expected that a violent air flow will be generated toward the. Therefore, for example, the pressure in the first path in contact with the exposure processing apparatus is suppressed to a relatively low degree of pressure reduction, and the first
By separately providing a high decompression chamber capable of strongly removing impurities in the path, the pressure gradually increases, so that the generation of the air flow can be suppressed. It is preferable that a holding means for holding the substrate is provided in the high decompression chamber so that the substrate does not float up due to the negative pressure during decompression.

【0018】かかる請求項7の発明において,請求項8
のように前記第2の搬送装置が,少なくとも前記高減圧
室に対して前記基板を搬出可能に構成されており,前記
受け渡し部の第1の経路には,前記高減圧室と前記露光
処理装置に対して基板の搬入出を行う第3の搬送装置が
設けられるようにしてもよい。このように,第1の経路
内に第3の搬送装置を設け,前記第2の搬送装置を前記
高減圧室に基板を搬出可能にすることにより,インタフ
ェイス部内の基板を第2の搬送装置によって第1の経路
内の前記高減圧室に搬送し,その高減圧室の基板を第3
の搬送装置によって前記露光処理装置まで搬送すること
ができるので,インタフェイス部から露光装置までの基
板の搬送が好適に行われる。また,第3の搬送装置を第
1の経路内に設けることにより,第3の搬送装置に付着
した不純物も除去することができ,搬送装置の汚れによ
って基板が汚染されることが防止される。
According to the invention of claim 7, claim 8
As described above, the second transfer device is configured to be able to carry out the substrate to at least the high decompression chamber, and the high decompression chamber and the exposure processing device are provided in the first path of the transfer section. A third transfer device for loading and unloading the substrate may be provided. Thus, by providing the third transfer device in the first path and allowing the second transfer device to carry the substrate out to the high decompression chamber, the substrate in the interface section is transferred to the second transfer device. Is transferred to the high decompression chamber in the first path by means of a third substrate
Since the transfer device can transfer the substrate to the exposure processing device, the transfer of the substrate from the interface portion to the exposure device is preferably performed. Further, by providing the third transfer device in the first path, it is possible to remove impurities attached to the third transfer device and prevent the substrate from being contaminated due to the contamination of the transfer device.

【0019】上述した請求項5,6又は8の発明におい
て,請求項9のように前記受け渡し部の第2の経路に
は,基板を載置する載置台と,前記載置台と前記露光処
理装置に対して基板の搬入出を行う第4の搬送装置とが
設けられており,前記第2の搬送装置は,少なくとも前
記載置台から前記基板を搬出可能に構成されるようにし
てもよい。このように第2の経路内に前記載置台と第4
の搬送装置とを設け,前記第2の搬送装置を前記載置台
から基板を搬出可能にすることにより,露光処理が終了
した基板を前記第4の搬送装置によって前記載置台まで
搬送し,前記載置台の基板を前記第2の搬送装置によっ
てインタフェイス部内に搬送することができるので,第
2の経路における基板の搬送が好適に行われる。
In the above-mentioned invention of claim 5, 6 or 8, as in claim 9, in the second path of the transfer section, a mounting table for mounting a substrate, the mounting table, and the exposure processing apparatus. A fourth transfer device for loading and unloading the substrate may be provided, and the second transfer device may be configured to be able to load and unload the substrate from at least the mounting table. As described above, the mounting table and the fourth mounting table are provided in the second path.
The transfer device is provided, and the second transfer device allows the substrate to be unloaded from the mounting table, so that the substrate after the exposure processing is transferred to the mounting table by the fourth transfer device. Since the substrate on the mounting table can be transported into the interface portion by the second transport device, the substrate can be suitably transported in the second path.

【0020】[0020]

【発明の実施の形態】以下,本発明の好ましい実施の形
態について説明する。図1は,本実施の形態にかかる塗
布現像処理システム1の平面図であり,図2は,塗布現
像処理システム1の正面図であり,図3は,塗布現像処
理システム1の背面図である。
BEST MODE FOR CARRYING OUT THE INVENTION Preferred embodiments of the present invention will be described below. FIG. 1 is a plan view of a coating and developing treatment system 1 according to the present embodiment, FIG. 2 is a front view of the coating and developing treatment system 1, and FIG. 3 is a rear view of the coating and developing treatment system 1. .

【0021】塗布現像処理システム1は,図1に示すよ
うに,例えば25枚のウェハWをカセット単位で外部か
ら塗布現像処理システム1に対して搬入出したり,カセ
ットCに対してウェハWを搬入出したりするカセットス
テーション2と,塗布現像処理工程において枚葉式に所
定の処理をウェハWに施す各種処理装置を多段に配置し
ている処理部としての処理ステーション3と,この処理
ステーション3と隣接して設けられ,前記処理ステーシ
ョン3と塗布現像処理システム1外に設けらている露光
処理装置6との間でウェハWを搬送する際の経路の一部
を担うインタフェイス部4と,このインタフェイス部4
と露光処理装置6との間に設けられ,インタフェイス部
4と露光処理装置6との間のウェハWの受け渡しを行う
受け渡し部5とを一体に接続した構成を有している。
As shown in FIG. 1, the coating / development processing system 1 carries in / out 25 wafers W to / from the coating / development processing system 1 from the outside in cassette units or carries the wafer W into / from a cassette C, for example. A cassette station 2 for loading and unloading, a processing station 3 as a processing unit in which various processing devices for performing a predetermined process on the wafer W in a single-wafer process in a coating and developing process are arranged in multiple stages, and adjacent to the processing station 3. An interface section 4 which is provided as a part of a path for transporting a wafer W between the processing station 3 and an exposure processing apparatus 6 provided outside the coating and developing processing system 1; Face part 4
And the exposure processing apparatus 6 are provided between the interface section 4 and the exposure processing apparatus 6, and the transfer section 5 that transfers the wafer W is integrally connected.

【0022】カセットステーション2では,カセット載
置台7上の所定の位置に,複数のカセットCをX方向
(図1中の上下方向)に一列に載置自在となっている。
そして,このカセット配列方向(X方向)とカセットC
に収容されたウェハWのウェハ配列方向(Z方向;鉛直
方向)に対して移送可能なウェハ搬送体8が搬送路9に
沿って移動自在に設けられており,各カセットCに対し
て選択的にアクセスできるようになっている。
In the cassette station 2, a plurality of cassettes C can be placed in a line at a predetermined position on the cassette mounting table 7 in the X direction (vertical direction in FIG. 1).
And this cassette arrangement direction (X direction) and cassette C
A wafer carrier 8 that can be moved in the wafer arrangement direction (Z direction; vertical direction) of the wafers W accommodated in is provided movably along a carrier path 9 and is selective to each cassette C. You can access to.

【0023】ウェハ搬送体8は,ウェハWの位置合わせ
を行うアライメント機能を備えている。このウェハ搬送
体8は後述するように処理ステーション3側の第3の処
理装置群G3に属するエクステンション装置32及びア
ドヒージョン装置31に対してもアクセスできるように
構成されている。
The wafer carrier 8 has an alignment function for aligning the wafer W. The wafer carrier 8 is configured to be accessible to the extension device 32 and the adhesion device 31 belonging to the third processing device group G3 on the processing station 3 side as described later.

【0024】処理ステーション3では,その中心部に第
1の搬送装置としての主搬送装置13が設けられてお
り,この主搬送装置13の周辺には各種処理装置が多段
に配置されて処理装置群を構成している。該塗布現像処
理システム1においては,4つの処理装置群G1,G2,G
3,G4が配置されており,第1及び第2の処理装置群G
1,G2は現像処理システム1の正面側に配置され,第3
の処理装置群G3は,カセットステーション2に隣接して
配置され,第4の処理装置群G4は,インタフェイス部4
に隣接して配置されている。さらにオプションとして破
線で示した第5の処理装置群G5を背面側に別途配置可能
となっている。前記主搬送装置13は,これらの処理装
置群G1,G3,G4,G5に配置されている後述する
各種処理装置に対して,ウェハWを搬入出可能である。
The processing station 3 is provided with a main transfer device 13 as a first transfer device at the center thereof, and various processing devices are arranged in multiple stages around the main transfer device 13 to form a processing device group. Are configured. In the coating and developing treatment system 1, four treatment device groups G1, G2, G
3 and G4 are arranged, and the first and second processing unit groups G
1, G2 are arranged on the front side of the development processing system 1, and the third
The third processing unit group G3 is disposed adjacent to the cassette station 2, and the fourth processing unit group G4 is connected to the interface unit 4
Is located adjacent to. Further, as an option, a fifth processing unit group G5 indicated by a broken line can be separately arranged on the back side. The main transfer device 13 can carry the wafer W into and out of various processing devices, which will be described later, arranged in the processing device groups G1, G3, G4, and G5.

【0025】第1の処理装置群G1では,例えば図2に示
すように,ウェハWにレジスト液を塗布するレジスト塗
布装置17と,露光処理後のウェハWを現像処理する現
像処理装置18とが下から順に2段に配置されている。
第2の処理装置群G2の場合も同様に,レジスト塗布装置
19と,現像処理装置20とが下から順に2段に積み重
ねられている。
In the first processing unit group G1, for example, as shown in FIG. 2, a resist coating unit 17 for coating a resist solution on the wafer W and a developing unit 18 for developing the wafer W after the exposure processing are provided. They are arranged in two stages in order from the bottom.
Similarly, in the case of the second processing device group G2, the resist coating device 19 and the developing processing device 20 are stacked in two stages in order from the bottom.

【0026】第3の処理装置群G3では,例えば図3に示
すように,ウェハWを冷却処理するクーリング装置3
0,レジスト液とウェハWとの定着性を高めるためのア
ドヒージョン装置31,ウェハWを一旦待機させるため
のエクステンション装置32,現像処理後のウェハWを
冷却するクーリング装置33,34及び現像処理後のウ
ェハWに加熱処理を施すポストベーキング装置35,3
6等が下から順に例えば7段に重ねられている。
In the third processing unit group G3, for example, as shown in FIG. 3, a cooling unit 3 for cooling the wafer W is used.
0, an adhesion device 31 for enhancing the fixability of the resist solution and the wafer W, an extension device 32 for temporarily holding the wafer W on standby, cooling devices 33, 34 for cooling the wafer W after development processing, and after the development processing Post-baking device 35, 3 for heating the wafer W
6 and the like are stacked in order from the bottom, for example, in 7 stages.

【0027】第4の処理装置群G4では,例えばクーリン
グ装置40,露光処理前後のウェハWを載置し,一旦待
機させるためのエクステンション装置41,42,露光
処理後のウェハWを加熱し,その後所定温度に冷却する
加熱・冷却処理装置43,44,45(図3中のPEB
/COL),レジスト液中の溶剤を蒸発させるために加
熱し,その後所定の温度に冷却する加熱・冷却処理装置
46,47(図3中のPRE/COL)等が下から順に
例えば8段に積み重ねられている。
In the fourth processing unit group G4, for example, the cooling unit 40, the wafers W before and after the exposure process are placed, the extension units 41 and 42 for temporarily holding the wafer W, and the wafer W after the exposure process are heated, and thereafter. Heating / cooling processing devices 43, 44, 45 for cooling to a predetermined temperature (PEB in FIG. 3
/ COL), heating / cooling processing devices 46 and 47 (PRE / COL in FIG. 3) for heating to evaporate the solvent in the resist solution and then cooling to a predetermined temperature, for example, in 8 stages from the bottom. Are stacked.

【0028】前記加熱・冷却処理装置43は,図4に示
すように,そのケーシング43a内の基台50上に基板
を加熱するための円盤状の熱板51と,その熱板51上
まで移動し,熱板51上からウェハWを受け取って冷却
する冷却板52を有している。そして,同じ装置内でウ
ェハWの加熱・冷却処理を連続して行い,加熱によって
ウェハWに与える熱履歴を常に一定に保つことができる
ようになっている。なお,他の加熱・冷却装置44〜4
7も同じ構成を有している。
As shown in FIG. 4, the heating / cooling processing device 43 moves to a disk-shaped heat plate 51 for heating a substrate on a base 50 in its casing 43a, and to the heat plate 51. In addition, the cooling plate 52 that receives the wafer W from the heating plate 51 and cools it is provided. The heating / cooling process of the wafer W is continuously performed in the same apparatus so that the heat history given to the wafer W by heating can be always kept constant. Other heating / cooling devices 44-4
7 also has the same configuration.

【0029】インタフェイス部4には,図1に示すよう
に,その中央部に第2の搬送装置としてのウェハ搬送体
55が設けられている。このウェハ搬送体55はX方向
(図1中の上下方向),Z方向(垂直方向)の移動とθ
方向(Z軸を中心とする回転方向)の回転が自在にでき
るように構成されており,第4の処理装置群G4に属する
エクステンション装置41,42,周辺露光装置56及
び受け渡し部5に対してアクセスして,各々に対してウ
ェハWを搬送できるように構成されている。
As shown in FIG. 1, the interface section 4 is provided with a wafer carrier 55 as a second carrier in the center thereof. The wafer carrier 55 moves in the X direction (vertical direction in FIG. 1), Z direction (vertical direction), and θ.
It is configured so that it can freely rotate in the direction (rotational direction around the Z axis), and with respect to the extension devices 41 and 42, the peripheral exposure device 56, and the transfer unit 5 belonging to the fourth processing device group G4. The wafer W can be accessed and transferred to each of them.

【0030】受け渡し部5は,トンネル状でその断面が
方形であり,気密に閉鎖可能なケーシング5aによって
囲まれており,受け渡し部5内を減圧し易いように構成
されている。また,受け渡し部5は,インタフェイス部
4から露光処理装置6にウェハWが搬送される際に通過
する第1の経路60と,露光処理装置6からインタフェ
イス部4にウェハWが搬送される際に通過する第2の経
路61とを有している。
The transfer section 5 has a tunnel shape and a rectangular cross section, is surrounded by a casing 5a which can be airtightly closed, and is constructed so as to easily depressurize the inside of the transfer section 5. The transfer unit 5 transfers the wafer W from the interface unit 4 to the exposure processing apparatus 6 through the first path 60, and transfers the wafer W from the exposure processing apparatus 6 to the interface unit 4. And a second path 61 that passes therethrough.

【0031】第1の経路60と第2の経路61との間に
は,各経路毎に気密性が保たれるように仕切板62が設
けられている。また,図5,図6に示すように第1の経
路60と第2の経路61の上部には,各経路内の雰囲気
を吸気するための吸気管65,66がそれぞれ設けられ
ており,それらの吸気管65,66は,それぞれ各経路
内の雰囲気を吸引し所定の設定圧力に減圧させる減圧装
置67及び68に連通されている。このように,各経路
は個別に仕切られ,個別に圧力設定できるようになって
おり,特に第1の経路60では,第1の経路60内の圧
力を減圧し,ウェハW上のレジスト膜に付着した酸素等
の不純物を除去することができる。なお,第1の経路6
0には,第1の経路内に不活性気体を供給する気体供給
手段69が設けられており,所定のタイミングで第1の
経路60内に不活性気体を供給し,第1の経路60内の
圧力を回復させることができる。また,この不活性気体
は,不純物とされる酸素,オゾン,水蒸気,有機物等を
含まないものであり,例えば窒素ガス,ネオンガス等が
用いられる。
A partition plate 62 is provided between the first path 60 and the second path 61 so that airtightness is maintained for each path. In addition, as shown in FIGS. 5 and 6, intake pipes 65 and 66 for inhaling the atmosphere in each path are provided above the first path 60 and the second path 61, respectively. The intake pipes 65, 66 are connected to depressurizing devices 67, 68 for sucking the atmosphere in each path and reducing the pressure to a predetermined set pressure. In this way, each path is individually partitioned and the pressure can be set individually. Particularly, in the first path 60, the pressure in the first path 60 is reduced to form the resist film on the wafer W. Impurities such as attached oxygen can be removed. The first route 6
At 0, a gas supply means 69 for supplying an inert gas into the first path 60 is provided, and the inert gas is supplied into the first path 60 at a predetermined timing. The pressure can be restored. The inert gas does not contain impurities such as oxygen, ozone, water vapor, organic matter, etc., for example, nitrogen gas, neon gas or the like is used.

【0032】第1の経路60内には,この第1の経路6
0内でウェハWを一時載置し,ウェハW上のレジスト膜
に付着した酸素等の不純物を除去する載置部70と,こ
の載置部70から露光処理装置6までウェハWを搬送す
る第3の搬送装置としてのウェハ搬送機構71とが設け
られている。
In the first route 60, the first route 6
The wafer W is temporarily placed in the wafer 0 and the wafer W is transferred from the wafer holder 70 to the exposure processing apparatus 6 and a wafer holder 70 for removing impurities such as oxygen adhering to the resist film on the wafer W. 3 and a wafer transfer mechanism 71 as a transfer device.

【0033】ここで,載置部70の構成について詳しく
説明する。載置部70には,図7に示すように,厚みの
ある円盤状の載置盤75が支持台76に支持されて設け
られている。この載置盤75には,ウェハWを吸引して
載置盤75に保持させるための保持手段としての複数の
吸引口77が設けられている。この吸引口77には,少
なくとも第1の経路60内の圧力よりも低い圧力でウェ
ハWを吸着させるための吸引装置78が連通されてお
り,減圧装置67の設定圧力に合わせてその吸引力を調
節し,第1の経路60内を減圧してもウェハWがその吸
引力によって浮き上がることを防止できるように構成さ
れている。
Here, the structure of the mounting portion 70 will be described in detail. As shown in FIG. 7, the mounting portion 70 is provided with a thick disk-shaped mounting board 75 supported by a support base 76. The mounting board 75 is provided with a plurality of suction ports 77 as holding means for sucking the wafer W and holding the wafer W on the mounting board 75. A suction device 78 for adsorbing the wafer W at a pressure lower than at least the pressure in the first path 60 is communicated with the suction port 77, and the suction force is adjusted according to the set pressure of the decompression device 67. The wafer W can be prevented from being lifted up by the suction force even if the wafer W is adjusted to reduce the pressure in the first path 60.

【0034】また,載置盤75には,載置盤75の温度
を調節可能な,例えばペルチェ素子等の温度調節手段8
0が設けられており,載置盤75を所定の温度に制御
し,載置盤75に載置されるウェハWの温度をウェハW
面内において均一に維持できるようになっている。な
お,載置盤75の下方には,載置盤75に設けられた貫
通孔82内を上下方向に移動自在である昇降ピン83が
設けられており,ウェハWを昇降させて,載置盤75上
に載置自在とし,ウェハ搬送体55及びウェハ搬送機構
71との間でウェハWの受け渡しができるように構成さ
れている。
Further, the mounting board 75 has a temperature adjusting means 8 such as a Peltier element capable of adjusting the temperature of the mounting board 75.
0 is provided, the mounting board 75 is controlled to a predetermined temperature, and the temperature of the wafer W mounted on the mounting board 75 is set to the wafer W.
It can be maintained uniformly in the plane. An elevating pin 83 that is vertically movable in a through hole 82 provided in the mounting board 75 is provided below the mounting board 75 to elevate and lower the wafer W. The wafer W can be placed on the wafer carrier 75, and the wafer W can be transferred between the wafer carrier 55 and the wafer carrier mechanism 71.

【0035】一方,第2の経路61内には,図1に示す
ように露光処理が終了したウェハWをインタフェイス部
4に搬送する際に一旦載置させておくための載置台90
と,露光処理装置6内のウェハWを前記載置台90まで
搬送するための第4の搬送装置としてのウェハ搬送機構
91とが設けられている。
On the other hand, in the second path 61, as shown in FIG. 1, a mounting table 90 for temporarily placing the wafer W, which has been subjected to the exposure processing, when the wafer W is transferred to the interface section 4.
And a wafer transfer mechanism 91 as a fourth transfer device for transferring the wafer W in the exposure processing apparatus 6 to the mounting table 90.

【0036】載置台90は,円盤状に形成されており,
その中心付近には,載置されるウェハWを昇降させる昇
降機構93が設けられている。そして,この昇降機構9
3によって,載置台90とウェハ搬送機構91又はウェ
ハ搬送体55との間でウェハWの受け渡しができるよう
になっている。
The mounting table 90 is formed in a disk shape,
An elevating mechanism 93 for elevating the wafer W to be placed is provided near the center thereof. And this lifting mechanism 9
3, the wafer W can be transferred between the mounting table 90 and the wafer transfer mechanism 91 or the wafer transfer body 55.

【0037】受け渡し部5のケーシング5aであってイ
ンタフェイス部4側の前記載置部70に対向する位置に
は,通過口95が設けられており,前記ウェハ搬送体5
5によって,インタフェイス部4から載置部70にウェ
ハWを搬送できるようになっている。また,この通過口
95には,通過口95を開閉自在とし,第1の経路60
内の気密性を維持するシャッタ96が設けられており,
ウェハWが通過口95を通過する場合にのみシャッタ9
6が開放され,それ以外の時はシャッタ96が閉じられ
るようになっている。
A passing port 95 is provided at a position of the casing 5a of the transfer section 5 facing the placing section 70 on the interface section 4 side.
5, the wafer W can be transferred from the interface section 4 to the mounting section 70. In addition, the passage opening 95 can be freely opened and closed, and the first passage 60
A shutter 96 for maintaining the airtightness inside is provided,
The shutter 9 is provided only when the wafer W passes through the passage opening 95.
6 is open, and at other times, the shutter 96 is closed.

【0038】また,ケーシング5aのインタフェイス部
4側の前記載置台90に対向する位置には,通過口97
が設けられており,前記ウェハ搬送体55により,載置
台90からインタフェイス部4内にウェハWを搬送でき
るようになっている。また,この通過口97には,通過
口97を開閉自在とし,第2の経路61内の気密性を維
持するシャッタ98が設けられており,ウェハWが通過
口97を通過する場合にのみシャッタ98が開放される
ようになっている。
Further, a passage opening 97 is provided at a position facing the mounting table 90 on the interface 4 side of the casing 5a.
Is provided, and the wafer W can be transferred from the mounting table 90 into the interface section 4 by the wafer transfer body 55. In addition, a shutter 98 is provided at the passage opening 97 so that the passage opening 97 can be opened and closed and the airtightness in the second path 61 is maintained. Only when the wafer W passes through the passage opening 97, the shutter 98 is provided. 98 is designed to be opened.

【0039】また,ウェハWの露光処理を行う露光処理
装置6は,図1に示すように受け渡し部5に隣接して設
けられている。この露光処理装置6は,その露光処理装
置6のケーシング6aにより密閉されており,露光処理
装置6内の雰囲気を厳格に制御できるように構成されて
いる。また,ケーシング6aの受け渡し部5側には,第
1の経路60からウェハWを搬入するための通過口10
0と,第2の経路61にウェハWを搬出するための通過
口101が設けられており,これらの各通過口100,
101には,それぞれ通過口100,101を開閉自在
とし,受け渡し部5内の気密性を維持するためのシャッ
タ102,103が設けられている。
An exposure processing device 6 for performing an exposure process on the wafer W is provided adjacent to the transfer section 5 as shown in FIG. The exposure processing apparatus 6 is hermetically sealed by a casing 6a of the exposure processing apparatus 6 so that the atmosphere inside the exposure processing apparatus 6 can be strictly controlled. In addition, a passing port 10 for loading the wafer W from the first path 60 is provided on the delivery section 5 side of the casing 6 a.
0, and a passage port 101 for carrying the wafer W out to the second path 61. Each of these passage ports 100,
101 is provided with shutters 102 and 103 for opening and closing the passage openings 100 and 101, respectively, and for maintaining the airtightness in the transfer section 5.

【0040】次に,以上のように構成された塗布現像処
理システム1で行われるフォトリソグラフィー工程のプ
ロセスを説明する。
Next, the process of the photolithography process performed in the coating and developing treatment system 1 configured as described above will be described.

【0041】先ず,ウェハWの処理が開始される前に,
減圧装置67,68によって受け渡し部5の各経路,す
なわち第1の経路60,第2の経路61内の圧力を露光
処理装置6内の圧力P2よりも低い圧力P1に調節す
る。そして,各経路内の雰囲気が露光処理装置6内に流
入しないようにし,以後,その状態を維持するようにす
る。
First, before the processing of the wafer W is started,
The pressure in each path of the transfer unit 5, that is, the first path 60 and the second path 61 is adjusted to a pressure P1 lower than the pressure P2 in the exposure processing apparatus 6 by the pressure reducing devices 67 and 68. Then, the atmosphere in each path is prevented from flowing into the exposure processing apparatus 6, and thereafter the state is maintained.

【0042】そして,ウェハWの処理が開始されると,
先ず,カセットステーション2において,ウェハ搬送体
7がカセットCから未処理のウェハWを1枚取りだし,
処理ステーション3のアドヒージョン装置31に搬入す
る。
Then, when the processing of the wafer W is started,
First, in the cassette station 2, the wafer carrier 7 takes out one unprocessed wafer W from the cassette C,
It is carried into the adhesion device 31 of the processing station 3.

【0043】次いで,アドヒージョン装置31におい
て,レジスト液との密着性を向上させるHMDSなどの密着
強化剤を塗布されたウェハWは,主搬送装置13によっ
て,クーリング装置30搬送され,所定の温度に冷却さ
れる。その後,ウェハWは,レジスト塗布装置17又は
19に搬送され,レジスト塗布処理が施される。そし
て,レジスト膜が形成されたウェハWは,加熱・冷却処
理装置46又47(図3中のPRE/COL)に搬送さ
れ,レジスト液中の溶剤を蒸発させるための加熱・冷却
処理が施される。このとき,加熱処理及び冷却処理を個
別に設けられた各装置で順次行うのではなく,加熱・冷
却処理装置46,47のように単一の装置内で加熱・冷
却処理を行うことにより,ウェハWが加熱処理されてか
ら冷却処理されるまでの時間を常に一定にすることがで
きるため,加熱によってウェハWに与えられる熱履歴を
ウェハW間において同一にすることができる。また,本
実施の形態では,レジスト塗布処理から現像処理までに
行われる全ての加熱,冷却処理を加熱・冷却装置43〜
47を用いて行うようにしたため,レジスト塗布から現
像処理までにかかる所要時間を全てのウェハWにおいて
同一にすることができる。
Next, in the adhesion device 31, the wafer W coated with the adhesion enhancer such as HMDS for improving the adhesion with the resist solution is transferred by the main transfer device 13 to the cooling device 30 and cooled to a predetermined temperature. To be done. After that, the wafer W is transferred to the resist coating device 17 or 19 and subjected to resist coating processing. Then, the wafer W on which the resist film is formed is transferred to the heating / cooling processing device 46 or 47 (PRE / COL in FIG. 3) and subjected to heating / cooling processing for evaporating the solvent in the resist liquid. It At this time, the heat treatment and the cooling treatment are not sequentially performed by the respective devices provided individually, but by performing the heat treatment and the cooling treatment in a single device such as the heat treatment and cooling treatment devices 46 and 47, Since the time from the heat treatment of W to the heat treatment of W can be made constant at all times, the thermal history given to the wafer W by heating can be made the same between the wafers W. Further, in this embodiment, all heating and cooling processes performed from the resist coating process to the developing process are performed by the heating / cooling device 43 to.
Since 47 is used, the time required from resist coating to development processing can be made the same for all wafers W.

【0044】その後,ウェハWがエクステンション装置
41に搬送され,ウェハ搬送体55によってエクステン
ション装置41からインタフェイス部4内の周辺露光処
理装置56に搬送される。そして,周辺露光装置56で
その周辺部が露光されたウェハWが再びウェハ搬送体5
5に保持され,通過口95から受け渡し部5の第1の経
路60内の載置部70に搬送される。このとき,シャッ
タ96が一時開放され,ウェハWが載置部70に搬送さ
れると,シャッタ96が再び閉じられ,第1の経路60
内の気密性が維持される。
Thereafter, the wafer W is transferred to the extension device 41, and is transferred from the extension device 41 to the peripheral exposure processing device 56 in the interface section 4 by the wafer transfer body 55. Then, the wafer W whose peripheral portion has been exposed by the peripheral exposure device 56 is transferred to the wafer carrier 5 again.
5 and is conveyed from the passage port 95 to the placement unit 70 in the first path 60 of the delivery unit 5. At this time, when the shutter 96 is temporarily opened and the wafer W is transferred to the mounting portion 70, the shutter 96 is closed again and the first path 60 is opened.
The airtightness inside is maintained.

【0045】そして,ウェハWが載置部70の昇降ピン
83に受け渡され,図7に示すように載置盤75上に載
置されると,吸引装置78が稼働し,ウェハWが載置盤
75上に吸着される。なお,このときの吸引装置78の
吸引力は,第1の経路60内が後述する圧力P3に減圧
されてもウェハWが動かないように設定される。また,
このときの載置盤75は,温度調節手段80により所定
温度,例えば23℃に維持されており,ウェハ面内の温
度の均一性が維持される。
Then, when the wafer W is transferred to the lift pins 83 of the mounting portion 70 and mounted on the mounting board 75 as shown in FIG. 7, the suction device 78 operates and the wafer W is mounted. It is adsorbed on the platen 75. The suction force of the suction device 78 at this time is set so that the wafer W does not move even if the inside of the first path 60 is reduced to a pressure P3 described later. Also,
At this time, the mounting board 75 is maintained at a predetermined temperature, for example, 23 ° C. by the temperature adjusting means 80, and the uniformity of the temperature within the wafer surface is maintained.

【0046】次いで,減圧装置67の設定圧力がP1よ
りも低い圧力P3に変更され,さらに強力に吸気管65
から第1の経路60内の雰囲気が吸引され始める。そし
て,図6に示すように第1の経路60内に気流が形成さ
れ,ウェハWに付着していた不純物がその気流と共に吸
気管65から排出される。なお,このときの減圧装置6
7の設定圧力P3は,ウェハW上に付着した不純物を除
去するために,800Pa以下であることが好ましい。
Next, the set pressure of the decompression device 67 is changed to a pressure P3 lower than P1, and the intake pipe 65 is further strengthened.
The atmosphere in the first path 60 starts to be sucked from. Then, as shown in FIG. 6, an air flow is formed in the first path 60, and the impurities attached to the wafer W are discharged from the intake pipe 65 together with the air flow. The decompression device 6 at this time
The set pressure P3 of 7 is preferably 800 Pa or less in order to remove impurities adhering to the wafer W.

【0047】その後,所定時間ウェハW上の不純物が除
去された後,減圧装置67の設定圧力が再びP1に変更
される。そして,気体供給手段69が稼働し,第1の経
路60内に不活性気体が供給され,第1の経路60内の
圧力がP1に回復される。
Then, after the impurities on the wafer W are removed for a predetermined time, the set pressure of the decompression device 67 is changed to P1 again. Then, the gas supply means 69 is operated, the inert gas is supplied into the first path 60, and the pressure in the first path 60 is restored to P1.

【0048】次いで,ウェハWの吸引口77による吸着
が解除され,ウェハWが昇降ピン83によって上昇さ
れ,ウェハ搬送機構71に受け渡される。そして,露光
処理装置6のケーシング6aのシャッタ102が開放さ
れると,露光処理装置6内にウェハWが搬入される。
Next, the suction of the wafer W by the suction port 77 is released, and the wafer W is lifted by the lift pins 83 and transferred to the wafer transfer mechanism 71. Then, when the shutter 102 of the casing 6 a of the exposure processing apparatus 6 is opened, the wafer W is loaded into the exposure processing apparatus 6.

【0049】その後,ウェハWは露光処理装置6におい
て所定のパターンが露光される。そして,露光が終了し
たウェハWは,第2の経路61内のウェハ搬送機構91
によって,露光処理装置6から通過口101を通って第
2の経路61内に搬出される。このとき,シャッタ10
3が一時的に開放され,ウェハWが通過すると再び閉じ
られ,第2の経路61内の気密性が維持される。
Thereafter, the wafer W is exposed to a predetermined pattern in the exposure processing device 6. Then, the exposed wafer W is transferred to the wafer transfer mechanism 91 in the second path 61.
Then, it is carried out of the exposure processing apparatus 6 into the second path 61 through the passage opening 101. At this time, the shutter 10
3 is temporarily opened, and when the wafer W passes, it is closed again, and the airtightness in the second path 61 is maintained.

【0050】そして,第2の経路61内に搬入されたウ
ェハWは,載置台90上まで移動され,載置台90の昇
降機構93に受け渡され,載置台90に一旦載置され
る。
The wafer W carried into the second path 61 is moved to the mounting table 90, transferred to the elevating mechanism 93 of the mounting table 90, and temporarily mounted on the mounting table 90.

【0051】その後,ウェハWはウェハ搬送体55によ
って,載置台90からシャッタ98の開放された通過口
97を通過し,インタフェイス部4内を通って,処理ス
テーション3内のエクステンション装置42に搬送され
る。そして,ウェハWは主搬送装置13によって,加熱
・冷却処理装置43,44又は45に搬送され,露光処
理後の加熱,冷却処理が順次施される。
Then, the wafer W is transferred from the mounting table 90 through the opening 97 of the shutter 98 by the wafer transfer body 55, and through the interface section 4 to the extension device 42 in the processing station 3. To be done. Then, the wafer W is transferred to the heating / cooling processing device 43, 44, or 45 by the main transfer device 13, and the heating / cooling processing after the exposure processing is sequentially performed.

【0052】その後,ウェハWは,現像処理装置18又
は20に搬送され,現像処理される。そして,現像処理
されたウェハWは,ポストベーキング装置35又は36
に搬送されて加熱され,その後クーリング装置33又は
34に搬送され,所定温度に冷却される。そして,第3
の処理装置群のエクステンション装置32に搬送され,
そこからウェハ搬送体7によって,カセットステーショ
ン2のカセットCに戻される。以上の工程により,一連
のフォトリソグラフィー工程が終了する。
After that, the wafer W is transferred to the developing processing device 18 or 20 and developed. Then, the developed wafer W is transferred to the post-baking device 35 or 36.
And then heated to the cooling device 33 or 34 and cooled to a predetermined temperature. And the third
Is transferred to the extension device 32 of the processing device group of
From there, it is returned to the cassette C of the cassette station 2 by the wafer carrier 7. With the above steps, a series of photolithography steps are completed.

【0053】以上の実施の形態によれば,インタフェイ
ス部4と露光処理装置6との間に気密に閉鎖可能な受け
渡し部5を設け,その受け渡し部5の第1の経路60内
の圧力を減圧する減圧装置67を設けたため,ウェハW
が露光処理される前に第1の経路60内を通過し,その
際に第1の経路60内を減圧させることができるため,
ウェハW上のレジスト膜に付着している酸素等の不純物
を除去することができる。
According to the above embodiment, the transfer section 5 which can be closed in an airtight manner is provided between the interface section 4 and the exposure processing apparatus 6, and the pressure in the first path 60 of the transfer section 5 is controlled. Since the decompression device 67 for decompressing is provided, the wafer W
Passes through the inside of the first path 60 before being exposed to light, and at that time, the inside of the first path 60 can be depressurized,
Impurities such as oxygen attached to the resist film on the wafer W can be removed.

【0054】また,受け渡し部5を分割して第1の経路
60と第2の経路61との2つの経路を設けたため,ウ
ェハWがインタフェイス部4から露光処理装置6に搬送
され,露光処理装置6から再びインタフェイス部4まで
戻されるまでの工程がスムーズに行われる。
Further, since the transfer section 5 is divided into two paths, that is, the first path 60 and the second path 61, the wafer W is transferred from the interface section 4 to the exposure processing apparatus 6 for exposure processing. The process from the device 6 back to the interface unit 4 is performed smoothly.

【0055】さらに,第1の経路60と第2の経路61
の各経路毎に減圧装置67,68を設けたため,第1の
経路60内の圧力をウェハW上の不純物が除去される圧
力P3にする一方で,第2の経路61内の圧力を露光処
理装置6内に第2の経路61内の雰囲気が流入しない圧
力P1にして,それぞれ異なった圧力に設定することが
できる。
Further, the first route 60 and the second route 61
Since the pressure reducing devices 67 and 68 are provided for each of the routes, the pressure in the first route 60 is set to the pressure P3 at which impurities on the wafer W are removed, while the pressure in the second route 61 is exposed. The pressure P1 at which the atmosphere in the second passage 61 does not flow into the device 6 can be set to different pressures.

【0056】また,受け渡し部5内の圧力P1を露光処
理装置6内の圧力P2よりも常に低く設定したため,受
け渡し部5内の雰囲気が,雰囲気が厳格に制御されてい
る露光処理装置6内に流入することが防止できる。
Since the pressure P1 in the transfer section 5 is always set lower than the pressure P2 in the exposure processing apparatus 6, the atmosphere in the transfer section 5 is set in the exposure processing apparatus 6 in which the atmosphere is strictly controlled. Inflow can be prevented.

【0057】第1に経路60内に載置部70を設け,そ
の載置部70に吸引口77を設けたため,ウェハWから
不純物を除去する際にウェハWを載置し,固定すること
ができる。したがって,第1の経路60内が減圧される
ときに,その負圧によりウェハWが浮き上がることが防
止できる。
First, since the mounting portion 70 is provided in the path 60 and the suction port 77 is provided in the mounting portion 70, when the impurities are removed from the wafer W, the wafer W can be mounted and fixed. it can. Therefore, when the inside of the first path 60 is decompressed, it is possible to prevent the wafer W from floating due to the negative pressure.

【0058】また,前記載置部70と露光処理装置6に
ウェハWを搬送できるウェハ搬送機構71を第1の経路
60内に設けたため,ウェハW上の不純物が除去される
際に,このウェハ搬送機構71に付着した不純物も除去
され,ウェハ搬送機構71を清浄な状態に維持すること
ができる。したがって,ウェハ搬送機構71に付着した
不純物によってウェハWが汚染されることが防止され
る。
Further, since the wafer transfer mechanism 71 capable of transferring the wafer W to the placing unit 70 and the exposure processing apparatus 6 is provided in the first path 60, the wafer W is removed when impurities on the wafer W are removed. Impurities attached to the transfer mechanism 71 are also removed, and the wafer transfer mechanism 71 can be maintained in a clean state. Therefore, the wafer W is prevented from being contaminated by the impurities attached to the wafer transfer mechanism 71.

【0059】以上の実施の形態では,ウェハWの保持手
段として,載置部70に吸引口77を設けたが,載置部
70に他の保持手段,例えば,静電チャック,いわゆる
メカニカルチャック等を用いるようにしてもよい。ま
た,その保持手段を設ける位置は,第1の経路60内の
載置台70以外の位置,例えばウェハ搬送機構71等に
設けるようにしてもよい。この場合,上述した不純物の
除去処理は,ウェハWが保持手段に保持されているとき
に行われるようにする。
In the above-mentioned embodiments, the suction port 77 is provided in the mounting portion 70 as the holding means for the wafer W, but other holding means such as an electrostatic chuck, a so-called mechanical chuck, or the like is mounted in the mounting portion 70. May be used. The holding means may be provided at a position other than the mounting table 70 in the first path 60, for example, at the wafer transfer mechanism 71 or the like. In this case, the above-described impurity removal processing is performed while the wafer W is held by the holding means.

【0060】さらに,加熱・冷却装置46,47で行う
レジスト液中の溶剤を蒸発させる処理を受け渡し部5の
第1の経路60内において行うようにしてもよい。この
場合,例えば,図8に示すように処理ステーション3の
第4の処理装置群G4に加熱・冷却装置46,47(P
RE/COL)の代わりに,露光後の加熱,冷却処理を
行う加熱・冷却装置105(PEB/COL),現像処
理後の加熱処理を行う加熱処理装置106を設け,第3
の処理装置群G3に露光後の加熱処理後のウェハWを冷
却処理する冷却処理装置107を加えるようにする。そ
して,ウェハWが第1の経路60内の載置部70に載置
された時に,第1の経路60内の圧力をレジスト液の溶
剤が蒸発する所定の圧力,例えば133Paまで減圧さ
せてレジスト液中の溶剤を蒸発させ,その時に上述した
不純物の除去処理を行うようにする。こうすることによ
り,前記受け渡し部5の圧力を所定の圧力に減圧するだ
けで溶剤蒸発処理と,不純物除去処理の両者を同時に行
うことができる。したがって,従来加熱・冷却装置4
6,47で行っていた処理を受け渡し部5の第1の経路
60で行うことができる。そして,溶剤蒸発処理のため
の装置の代わりに他の熱処理装置を増やすことができる
ため,処理ステーション3内の処理能力が向上する。な
お,他の熱処理装置を増やすことなく加熱・冷却装置4
6,47を省略した場合でも,熱処理装置の数を減少さ
せることができるので,処理ステーション3全体のコン
パクト化も図ることができる。
Further, the process of evaporating the solvent in the resist solution performed by the heating / cooling devices 46 and 47 may be performed in the first path 60 of the transfer section 5. In this case, for example, as shown in FIG. 8, the heating / cooling devices 46 and 47 (P
Instead of RE / COL), a heating / cooling device 105 (PEB / COL) for performing heating / cooling processing after exposure and a heating processing device 106 for performing heating processing after development processing are provided.
A cooling processing device 107 for cooling the wafer W after the heat treatment after exposure is added to the processing device group G3. Then, when the wafer W is mounted on the mounting portion 70 in the first path 60, the pressure in the first path 60 is reduced to a predetermined pressure at which the solvent of the resist solution evaporates, for example, 133 Pa. The solvent in the liquid is evaporated, and at that time, the above-mentioned treatment for removing impurities is performed. By doing so, both the solvent evaporation process and the impurity removal process can be performed at the same time only by reducing the pressure of the transfer section 5 to a predetermined pressure. Therefore, the conventional heating / cooling device 4
The processing performed in steps 6 and 47 can be performed in the first path 60 of the transfer unit 5. Further, since another heat treatment device can be added instead of the device for solvent evaporation treatment, the processing capacity in the processing station 3 is improved. It should be noted that the heating / cooling device 4 can be installed without increasing other heat treatment devices.
Even when 6 and 47 are omitted, the number of heat treatment devices can be reduced, and the processing station 3 as a whole can be made compact.

【0061】また,以上の実施の形態では,第1の経路
60内全体をP3まで減圧して不純物を除去していた
が,第1の経路60内に高減圧室を設けて,その高減圧
室内の圧力をP3まで減圧し,第1の経路60全体の圧
力はP3よりも高い圧力例えばP4にするようにしても
よい。以下,このような場合を第2の実施の形態として
説明する。
Further, in the above embodiment, the entire inside of the first passage 60 was depressurized to P3 to remove impurities, but a high decompression chamber is provided in the first passage 60, and the high depressurization chamber is provided. The pressure in the chamber may be reduced to P3, and the pressure of the entire first passage 60 may be set to a pressure higher than P3, for example, P4. Hereinafter, such a case will be described as a second embodiment.

【0062】第2の実施の形態における第1の経路11
2内には,例えば図9,図10に示すように,前記実施
の形態で記載した載置部70の代わりに,チャンバ内を
気密に閉鎖可能に構成されている高減圧室110が設け
られる。また,高減圧室110には,その高減圧室11
0内を減圧する減圧手段111が設けられており,高減
圧室100の雰囲気を高減圧室100上方から吸引して
減圧できるようになっている。また,第1の経路112
内には,高減圧室110と露光処理装置6にアクセスし
てウェハWを搬送可能にする第3の搬送装置としてのウ
ェハ搬送機構113が設けられている。なお,インタフ
ェイス部4のウェハ搬送体55は,高減圧室110に対
してウェハWを搬送可能である。
First route 11 in the second embodiment
In FIG. 2, for example, as shown in FIGS. 9 and 10, a high decompression chamber 110 configured to hermetically close the inside of the chamber is provided in place of the mounting portion 70 described in the above embodiment. . In addition, the high decompression chamber 110 includes the high decompression chamber 11
A decompression means 111 for decompressing the inside of 0 is provided, and the atmosphere of the high decompression chamber 100 can be decompressed by suction from above the high decompression chamber 100. In addition, the first route 112
A wafer transfer mechanism 113 as a third transfer device that allows the wafer W to be transferred by accessing the high decompression chamber 110 and the exposure processing apparatus 6 is provided therein. The wafer transfer body 55 of the interface unit 4 can transfer the wafer W to the high decompression chamber 110.

【0063】そして,このように構成された第1の経路
112内の高減圧室110にウェハWが搬送されると,
減圧手段111が作動し,高減圧室110内の圧力がP
3まで減圧される。そして,このとき第1の経路112
内の圧力は,P1から減圧されP3よりも高い圧力P4
に変更される(P3<P4<P1<P2,P2は露光処
理装置6内の圧力)。そして,ウェハWは高減圧室11
0内で不純物が除去され,その後高減圧室110から搬
出され,圧力P4の第1の経路112内を通って,圧力
P2の露光処理装置6内に搬送される。
When the wafer W is transferred to the high decompression chamber 110 in the first path 112 having the above structure,
The decompression means 111 operates and the pressure in the high decompression chamber 110 becomes P
The pressure is reduced to 3. Then, at this time, the first route 112
The pressure inside is reduced from P1 and higher than P3, P4
(P3 <P4 <P1 <P2, P2 is the pressure in the exposure processing apparatus 6). The wafer W is placed in the high decompression chamber 11
Impurities are removed in 0, and thereafter, the impurities are removed from the high decompression chamber 110, passed through the first path 112 at pressure P4, and transported into the exposure processing apparatus 6 at pressure P2.

【0064】このように高減圧室110を設け,ウェハ
Wが高減圧室110から露光処理装置6内に搬送される
までの間の第1の経路112内の圧力をP4(P3<P
4<P2)に設定することにより,圧力がP3〜P2に
段階的に回復されるため,露光処理装置6内にウェハW
が搬送される際に,露光処理装置6内の雰囲気が勢い第
1の経路112内に流れ込むことが抑制される。また,
加熱・冷却装置46,47で行われているレジスト液中
の溶剤を蒸発させる処理を,この高減圧室110で行う
ことができる。
The high decompression chamber 110 is thus provided, and the pressure in the first path 112 until the wafer W is transferred from the high decompression chamber 110 into the exposure processing apparatus 6 is set to P4 (P3 <P
By setting 4 <P2), the pressure is gradually recovered to P3 to P2.
When the wafer is transported, the atmosphere in the exposure processing apparatus 6 is suppressed from flowing into the first path 112. Also,
The process of evaporating the solvent in the resist solution, which is performed by the heating / cooling devices 46 and 47, can be performed in the high decompression chamber 110.

【0065】以上で説明した実施の形態は,半導体ウェ
ハデバイス製造プロセスのフォトリソグラフィー工程に
おけるウェハWの塗布現像処理システムについてであっ
たが,本発明は半導体ウェハ以外の基板例えばLCD基
板の塗布現像処理システムにおいても応用できる。
The embodiment described above is a coating / developing system for the wafer W in the photolithography process of the semiconductor wafer device manufacturing process. However, the present invention is a coating / developing process for a substrate other than a semiconductor wafer, for example, an LCD substrate. It can also be applied to systems.

【0066】[0066]

【発明の効果】請求項1〜9によれば,露光処理前に,
基板の塗布膜に付着した酸素,オゾン,有機物等の分子
レベルの不純物や微粒子等の不純物を除去することがで
きるため,その不純物に影響されることなく好適に露光
処理が行われ,歩留まりの向上が図られる。また,不純
物の除去処理と同時に塗布液中の溶剤を蒸発させること
ができるので,スループットの向上が図られる。
According to claims 1 to 9, before the exposure processing,
Since it is possible to remove molecular level impurities such as oxygen, ozone and organic substances and impurities such as fine particles that have adhered to the coating film on the substrate, the exposure process is preferably performed without being affected by the impurities and the yield is improved. Is planned. Further, since the solvent in the coating liquid can be evaporated at the same time as the impurity removing process, the throughput can be improved.

【0067】特に,請求項7のように,受け渡し部の第
1の経路内に不純物をより強力に除去できる高減圧室を
設けることにより,基板を高減圧室から第1の経路内を
通過して露光処理装置内に搬送する際に,圧力を段階的
に回復させることができるため,圧力差による激しい気
流の発生が抑制され,露光処理装置及び第1の経路内の
雰囲気の変動が抑制できる。したがって,露光処理装置
及び第1の経路において所定の雰囲気に調節する時間が
短縮されるため,スループットの向上が図られる。
In particular, by providing a high decompression chamber capable of more strongly removing impurities in the first passage of the transfer part, the substrate is passed from the high decompression chamber into the first passage. Since it is possible to recover the pressure stepwise when it is transported into the exposure processing apparatus, it is possible to suppress the generation of a violent air flow due to the pressure difference and to suppress the fluctuation of the atmosphere in the exposure processing apparatus and the first path. . Therefore, the time for adjusting to the predetermined atmosphere in the exposure processing apparatus and the first path is shortened, so that the throughput is improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】本実施の形態にかかる塗布現像処理システムの
外観を示す平面図である。
FIG. 1 is a plan view showing the outer appearance of a coating and developing treatment system according to the present embodiment.

【図2】図1の塗布現像処理システムの正面図である。FIG. 2 is a front view of the coating and developing treatment system of FIG.

【図3】図1の塗布現像処理システムの背面図である。FIG. 3 is a rear view of the coating and developing treatment system of FIG.

【図4】図1の塗布現像処理システム1内の加熱・冷却
処理装置の概略を示す横断面図である。
FIG. 4 is a cross-sectional view showing an outline of a heating / cooling processing device in the coating and developing processing system 1 of FIG.

【図5】図1の塗布現像処理システムの平面を模式的に
示した説明図である。
FIG. 5 is an explanatory view schematically showing a plane of the coating and developing treatment system of FIG.

【図6】塗布現像処理システムの受け渡し部の図5に示
すA―A断面の説明図である。
FIG. 6 is an explanatory diagram of a cross section taken along the line AA of FIG.

【図7】受け渡し部内の載置部の構成を示す縦断面の説
明図である。
FIG. 7 is an explanatory view of a vertical cross section showing a configuration of a placement section in the transfer section.

【図8】受け渡し部でレジスト液の溶剤の蒸発処理を行
う場合の塗布現像処理システム1内の加熱・冷却処理装
置の配置例を示す説明図である。
FIG. 8 is an explanatory diagram showing an arrangement example of a heating / cooling processing device in the coating / developing processing system 1 when the solvent of the resist solution is subjected to evaporation processing in the transfer section.

【図9】第2の実施の形態にかかる塗布現像処理システ
ムの外観を示す平面図である。
FIG. 9 is a plan view showing an appearance of a coating and developing treatment system according to a second embodiment.

【図10】図9の塗布現像処理システムの受け渡し部内
を示す露光処理装置から観た縦断面の説明図である。
FIG. 10 is an explanatory diagram of a vertical cross section viewed from the exposure processing apparatus, showing the inside of the delivery section of the coating and developing processing system of FIG.

【符号の説明】[Explanation of symbols]

1 塗布現像処理システム 4 インタフェイス部 5 受け渡し部 6 露光処理装置 60 第1の経路 61 第2の経路 67,68 減圧装置 70 載置部 W ウェハ 1 Coating and developing system 4 Interface section 5 Delivery department 6 Exposure processing equipment 60 First route 61 Second route 67,68 Pressure reducing device 70 Placement part W wafer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 北野 高広 熊本県菊池郡菊陽町津久礼2655番地 東 京エレクトロン九州株式会社 熊本事業 所内 (56)参考文献 特開 平10−144600(JP,A) 特開 平11−204396(JP,A) 特開 平4−242952(JP,A) 国際公開01/020650(WO,A2) (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 H01L 21/68 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Takahiro Kitano 2655 Tsukyu, Kikuyo-cho, Kikuchi-gun, Kumamoto Prefecture Tokyo Electron Kyushu Co., Ltd. Kumamoto Business Office (56) Reference Japanese Patent Laid-Open No. 10-144600 (JP, A) Kaihei 11-204396 (JP, A) JP-A-4-242952 (JP, A) International Publication 01/020650 (WO, A2) (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21 / 027 H01L 21/68

Claims (9)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 少なくとも基板に塗布膜を形成する塗布
処理装置と,前記基板の現像を行う現像処理装置と,前
記基板の熱処理を行う熱処理装置と,これらの塗布処理
装置,現像処理装置及び熱処理装置に対して前記基板の
搬入出を行う第1の搬送装置とを有する処理部と,少な
くとも前記処理部と前記基板の露光処理を行う露光処理
装置との間の経路で基板の搬送を行う第2の搬送装置を
有するインタフェイス部とを有する塗布現像処理システ
ムであって,前記インタフェイス部と前記露光処理装置
との間に接続され,気密に閉鎖可能な受け渡し部と,前
記受け渡し部内を所定の設定圧力に減圧する減圧装置と
を有することを特徴とする,塗布現像処理システム。
1. A coating treatment apparatus for forming a coating film on at least a substrate, a development treatment apparatus for developing the substrate, a heat treatment apparatus for heat treatment of the substrate, and a coating treatment apparatus, a development treatment apparatus and a heat treatment for these. A first transporting device for loading and unloading the substrate to and from the apparatus; and a first transporting device for transporting the substrate through a path between at least the processing unit and an exposure processing device that performs the exposure processing of the substrate. A coating / developing processing system having an interface section having two transfer devices, wherein a transfer section connected between the interface section and the exposure processing apparatus and capable of being airtightly closed, and a predetermined inside of the transfer section. And a decompression device for decompressing to the set pressure.
【請求項2】 前記受け渡し部は,前記インタフェイス
部から前記露光処理装置に基板が搬送されるときに通過
する第1の経路と,前記露光処理装置から前記インタフ
ェイス部に基板が搬送される際に通過する第2の経路と
を有し,前記第1の経路と前記第2の経路は,各経路毎
に所定の設定圧力に減圧可能に構成されていることを特
徴とする,請求項1に記載の塗布現像処理システム。
2. The transfer unit transfers a substrate from the interface unit to the exposure processing apparatus when the substrate is transferred, and a substrate is transferred from the exposure processing apparatus to the interface unit. And a second path that passes when the first path and the second path are configured such that each of the first path and the second path can be depressurized to a predetermined set pressure. 1. The coating and developing treatment system described in 1.
【請求項3】 前記第1の経路内の圧力は,前記露光処
理装置内の圧力よりも低く設定されていることを特徴と
する,請求項2に記載の塗布現像処理システム。
3. The coating and developing treatment system according to claim 2, wherein the pressure in the first path is set lower than the pressure in the exposure processing apparatus.
【請求項4】 前記第2の経路内の圧力は,前記露光処
理装置内の圧力よりも低く設定されていることを特徴と
する,請求項2又は3のいずれかに記載の塗布現像処理
システム。
4. The coating and developing treatment system according to claim 2, wherein the pressure in the second path is set lower than the pressure in the exposure processing apparatus. .
【請求項5】 前記受け渡し部の第1の経路には,基板
を載置する載置部と,前記載置部と前記露光処理装置に
対して基板の搬入出を行う第3の搬送装置とが設けられ
ており,前記第2の搬送装置は,少なくとも前記載置部
に前記基板を搬送可能に構成されていることを特徴とす
る,請求項2,3又は4のいずれかに記載の塗布現像処
理システム。
5. A mounting part for mounting a substrate on the first path of the transfer part, and a third transfer device for loading / unloading the substrate to / from the mounting part and the exposure processing apparatus. 5. The coating apparatus according to claim 2, wherein the second transfer device is configured to transfer the substrate to at least the placement unit. Development processing system.
【請求項6】 前記載置部には,基板を前記載置部に保
持する保持手段が設けられていることを特徴とする,請
求項5に記載の塗布現像処理システム。
6. The coating and developing treatment system according to claim 5, wherein the placing unit is provided with a holding means for holding the substrate on the placing unit.
【請求項7】 前記第1の経路内には,この第1の経路
の圧力よりも低い圧力に調節可能な高減圧室が設けられ
ていることを特徴とする,請求項2,3又は4のいずれ
かに記載の塗布現像処理システム。
7. The high decompression chamber capable of adjusting a pressure lower than the pressure of the first passage is provided in the first passage, and the high pressure reducing chamber is provided. The coating and developing treatment system described in any one of 1.
【請求項8】 前記第2の搬送装置は,少なくとも前記
高減圧室に対して前記基板を搬出可能に構成されてお
り,前記受け渡し部の第1の経路には,前記高減圧室と
前記露光処理装置に対して,基板の搬入出を行う第3の
搬送装置が設けられていることを特徴とする,請求項7
に記載の塗布現像処理システム。
8. The second transfer device is configured to carry out the substrate to at least the high decompression chamber, and the high decompression chamber and the exposure chamber are provided in a first path of the transfer section. 8. A third transfer device for loading and unloading a substrate is provided for the processing device.
The coating and developing treatment system described in.
【請求項9】 前記受け渡し部の第2の経路には,基板
を載置する載置台と,前記載置台と前記露光処理装置に
対して基板の搬入出を行う第4の搬送装置とが設けられ
ており,前記第2の搬送装置は,少なくとも前記載置台
から前記基板を搬出可能に構成されていることを特徴と
する,請求項5,6又は8のいずれかに記載の塗布現像
処理システム。
9. The second path of the transfer section is provided with a mounting table on which a substrate is mounted, a mounting table and a fourth transfer device for loading and unloading the substrate with respect to the exposure processing apparatus. 9. The coating and developing treatment system according to claim 5, wherein the second transfer device is configured to carry out the substrate from at least the mounting table. .
JP2000135993A 2000-05-09 2000-05-09 Coating and development processing system Expired - Fee Related JP3517180B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2000135993A JP3517180B2 (en) 2000-05-09 2000-05-09 Coating and development processing system
TW090110636A TW594835B (en) 2000-05-09 2001-05-03 System for coating and developing
US09/850,032 US6585430B2 (en) 2000-05-09 2001-05-08 System and method for coating and developing
KR1020010024831A KR100646515B1 (en) 2000-05-09 2001-05-08 System and method for coating and developing
SG200102686A SG94813A1 (en) 2000-05-09 2001-05-08 System and method for coating and developing
CNB011220457A CN1237402C (en) 2000-05-09 2001-05-09 Systme and method for coating and developing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000135993A JP3517180B2 (en) 2000-05-09 2000-05-09 Coating and development processing system

Publications (2)

Publication Number Publication Date
JP2001319857A JP2001319857A (en) 2001-11-16
JP3517180B2 true JP3517180B2 (en) 2004-04-05

Family

ID=18644002

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000135993A Expired - Fee Related JP3517180B2 (en) 2000-05-09 2000-05-09 Coating and development processing system

Country Status (1)

Country Link
JP (1) JP3517180B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153422A (en) 2006-12-18 2008-07-03 Tokyo Electron Ltd Applying and developing device, and pattern forming method
CN106881328B (en) * 2017-02-09 2020-11-03 东旭光电科技股份有限公司 Glass production line and glass production method

Also Published As

Publication number Publication date
JP2001319857A (en) 2001-11-16

Similar Documents

Publication Publication Date Title
KR100646515B1 (en) System and method for coating and developing
JP3556882B2 (en) Coating and development processing system
JP3590328B2 (en) Coating and developing method and coating and developing system
JPH07245332A (en) Apparatus and method for manufacturing semiconductor device and semiconductor device
JP3571471B2 (en) Processing method, coating and developing processing system and processing system
US11501987B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
JP3648129B2 (en) Coating development processing method and coating development processing system
JP4005609B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
JP3517180B2 (en) Coating and development processing system
JP3559219B2 (en) Coating and developing system and coating and developing method
JP3662154B2 (en) Substrate processing system
JP2001319845A (en) Coating developing system
JP3966884B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
JP2003142552A (en) Substrate treatment apparatus
JP7257914B2 (en) Substrate processing system and substrate processing method
JP3611568B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
JP2008109158A (en) Substrate treatment apparatus, substrate treatment method, substrate producing method and electronic device
JP3665716B2 (en) Processing system
KR20230149530A (en) Substrate processing module and substrate processing apparatus including same
KR20230149532A (en) Substrate processing module and substrate processing apparatus including same
JP2023029521A (en) Substrate processing apparatus
KR20230149533A (en) Substrate processing module and substrate processing apparatus including same
JP2008124502A (en) Substrate treatment equipment, method for treating substrate, method for manufacturing substrate, and electronic instrument
JP2011181631A (en) Method of activating surface, program, computer storage medium, and surface-activating apparatus
JP2008053738A (en) Substrate processing device, method for processing substrate, and method for manufacturing substrate

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040122

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100130

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130130

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160130

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees