JP3504247B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
JP3504247B2
JP3504247B2 JP2001381504A JP2001381504A JP3504247B2 JP 3504247 B2 JP3504247 B2 JP 3504247B2 JP 2001381504 A JP2001381504 A JP 2001381504A JP 2001381504 A JP2001381504 A JP 2001381504A JP 3504247 B2 JP3504247 B2 JP 3504247B2
Authority
JP
Japan
Prior art keywords
film
pattern
mask material
semiconductor device
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001381504A
Other languages
Japanese (ja)
Other versions
JP2002305187A (en
Inventor
淳子 大内
康彦 佐藤
英志 塩原
久貴 林
徳久 大岩
廉伸 大西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001381504A priority Critical patent/JP3504247B2/en
Publication of JP2002305187A publication Critical patent/JP2002305187A/en
Application granted granted Critical
Publication of JP3504247B2 publication Critical patent/JP3504247B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】この発明は、エッチング加工
時におけるマスクパターンのエッチング耐性を改善した
半導体装置の製造方法に関するものであり、特に多層膜
を加工して形成された前記マスクパターンを用い、被加
工部材を加工する半導体装置の製造方法に関するもので
ある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device in which the etching resistance of a mask pattern during etching is improved, and in particular, a mask pattern formed by processing a multilayer film is used. The present invention relates to a method for manufacturing a semiconductor device that processes a processed member.

【0002】[0002]

【従来の技術】従来より、半導体装置の製造方法におい
ては、シリコンウェハ上に、被加工膜として複数の物質
を堆積し、この複数の物質を所望のパターンにパターニ
ングする工程が多く含まれている。前記被加工膜のパタ
ーニングは、以下のような工程にて行われる。まず、一
般にレジストと呼ばれる感光性物質を被加工膜上に堆積
して、被加工膜上にレジスト膜を形成する。続いて、こ
のレジスト膜の所定の領域に露光を施す。次いで、レジ
スト膜の露光部または未露光部を、現像処理により除去
してレジストパターンを形成する。さらに、このレジス
トパターンをエッチングマスクとして、被加工膜をドラ
イエッチングすることにより、前記被加工膜のパターニ
ングがなされる。
2. Description of the Related Art Conventionally, a method of manufacturing a semiconductor device includes many steps of depositing a plurality of substances as a film to be processed on a silicon wafer and patterning the plurality of substances into a desired pattern. . The patterning of the film to be processed is performed in the following steps. First, a photosensitive substance generally called a resist is deposited on a film to be processed to form a resist film on the film to be processed. Then, a predetermined region of this resist film is exposed. Next, the exposed part or the unexposed part of the resist film is removed by a developing process to form a resist pattern. Further, the film to be processed is dry-etched by using this resist pattern as an etching mask to pattern the film to be processed.

【0003】前記パターン露光時に必要な解像性、露光
量裕度、或いはフォーカス裕度を持たせるためには、レ
ジスト膜の膜厚を薄くする必要が生じる。このため、被
加工膜のエッチング工程において必要なレジスト膜の膜
厚が確保できなくなってきている。こうした問題を解決
するために、被加工膜上にレジスト膜よりもエッチング
耐性があるマスク材を形成し、前記レジストパターンを
マスク材、被加工膜に順次パターン転写する方法が取ら
れている。
In order to provide the resolution, exposure dose latitude, or focus latitude required for the pattern exposure, it is necessary to reduce the thickness of the resist film. For this reason, it has become impossible to secure the required film thickness of the resist film in the process of etching the film to be processed. In order to solve such a problem, a method is used in which a mask material having etching resistance higher than that of a resist film is formed on a film to be processed, and the resist pattern is sequentially transferred to the mask material and the film to be processed.

【0004】従来から前記マスク材として、アルミニウ
ムなどの金属膜、及びカーボンなどが用いられている。
これらアルミニウムなどの金属膜、及びカーボンなど
は、CVD法、スパッタ法或いは蒸着法などの乾式方法
で成膜できる。また、スピンコーティングなどの湿式方
法で成膜できるものとして、ポリシラン、あるいはノボ
ラック樹脂、ポリヒドロキシスチレンなどを高温で硬化
させた材料が用いられている。これらポリシラン、ある
いはノボラック樹脂、ポリヒドロキシスチレンなどは、
フォトレジストに通常用いられている樹脂である。
Conventionally, a metal film such as aluminum and carbon have been used as the mask material.
The metal film such as aluminum and carbon can be formed by a dry method such as a CVD method, a sputtering method or a vapor deposition method. Further, as a material that can be formed into a film by a wet method such as spin coating, a material obtained by curing polysilane, novolac resin, polyhydroxystyrene, or the like at high temperature is used. These polysilanes, novolac resins, polyhydroxystyrene, etc.
It is a resin usually used for photoresists.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、これら
マスク材に用いられている材料のうち、乾式方法で成膜
する金属膜やカーボンは、成膜に真空系が必要であるた
め、成膜コストが高くなる。また、湿式方法で成膜でき
る材料においても、ポリシランは無機成分を含むため
に、被加工膜の加工終了後、残ったマスク材パターンを
剥離することが難しい。
However, among the materials used for these mask materials, the metal film and carbon to be formed by the dry method require a vacuum system for film formation, and therefore the film formation cost is low. Get higher Further, even in a material which can be formed by a wet method, it is difficult to peel off the remaining mask material pattern after the processing of the film to be processed, because polysilane contains an inorganic component.

【0006】また、フォトレジストに用いられている樹
脂を焼き固めた材料は、マスク材のエッチング中にサイ
ドエッチングが発生しやすく、マスク材パターンを高精
度に加工することが困難である。前記マスク材パターン
の加工精度がサイドエッチングにより低下すると、被加
工膜の加工精度が低下する。この結果、半導体装置の動
作時における信頼性が著しく低下するという問題が発生
する。そして、半導体装置の微細化が進むにつれて、こ
れらの問題の影響はますます大きなものとなっている。
Further, in the material used for the photoresist, which is obtained by baking and hardening the resin, side etching easily occurs during the etching of the mask material, and it is difficult to process the mask material pattern with high accuracy. If the processing accuracy of the mask material pattern is lowered by the side etching, the processing accuracy of the film to be processed is lowered. As a result, there arises a problem that the reliability of the semiconductor device during operation is significantly reduced. Then, as the miniaturization of semiconductor devices progresses, the influence of these problems becomes more and more significant.

【0007】このように、半導体装置の製造に好適に用
いることができるマスク材は、未だ得られていないのが
現状である。
As described above, the mask material which can be suitably used for manufacturing the semiconductor device has not yet been obtained.

【0008】そこでこの発明は、前記課題に鑑みてなさ
れたものであり、半導体装置の微細化によりマスク材の
薄膜化が進んでも、マスク材としてのエッチング耐性を
十分に確保でき、被加工部材を高精度に加工することが
できる半導体装置の製造方法を提供することを目的とす
る。
Therefore, the present invention has been made in view of the above-mentioned problems, and even if the mask material is made thinner due to the miniaturization of the semiconductor device, the etching resistance as the mask material can be sufficiently ensured, and the workpiece can be processed. An object of the present invention is to provide a method for manufacturing a semiconductor device that can be processed with high accuracy.

【0009】[0009]

【課題を解決するための手段】前記目的を達成するため
に、この発明の一実施形態の半導体装置の製造方法は、
被加工部材上に、溶液を塗布後、脱水素反応あるいは脱
水縮合反応で炭素含有量を増加させることにより、芳香
環を有し、炭素原子の含有量が80wt%以上のマスク
材を形成する工程と、前記マスク材を所望のパターンに
エッチングしてマスク材パターンを形成する工程と、前
記マスク材パターンをマスクにして、前記被加工部材を
エッチングする工程とを具備する。
In order to achieve the above object, a method of manufacturing a semiconductor device according to an embodiment of the present invention is
After applying the solution on the work piece, dehydrogenation reaction or dehydrogenation
A step of forming a mask material having an aromatic ring and having a carbon atom content of 80 wt% or more by increasing the carbon content by a water condensation reaction ; and etching the mask material into a desired pattern to form the mask material. The method includes the steps of forming a pattern and etching the member to be processed using the mask material pattern as a mask.

【0010】[0010]

【発明の実施の形態】本発明者らは、炭素含有量が80
wt%以上のマスク材を用いることにより、サイドエッ
チング量を大幅に減らせることを見出し、本発明を完成
させるに至った。
DETAILED DESCRIPTION OF THE INVENTION The present inventors have found that the carbon content is 80
The inventors have found that the amount of side etching can be significantly reduced by using a mask material of wt% or more, and have completed the present invention.

【0011】以下、図面を参照してこの発明の各実施の
形態について説明する。説明に際し、全図にわたり、共
通する部分には共通する参照符号を付す。
Each embodiment of the present invention will be described below with reference to the drawings. In the description, common parts are denoted by common reference symbols throughout the drawings.

【0012】[第1の実施の形態]まず、この発明の第
1の実施の形態の半導体装置の製造方法について説明す
る。また、この第1の実施の形態では、多層膜を加工し
て被加工部材上にパターンを形成し、このパターンをマ
スクにして被加工部材をエッチング加工する場合につい
て述べる。ここでは、前記被加工部材は、所定の形状及
び寸法に加工された後、一例として、配線層または電極
層のパターン等の、半導体装置の構成要素を形成する過
程で、マスク材として用いられるものとする。なお、図
1(a)〜図1(f)、図2(a)〜図2(c)、及び
その他の断面図は、配線層のパターン及びマスクパター
ンの長手方向に垂直な方向の断面を表す。
[First Embodiment] First, a method of manufacturing a semiconductor device according to a first embodiment of the present invention will be described. In addition, in the first embodiment, a case will be described in which a multilayer film is processed to form a pattern on a member to be processed, and the member to be processed is etched using the pattern as a mask. Here, the member to be processed is used as a mask material in the process of forming a constituent element of a semiconductor device such as a pattern of a wiring layer or an electrode layer after being processed into a predetermined shape and size. And 1 (a) to 1 (f), 2 (a) to 2 (c), and other cross-sectional views show cross-sections in the direction perpendicular to the longitudinal direction of the wiring layer pattern and the mask pattern. Represent

【0013】まず、図1(a)に示すように、シリコン
基板101上に、絶縁膜102を介在して多結晶シリコ
ン膜103をCVD法(Chemical-Vapour-Deposition法)
で形成する。この多結晶シリコン膜103は、ゲート配
線層またはゲート電極等の材料として用いられる。その
後、多結晶シリコン膜103上に、被加工部材であるシ
リコン窒化膜104を膜厚200nm程度、減圧CVD
法(Low-Pressure CVD法)で形成する。このシリコン窒化
膜104は、後の工程で多結晶シリコン膜103を、ゲ
ート配線層またはゲート電極層等の形状にエッチング加
工する過程で、マスク材として使用される。
First, as shown in FIG. 1A, a polycrystalline silicon film 103 is formed on a silicon substrate 101 with an insulating film 102 interposed therebetween by a CVD method (Chemical-Vapour-Deposition method).
To form. This polycrystalline silicon film 103 is used as a material for a gate wiring layer or a gate electrode. After that, a silicon nitride film 104, which is a member to be processed, is formed on the polycrystalline silicon film 103 with a film thickness of about 200 nm by low pressure CVD
Method (Low-Pressure CVD method). This silicon nitride film 104 is used as a mask material in a process of etching the polycrystalline silicon film 103 into a shape such as a gate wiring layer or a gate electrode layer in a later step.

【0014】ここでは、前記被加工部材にシリコン窒化
膜104を用いたが、特にシリコン窒化膜に限定される
ことはなく、以下のような部材を用いてもよい。例え
ば、被加工部材として、シリコン酸化膜、酸窒化シリコ
ン膜、スピンオングラスなどのシリコン系絶縁膜、また
はアモルファスシリコン、ポリシリコン、シリコン基板
などのシリコン系材料、アルミニウム、アルミニウムシ
リサイド、銅、タングステンなどの配線材料などを挙げ
ることができる。これらの膜は、単層で用いてもよい
し、2層以上の積層膜で用いてもよい。用途によって被
加工部材の膜厚は異なるが、概ね20〜10000nm
の範囲にあることが好ましい。その理由は、20nm未
満では被加工部材が持つべき作用を発揮することが難し
くなり、10000nmより厚いと、被加工部材上のマ
スク材パターンをこの被加工部材に転写する際に寸法変
換差が顕著に発生するためである。
Here, the silicon nitride film 104 is used as the member to be processed, but the member is not limited to the silicon nitride film and the following members may be used. For example, as a workpiece, a silicon oxide film, a silicon oxynitride film, a silicon-based insulating film such as spin-on glass, or a silicon-based material such as amorphous silicon, polysilicon, or a silicon substrate, aluminum, aluminum silicide, copper, tungsten, or the like. Wiring materials can be used. These films may be used as a single layer or as a laminated film of two or more layers. Although the film thickness of the work piece differs depending on the application, it is generally 20 to 10,000 nm
It is preferably in the range of. The reason is that if it is less than 20 nm, it is difficult to exert the action that the member to be processed has, and if it is more than 10000 nm, a dimensional conversion difference is remarkable when the mask material pattern on the member to be processed is transferred to this member to be processed. This is because it occurs in.

【0015】次に、被加工部材であるシリコン窒化膜1
04上に、図1(b)〜図1(d)に示すように、マス
ク材105、中間層106、フォトレジスト膜107か
らなる3層構造の多層膜を形成する。以下に、この多層
膜を構成する各層の成膜方法について具体的に説明す
る。まず、図1(b)に示すように、被加工部材である
シリコン窒化膜104上にマスク材105を形成する。
マスク材105の膜厚は20〜5000nmの範囲にあ
ることが好ましい。その理由は、膜厚が20nm未満で
は、被加工部材104のエッチング途中でマスク材10
5が削れてなくなってしまい、被加工部材104を所望
の寸法で加工することが困難になり、膜厚が5000n
mより厚いとフォトレジスト膜107で加工されたレジ
ストパターンをマスク材105に転写する際に寸法変換
差が顕著に発生するためである。
Next, the silicon nitride film 1 which is the member to be processed
As shown in FIGS. 1B to 1D, a multilayer film having a three-layer structure including a mask material 105, an intermediate layer 106, and a photoresist film 107 is formed on 04. The method for forming each layer constituting this multilayer film will be specifically described below. First, as shown in FIG. 1B, a mask material 105 is formed on a silicon nitride film 104 which is a member to be processed.
The film thickness of the mask material 105 is preferably in the range of 20 to 5000 nm. The reason is that when the film thickness is less than 20 nm, the mask material 10 is being etched during the etching of the workpiece 104.
No. 5 is scraped off, and it becomes difficult to process the workpiece 104 with a desired dimension, and the film thickness is 5000 n.
This is because if the thickness is thicker than m, a dimensional conversion difference significantly occurs when the resist pattern processed by the photoresist film 107 is transferred to the mask material 105.

【0016】また、マスク材105の露光波長における
複素屈折率n,k値は、1.0≦n≦2.5、及び0.
02≦k≦1.0、の範囲にある必要があり、より好ま
しくは1.2≦n≦2.2、及び0.1≦k≦1.0の
範囲にあることが望ましい。n値が1.0未満、或いは
2.5を超えると、レジストの屈折率とのずれが大きく
なり、パターン露光時に露光光の反射が大きくなって寸
法制御性が劣化するためである。また、k値が0.02
未満では、吸収が低すぎて反射防止能が低下し、逆に
1.0を超えると吸収が高くなりすぎて反射防止能が低
下するためである。
The complex refractive index n and k values of the mask material 105 at the exposure wavelength are 1.0 ≦ n ≦ 2.5 and 0.
It is necessary to be in the range of 02 ≦ k ≦ 1.0, and more preferably in the range of 1.2 ≦ n ≦ 2.2 and 0.1 ≦ k ≦ 1.0. This is because if the n value is less than 1.0 or exceeds 2.5, the deviation from the refractive index of the resist becomes large, the reflection of exposure light during pattern exposure becomes large, and the dimensional controllability deteriorates. Also, k value is 0.02
If it is less than 1.0, the absorption is too low and the antireflection ability is lowered. On the contrary, if it exceeds 1.0, the absorption is too high and the antireflection ability is lowered.

【0017】前記マスク材105は、塗布法で形成する
ことが望ましい。その理由は、CVD法で形成する場合
と比べると、塗布法で形成する場合はプロセスが簡易で
プロセスコストを低く抑えることができるからである。
The mask material 105 is preferably formed by a coating method. The reason is that, compared with the case of forming by the CVD method, the case of forming by the coating method has a simple process and the process cost can be kept low.

【0018】以下に、塗布法によるマスク材105の形
成方法について詳述する。まず、マスク材を塗布した
後、ベーキングによって前記マスク材の炭素含有量が8
0wt%以上になるような芳香族化合物を溶剤に溶解し
て、マスク材の溶液を調製する。このようなマスク材原
料としての化合物として、例えば、下記式(1)で表す
ことができるポリアリーレンを挙げることができる。ま
た、多環芳香族炭化水素も好適に用いることができ、例
えば、下記式(2)で表すことができるナフタレン誘導
体を重合した化合物、または、下記式(3)で表すこと
ができるアントラセン誘導体を重合した化合物を挙げる
ことができる。これらの重合体として、前記ナフタレン
誘導体或いは前記アントラセン誘導体とホルムアルデヒ
ドを重合しても良く、このような化合物として、例えば
下記式(4)〜式(10)で表すことができる化合物を
挙げることができる。また、多環芳香族炭化水素とし
て、石油系、或いは石油系ピッチも用いることができ
る。前記石油系、或いは石油系ピッチは、芳香族及び脂
肪族系炭化水素の溶剤に可溶な成分を分離精製して得る
ことができる。また、その他の化合物としては、下記式
(11)〜式(23)で表すことができる化合物を用い
ることができる。これらの芳香族化合物は、炭素含有量
が高くエッチング耐性を有するだけでなく、芳香環を有
するため溶剤への溶解性がよい。このため、前記溶液を
塗布することにより、欠陥がなく膜厚の均一な塗膜を得
ることができる。
The method of forming the mask material 105 by the coating method will be described in detail below. First, after applying the mask material, the carbon content of the mask material is reduced to 8 by baking.
An aromatic compound having a concentration of 0 wt% or more is dissolved in a solvent to prepare a mask material solution. As such a compound as a mask material raw material, for example, polyarylene represented by the following formula (1) can be given. In addition, polycyclic aromatic hydrocarbons can also be preferably used, for example, a compound obtained by polymerizing a naphthalene derivative represented by the following formula (2) or an anthracene derivative represented by the following formula (3). Polymerized compounds can be mentioned. As these polymers, the naphthalene derivative or the anthracene derivative may be polymerized with formaldehyde. Examples of such a compound include compounds represented by the following formulas (4) to (10). . Further, petroleum-based or petroleum-based pitch can be used as the polycyclic aromatic hydrocarbon. The petroleum-based or petroleum-based pitch can be obtained by separating and refining the solvent-soluble components of aromatic and aliphatic hydrocarbons. Further, as other compounds, compounds represented by the following formulas (11) to (23) can be used. These aromatic compounds not only have a high carbon content and have etching resistance, but also have a good solubility in a solvent because they have an aromatic ring. Therefore, by applying the solution, it is possible to obtain a coating film having no defect and a uniform film thickness.

【0019】[0019]

【化1】 [Chemical 1]

【0020】[0020]

【化2】 [Chemical 2]

【0021】[0021]

【化3】 [Chemical 3]

【0022】[0022]

【化4】 [Chemical 4]

【0023】[0023]

【化5】 [Chemical 5]

【0024】[0024]

【化6】 [Chemical 6]

【0025】[0025]

【化7】 [Chemical 7]

【0026】前記芳香族化合物の平均重量分子量は、特
に限定されることはないが、1000〜100000が
好ましい。平均重量分子量が1000未満ではベーキン
グ時に前記化合物が揮発しやすくなり、100000を
超えると前記化合物が溶剤に溶けにくくなって塗膜を形
成することが困難になる。
The average weight molecular weight of the aromatic compound is not particularly limited, but is preferably 1,000 to 100,000. When the average weight molecular weight is less than 1000, the compound is liable to volatilize during baking, and when it exceeds 100,000, the compound is difficult to dissolve in a solvent and it becomes difficult to form a coating film.

【0027】また、前記マスク材溶液は、前記芳香族化
合物が2種類以上混合されてもよく、また芳香族化合物
と芳香族化合物以外の化合物とが混合されてもよい。さ
らに、芳香族化合物と芳香族化合物以外の化合物が重合
した化合物を用いてもよい。また、必要に応じて前記マ
スク材溶液の貯蔵安定性をはかるために、マスク材溶液
に熱重合防止剤を添加してもよい。また、被加工部材1
04への前記マスク材溶液の密着性を向上させるために
密着性向上剤を添加してもよく、また導電性物質や、
光、熱で導電性が生じる物質、また塗布性を向上させる
ために界面活性剤を添加してもよい。
In the mask material solution, two or more kinds of the aromatic compounds may be mixed, or an aromatic compound and a compound other than the aromatic compound may be mixed. Further, a compound obtained by polymerizing an aromatic compound and a compound other than the aromatic compound may be used. Further, if necessary, a thermal polymerization inhibitor may be added to the mask material solution in order to ensure storage stability of the mask material solution. Also, the workpiece 1
In order to improve the adhesion of the mask material solution to 04, an adhesion improver may be added, and a conductive substance or
A substance that becomes conductive by light or heat, or a surfactant may be added to improve the coating property.

【0028】前記マスク材溶液に使用される溶剤は、特
に限定されることはないが、例えばアセトン、メチルエ
チルケトン、メチルイソブチルケトン、シクロヘキサノ
ン等のケトン系溶剤、あるいはメチルセロソルブ、メチ
ルセロソルブアセテート、エチルセロソルブアセテート
等のセロソルブ系溶剤、あるいは乳酸エチル、酢酸エチ
ル、酢酸ブチル、酢酸イソアミル等のエステル系溶剤、
あるいはメタノール、エタノール、イソプロパノール等
のアルコール系溶剤、その他アニソール、トルエン、キ
シレン、ナフサ、水などを挙げることができる。
The solvent used for the mask material solution is not particularly limited, but is, for example, a ketone solvent such as acetone, methyl ethyl ketone, methyl isobutyl ketone, cyclohexanone, or methyl cellosolve, methyl cellosolve acetate, ethyl cellosolve acetate. , Etc., or ester solvents such as ethyl lactate, ethyl acetate, butyl acetate, isoamyl acetate, etc.
Alternatively, there may be mentioned alcohol solvents such as methanol, ethanol and isopropanol, as well as anisole, toluene, xylene, naphtha and water.

【0029】以上の方法でマスク材溶液を調製し、例え
ばスピンコーティング法などにより被加工部材104上
にマスク材溶液を塗布する。続いて、マスク材溶液をベ
ーキングして溶剤を気化することにより、被加工部材1
04上にマスク材105を形成する。このときのベーキ
ング温度は、特に限定されることはないが、100〜5
00℃の範囲が好ましい。100℃未満では溶剤が乾燥
しにくく、500℃を超えると被加工部材104が変質
する可能性があるためである。
The mask material solution is prepared by the above method, and the mask material solution is applied onto the workpiece 104 by, for example, the spin coating method. Subsequently, the mask material solution is baked to evaporate the solvent, whereby the workpiece 1 is processed.
A mask material 105 is formed on 04. The baking temperature at this time is not particularly limited, but is 100 to 5
The range of 00 ° C is preferred. This is because if the temperature is lower than 100 ° C., the solvent is difficult to dry, and if the temperature exceeds 500 ° C., the workpiece 104 may deteriorate.

【0030】前記ベーキング処理後、得られたマスク材
105の炭素含有量は、マスク材の重量を100wt%
とすると、炭素含有量が80wt%以上、望ましくは9
0wt%以上でなければならない。その理由は、炭素含
有量が80wt%未満になると、被加工部材104を加
工する際に充分なエッチング耐性を得ることができず、
かつマスク材105加工時のサイドエッチングを抑える
ことが困難になるからである。マスク材105の炭素含
有量を80wt%以上にするためには、予め、マスク材
原料の炭素含有量が80wt%以上であるような、マス
ク材溶液を調製してもよいし、加熱処理により、脱水素
反応、或いは脱水縮合で酸素、或いは水素を減らし、相
対的に炭素含有量を増加せしめることにより、マスク材
105の炭素含有量を増加させてもよい。多環芳香族炭
化水素は、概ね300℃以上の温度でベーキングするこ
とにより脱水素反応が進行しはじめる。また、水酸基を
有する化合物は、200℃以上の温度でベーキングする
ことにより、脱水縮合反応が進行し始める。
After the baking treatment, the carbon content of the obtained mask material 105 is 100 wt% based on the weight of the mask material.
Then, the carbon content is 80 wt% or more, preferably 9
It must be 0 wt% or more. The reason is that if the carbon content is less than 80 wt%, sufficient etching resistance cannot be obtained when processing the workpiece 104,
Moreover, it is difficult to suppress side etching when processing the mask material 105. In order to set the carbon content of the mask material 105 to 80 wt% or more, a mask material solution in which the carbon content of the mask material raw material is 80 wt% or more may be prepared in advance. The carbon content of the mask material 105 may be increased by reducing oxygen or hydrogen by a dehydrogenation reaction or dehydration condensation and relatively increasing the carbon content. Dehydrogenation of polycyclic aromatic hydrocarbons starts to progress by baking at a temperature of approximately 300 ° C. or higher. In addition, the compound having a hydroxyl group starts the dehydration condensation reaction by baking at a temperature of 200 ° C. or higher.

【0031】次に、被加工部材104上に形成されたマ
スク材105を加工してマスク材パターンを形成する方
法について説明する。この第1の実施形態では、マスク
材パターンの形成方法については限定されることなく、
例えば以下に記述する(1)〜(4)の方法を用いるこ
とができる。
Next, a method of processing the mask material 105 formed on the member to be processed 104 to form a mask material pattern will be described. In the first embodiment, the method of forming the mask material pattern is not limited,
For example, the methods (1) to (4) described below can be used.

【0032】(1) 図1(c)に示すように、マスク
材105上に、半導体元素、或いは金属元素を含む中間
膜106を形成する。例えば、下記式(24)〜式(4
8)に記載する化合物のいずれか1つ、あるいは複数を
溶剤に溶解し、中間膜106の溶液を調製する。この溶
液を、スピンコーティング法などの塗布法を用いてマス
ク材105上に塗布する。その後、マスク材105上に
塗布された溶液をベーキングして溶剤を気化し、前記化
合物を乾燥させる。これにより、マスク材105上に中
間膜106が得られる。
(1) As shown in FIG. 1C, an intermediate film 106 containing a semiconductor element or a metal element is formed on the mask material 105. For example, the following formulas (24) to (4)
Any one or more of the compounds described in 8) is dissolved in a solvent to prepare a solution of the intermediate film 106. This solution is applied onto the mask material 105 by using a coating method such as a spin coating method. Then, the solution applied on the mask material 105 is baked to evaporate the solvent and dry the compound. As a result, the intermediate film 106 is obtained on the mask material 105.

【0033】[0033]

【化8】 [Chemical 8]

【0034】[0034]

【化9】 [Chemical 9]

【0035】[0035]

【化10】 [Chemical 10]

【0036】[0036]

【化11】 [Chemical 11]

【0037】[0037]

【化12】 [Chemical 12]

【0038】前記中間膜106の膜厚は、限定されるこ
とはないが、10〜1000nmの範囲が好ましい。中
間膜106の膜厚が10nm未満ではマスク材105を
高精度に加工することが困難になる。膜厚が1000n
mより厚いと、中間膜106上に形成されるレジストパ
ターン107を中間膜106に転写する際に、中間膜1
06を高精度に加工することが困難になる。
The thickness of the intermediate film 106 is not limited, but is preferably in the range of 10 to 1000 nm. If the thickness of the intermediate film 106 is less than 10 nm, it becomes difficult to process the mask material 105 with high accuracy. Film thickness 1000n
If it is thicker than m, when the resist pattern 107 formed on the intermediate film 106 is transferred to the intermediate film 106, the intermediate film 1
It becomes difficult to process 06 with high precision.

【0039】中間膜106が含む半導体元素としては、
限定されることはないが、例えばSi、Geなどが挙げ
られる。中間膜106が含む金属元素としては、限定さ
れることはないが、例えばAl、W、Tiなどが挙げら
れる。
As the semiconductor element contained in the intermediate film 106,
Although not limited, examples thereof include Si and Ge. The metal element contained in the intermediate film 106 is not limited, but examples thereof include Al, W, and Ti.

【0040】次に、図1(d)に示すように、中間膜1
06上にフォトレジスト膜107を形成する。まず、こ
のフォトレジスト膜107を形成するためのレジスト溶
液を中間膜106上に、例えばスピンコーティング法、
またはディップ法などで塗布する。続いて、ホットプレ
ート、或はオーブンなどを用いて、前記レジスト溶液を
ベーキングして溶剤を気化させる。これにより、中間膜
106上にフォトレジスト膜107が得られる。
Next, as shown in FIG. 1D, the intermediate film 1
A photoresist film 107 is formed on 06. First, a resist solution for forming the photoresist film 107 is formed on the intermediate film 106 by, for example, a spin coating method,
Alternatively, apply by a dip method or the like. Then, the resist solution is baked by using a hot plate or an oven to vaporize the solvent. As a result, the photoresist film 107 is obtained on the intermediate film 106.

【0041】このフォトレジスト膜107の膜厚は、中
間膜106を寸法制御性よくエッチングできる膜厚であ
れば、できるだけ薄い方がよく、好ましくは100〜1
0000nmが望ましい。フォトレジスト膜107の膜
厚を薄くすれば、それだけ、露光時の露光量裕度、フォ
ーカス裕度、さらにはパターン露光による解像度を向上
させることができるからである。
The thickness of the photoresist film 107 is preferably as thin as possible, as long as it can etch the intermediate film 106 with good dimensional control.
0000 nm is desirable. This is because if the thickness of the photoresist film 107 is reduced, the exposure dose latitude during exposure, the focus latitude, and the resolution due to pattern exposure can be improved accordingly.

【0042】前記フォトレジスト膜107を形成するた
めのレジスト溶液の種類は、特に限定されることはな
く、目的に応じて、ポジ型またはネガ型を選択して使用
することができる。具体的には、ポジ型レジストとして
は、例えば、ナフトキノンジアジドとノボラック樹脂と
からなるレジスト(IX−770、JSR社製)、ある
いはt−ブトキシカルボニル(t−BOC)で保護した
ポリビニルフェノール樹脂とオニウム塩とからなる化学
増幅型レジスト(APEX−E、シップレー社製)など
が挙げられる。また、ネガ型のレジストとしては、例え
ば、ポリビニルフェノールとメラミン樹脂および光酸発
生剤からなる化学増幅型レジスト(SNR200、シッ
プレー社製)、ポリビニルフェノールとビスアジド化合
物とからなるレジスト(RD−2000N、日立化成社
製)などが挙げられる。
The type of resist solution for forming the photoresist film 107 is not particularly limited, and a positive type or a negative type can be selected and used according to the purpose. Specifically, as the positive type resist, for example, a resist (IX-770, manufactured by JSR) composed of naphthoquinonediazide and a novolac resin, or a polyvinylphenol resin and onium protected with t-butoxycarbonyl (t-BOC). A chemically amplified resist (APEX-E, manufactured by Shipley Co., Ltd.) containing a salt and the like can be mentioned. As the negative resist, for example, a chemically amplified resist (SNR200, manufactured by Shipley) composed of polyvinylphenol, a melamine resin and a photoacid generator, a resist composed of polyvinylphenol and a bisazide compound (RD-2000N, Hitachi). Kaseisha) and the like.

【0043】次に、パターンが描かれた露光用マスクを
用いて、フォトレジスト膜107に対してパターン露光
を行う。このとき、使用する露光光は、限定されること
はなく、例えば紫外光、X線、電子ビーム、イオンビー
ムなどが挙げられる。紫外光としては、水銀灯のg線
(波長=436nm)、i線(波長=365nm)など
を用いることができる。その他の露光光としては、Xe
F(波長=351nm)、XeCl(波長=308n
m)、KrF(波長=248nm)、KrCl(波長=
222nm)、ArF(波長=193nm)、あるいは
(波長=157nm)などのエキシマレーザを用い
ることができる。なお、電子ビームあるいはイオンビー
ムを用いた場合、必ずしも露光用マスクを用いなくても
よい。
Next, pattern exposure is performed on the photoresist film 107 using an exposure mask on which a pattern is drawn. At this time, the exposure light used is not limited, and examples thereof include ultraviolet light, X-rays, electron beams, and ion beams. As the ultraviolet light, g-line (wavelength = 436 nm) or i-line (wavelength = 365 nm) of a mercury lamp can be used. Other exposure light is Xe
F (wavelength = 351 nm), XeCl (wavelength = 308 n
m), KrF (wavelength = 248 nm), KrCl (wavelength =
An excimer laser such as 222 nm), ArF (wavelength = 193 nm), or F 2 (wavelength = 157 nm) can be used. Note that when an electron beam or an ion beam is used, the exposure mask does not necessarily have to be used.

【0044】次に、トリメチルアンモニウムヒドロキシ
ド(TMAH)、コリンなどのアルカリ現像液を用いた
湿式現像法により、フォトレジスト膜107に現像処理
を行い、図1(e)に示すように、レジストパターン1
07を形成する。
Next, the photoresist film 107 is subjected to development processing by a wet development method using an alkali developing solution such as trimethylammonium hydroxide (TMAH) and choline, and as shown in FIG. 1
07 is formed.

【0045】次に、レジストパターン107をマスクと
して用いて、中間膜106をドライエッチングすること
により、前記レジストパターン107を前記中間膜10
6に転写する。これにより、図1(f)に示すように、
中間膜パターン106を得る。前記ドライエッチングに
用いるエッチング方式は、例えば反応性イオンエッチン
グ、マグネトロン型反応性イオンエッチング、電子ビー
ムイオンエッチング、ICPエッチング、またはECR
イオンエッチングなど、微細加工が可能なものであれば
特に限定されることはない。
Next, by using the resist pattern 107 as a mask, the intermediate film 106 is dry-etched, so that the resist pattern 107 is removed from the intermediate film 10.
Transfer to 6. As a result, as shown in FIG.
The intermediate film pattern 106 is obtained. The etching method used for the dry etching is, for example, reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR.
There is no particular limitation as long as fine processing such as ion etching is possible.

【0046】次に、前記中間膜パターン106をマスク
として用いて、マスク材105をエッチングすることに
より、中間膜パターン106をマスク材105に転写す
る。これにより、図2(a)に示すように、マスク材パ
ターン105を得る。このとき用いるエッチング方式と
しては、例えば反応性イオンエッチング、マグネトロン
型反応性イオンエッチング、電子ビームイオンエッチン
グ、ICPエッチング、またはECRイオンエッチング
など、微細加工が可能なものであれば特に限定されるこ
とはない。
Next, the intermediate film pattern 106 is transferred to the mask material 105 by etching the mask material 105 using the intermediate film pattern 106 as a mask. As a result, a mask material pattern 105 is obtained as shown in FIG. The etching method used at this time is not particularly limited as long as fine processing is possible, such as reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching. Absent.

【0047】この第1の実施の形態におけるマスク材1
05は、ラジカルに対するエッチング耐性が高いためサ
イドエッチングが入りにくい。したがって、マスク材パ
ターン105の加工では、高精度の加工を行うことがで
きる。すなわち、図2(a)に示すように、中間膜パタ
ーン106の寸法をYとし、マスク材パターン105の
寸法をVとすると、寸法Yと寸法Vとの寸法差(サイド
エッチング量)を小さくできる。
Mask material 1 in the first embodiment
No. 05 has a high etching resistance to radicals, and therefore side etching is difficult to enter. Therefore, the mask material pattern 105 can be processed with high accuracy. That is, as shown in FIG. 2A, when the size of the intermediate film pattern 106 is Y and the size of the mask material pattern 105 is V, the size difference (size of side etching) between the size Y and the size V can be reduced. .

【0048】(2) ここでのマスク材パターンの形成
方法では、公知のシリル化法によりマスク材105上に
形成されたレジストパターンを利用する例を述べる。
(2) In the mask material pattern forming method here, an example of using a resist pattern formed on the mask material 105 by a known silylation method will be described.

【0049】まず、図1(a)に示す被加工部材104
上に、図3(a)に示すように、マスク材105を形成
する。
First, the processed member 104 shown in FIG.
As shown in FIG. 3A, a mask material 105 is formed on the top.

【0050】次に、このマスク材105上に、図3
(b)に示すように、水酸基を含むフォトレジスト膜1
07を形成する。このフォトレジスト膜107として
は、水酸基を含むものであれば特に限定されることはな
いが、ポリビニルフェノール、ノボラック、メタクリレ
ート、アクリレートを含む材料を用いることができる。
フォトレジスト膜107の膜厚は、限定されることはな
いが、5nm〜10000nmの範囲が好ましい。フォ
トレジスト膜107の膜厚が5nm未満ではレジストパ
ターンをマスク材105に寸法制御性良く転写すること
が困難になり、膜厚が10000nmより厚いと乾式現
像によりフォトレジスト膜107を加工してレジストパ
ターンを形成するのが困難になる。
Next, as shown in FIG.
As shown in (b), a photoresist film 1 containing a hydroxyl group
07 is formed. The photoresist film 107 is not particularly limited as long as it contains a hydroxyl group, but a material containing polyvinylphenol, novolac, methacrylate, or acrylate can be used.
Although the thickness of the photoresist film 107 is not limited, it is preferably in the range of 5 nm to 10000 nm. If the film thickness of the photoresist film 107 is less than 5 nm, it becomes difficult to transfer the resist pattern to the mask material 105 with good dimensional controllability. If the film thickness is more than 10000 nm, the photoresist film 107 is processed by dry development to form the resist pattern. Difficult to form.

【0051】次に、前記(1)に記述した露光方法と同
様の方法を用いて、フォトレジスト膜107に対してパ
ターン露光を行い、図3(c)に示すように、露光され
た露光部分107Aの水酸基を縮合させる。続いて、シ
リコン化合物、例えばヘキサメチルジシラザンを含む蒸
気にフォトレジスト膜107をさらす。これにより、未
露光部分にシリコンを導入して、シリル化部分107B
を形成する。
Next, pattern exposure is performed on the photoresist film 107 using a method similar to the exposure method described in (1) above, and as shown in FIG. 3C, the exposed exposed portion is exposed. The hydroxyl group of 107A is condensed. Then, the photoresist film 107 is exposed to a vapor containing a silicon compound, for example, hexamethyldisilazane. As a result, silicon is introduced into the unexposed portion, and the silylated portion 107B
To form.

【0052】さらに、シリコンを導入した未露光部分1
07Bをマスクとして、酸素を含むエッチングガスを用
いてシリコンが導入されていない露光部分107Aとマ
スク材105をエッチング(乾式現像)して、図3
(d)に示すように、マスク材パターン105を形成す
る。このとき用いるエッチング方式としては、例えば反
応性イオンエッチング、マグネトロン型反応性イオンエ
ッチング、電子ビームイオンエッチング、ICPエッチ
ング、またはECRイオンエッチングなど、微細加工が
可能なものであれば特に限定されることはない。
Further, the unexposed portion 1 into which silicon is introduced
Using 07B as a mask, the exposed portion 107A in which silicon is not introduced and the mask material 105 are etched (dry-developed) by using an etching gas containing oxygen.
As shown in (d), a mask material pattern 105 is formed. The etching method used at this time is not particularly limited as long as fine processing is possible, such as reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching. Absent.

【0053】(3) ここでのマスク材パターンの形成
方法では、以下のような方法によりマスク材パターンを
形成する。
(3) In the mask material pattern forming method here, the mask material pattern is formed by the following method.

【0054】まず、図1(a)に示す被加工部材104
上に、図4(a)に示すように、マスク材105を形成
する。
First, the workpiece 104 shown in FIG.
As shown in FIG. 4A, a mask material 105 is formed on the top.

【0055】次に、このマスク材105上に、図4
(b)に示すように、水酸基を含むフォトレジスト膜1
07を形成する。続いて、露光用マスクを用いて、フォ
トレジスト膜107に対してパターン露光を行い、図4
(c)に示すように、レジストパターン107を形成す
る。このフォトレジスト膜107の膜厚は限定されるこ
とはないが、5nm〜10000nmの範囲が好まし
い。フォトレジスト膜107の膜厚が5nm未満ではレ
ジストパターン107をマスク材105に寸法制御性良
く転写することが困難になり、膜厚が10000nmよ
り厚いとパターン露光時における解像性が劣化する。
Next, as shown in FIG.
As shown in (b), a photoresist film 1 containing a hydroxyl group
07 is formed. Subsequently, pattern exposure is performed on the photoresist film 107 using the exposure mask, and the pattern shown in FIG.
As shown in (c), a resist pattern 107 is formed. Although the thickness of the photoresist film 107 is not limited, it is preferably in the range of 5 nm to 10000 nm. If the film thickness of the photoresist film 107 is less than 5 nm, it becomes difficult to transfer the resist pattern 107 to the mask material 105 with good dimensional controllability, and if the film thickness is more than 10000 nm, the resolution during pattern exposure deteriorates.

【0056】次に、通常用いられている、以下のような
シリル化法により、図4(d)に示すように、レジスト
パターン107にシリル化部分107Cを形成する。す
なわち、シリコン化合物、例えばヘキサメチルジシラザ
ンを含む蒸気に、レジストパターン107をさらす。こ
れにより、レジストパターン107にシリコンを導入し
て、レジストパターン107にシリル化部分107Cを
得る。
Next, as shown in FIG. 4D, a silylated portion 107C is formed on the resist pattern 107 by a commonly used silylation method as described below. That is, the resist pattern 107 is exposed to vapor containing a silicon compound, for example, hexamethyldisilazane. As a result, silicon is introduced into the resist pattern 107 to obtain the silylated portion 107C on the resist pattern 107.

【0057】次に、シリル化部分107Cを有するレジ
ストパターン107をマスクとして用いて、少なくとも
酸素を含むエッチングガスにより、マスク材105をエ
ッチングする。これにより、図4(e)に示すように、
レジストパターン107をマスク材105に転写して、
マスク材パターン105を形成する。レジストパターン
107にはシリコンが導入されているので、マスク材1
05とレジストパターン107との間に高選択比を保ち
ながら、マスク材105を加工することができる。これ
により、マスク材パターン105を高精度に形成でき
る。このときのエッチング方式としては、例えば反応性
イオンエッチング、マグネトロン型反応性イオンエッチ
ング、電子ビームイオンエッチング、ICPエッチン
グ、またはECRイオンエッチングなど、微細加工が可
能なものであれば特に限定されることはない。
Next, using the resist pattern 107 having the silylated portion 107C as a mask, the mask material 105 is etched with an etching gas containing at least oxygen. As a result, as shown in FIG.
Transfer the resist pattern 107 to the mask material 105,
A mask material pattern 105 is formed. Since silicon is introduced into the resist pattern 107, the mask material 1
05 and the resist pattern 107, the mask material 105 can be processed while maintaining a high selection ratio. Thereby, the mask material pattern 105 can be formed with high accuracy. The etching method at this time is not particularly limited as long as fine processing is possible, such as reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching. Absent.

【0058】(4) ここでのマスク材パターンの形成
方法では、以下のような方法によりマスク材パターンを
形成する。
(4) In the mask material pattern forming method here, the mask material pattern is formed by the following method.

【0059】まず、図1(a)に示す被加工部材104
上に、図5(a)に示すように、マスク材105を形成
する。
First, the processed member 104 shown in FIG.
As shown in FIG. 5A, a mask material 105 is formed on the top.

【0060】次に、このマスク材105上に、図5
(b)に示すように、半導体元素、或いは金属元素を含
むフォトレジスト膜107を形成する。このフォトレジ
スト膜107に含まれる半導体元素は、例えばシリコ
ン、ゲルマニウムなどである。また、フォトレジスト膜
107に含まれる金属元素は、例えばタングステン、ア
ルミニウム、チタンなどである。このフォトレジスト膜
107の膜厚は限定されることはないが、5nm〜10
000nmの範囲が好ましい。フォトレジスト膜107
の膜厚が5nm未満ではレジストパターン107をマス
ク材105に寸法制御性良く転写することが困難にな
り、膜厚が10000nmより厚いとパターン露光時に
おける解像性が劣化する。このようなレジスト材料とし
ては、公知のシリコン含有レジストなどを用いることが
できる。
Next, as shown in FIG.
As shown in (b), a photoresist film 107 containing a semiconductor element or a metal element is formed. The semiconductor element contained in the photoresist film 107 is, for example, silicon or germanium. The metal element contained in the photoresist film 107 is, for example, tungsten, aluminum, titanium or the like. The thickness of the photoresist film 107 is not limited, but is 5 nm to 10 nm.
The range of 000 nm is preferred. Photoresist film 107
When the film thickness is less than 5 nm, it becomes difficult to transfer the resist pattern 107 to the mask material 105 with good dimensional controllability, and when the film thickness is more than 10000 nm, the resolution during pattern exposure deteriorates. A known silicon-containing resist or the like can be used as such a resist material.

【0061】次に、前記(1)に記述した露光方法と同
様の方法を用いて、フォトレジスト膜107に対してパ
ターン露光を行い、続いて湿式方法で現像処理を行っ
て、図5(c)に示すように、レジストパターン107
を形成する。
Next, pattern exposure is performed on the photoresist film 107 using the same method as the exposure method described in (1) above, and then development processing is performed by a wet method, as shown in FIG. ), The resist pattern 107
To form.

【0062】次に、レジストパターン107をマスクと
して用いて、少なくとも酸素を含むエッチングガスによ
り、マスク材105をエッチングする。これにより、図
5(d)に示すように、レジストパターン107をマス
ク材105に転写して、マスク材パターン105を形成
する。レジストパターン107には半導体元素、或いは
金属元素が導入されているので、マスク材105とレジ
ストパターン107との間に高選択比を保ちながら、マ
スク材105を加工することができる。これにより、マ
スク材パターン105を高精度に形成できる。
Next, using the resist pattern 107 as a mask, the mask material 105 is etched with an etching gas containing at least oxygen. As a result, as shown in FIG. 5D, the resist pattern 107 is transferred to the mask material 105 to form the mask material pattern 105. Since the semiconductor element or the metal element is introduced into the resist pattern 107, the mask material 105 can be processed while maintaining a high selectivity between the mask material 105 and the resist pattern 107. Thereby, the mask material pattern 105 can be formed with high accuracy.

【0063】次に、前述した(1)〜(4)のいずれか
の方法で形成したマスク材パターン105をマスクとし
て用いて、被加工部材(シリコン窒化膜)104をエッ
チングする。これにより、図2(b)、図3(e)、図
4(f)、及び図5(e)に示すように、マスク材パタ
ーン105を被加工部材104に転写して、被加工部材
パターン104を形成する。このときのエッチング方式
としては、例えば反応性イオンエッチング、マグネトロ
ン型反応性イオンエッチング、電子ビームイオンエッチ
ング、ICPエッチング、またはECRイオンエッチン
グなど、微細加工が可能なものであれば特に限定される
ことはない。
Next, the workpiece (silicon nitride film) 104 is etched by using the mask material pattern 105 formed by any of the above-mentioned methods (1) to (4) as a mask. As a result, as shown in FIGS. 2B, 3E, 4F, and 5E, the mask material pattern 105 is transferred to the workpiece 104, and the workpiece pattern is formed. Form 104. The etching method at this time is not particularly limited as long as fine processing is possible, such as reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR ion etching. Absent.

【0064】この第1の実施の形態におけるマスク材1
05はスパッタリングされにくい炭素原子を多く含むた
め、被加工部材104のエッチング時にマスク材パター
ン105の後退量が少ない。このため、被加工部材パタ
ーン104の加工では、被加工部材104を高精度に加
工することができる。
Mask material 1 in the first embodiment
Since 05 contains many carbon atoms that are difficult to be sputtered, the amount of receding of the mask material pattern 105 is small when the workpiece 104 is etched. Therefore, in the processing of the processed member pattern 104, the processed member 104 can be processed with high accuracy.

【0065】なお、被加工部材104のエッチングが終
了した後、酸素プラズマを含むガスで通常用いられてい
るアッシング処理を行えば、被加工部材104上に残存
するマスク材パターン105は容易に灰化除去すること
ができる。
After the etching of the member 104 to be processed, if the ashing process which is usually used with a gas containing oxygen plasma is performed, the mask material pattern 105 remaining on the member 104 to be processed is easily ashed. Can be removed.

【0066】[第2の実施の形態]この第2の実施の形
態では、前記第1の実施の形態より詳細な実施の形態を
説明する。
[Second Embodiment] In the second embodiment, a more detailed embodiment than the first embodiment will be described.

【0067】前記第1の実施の形態と同様の方法にて、
図1(a)に示すように、シリコン基板101上に、絶
縁膜102、多結晶シリコン膜103、及び被加工部材
(シリコン窒化膜)104を順次形成する。ここで、用
いられる各膜の材料、膜厚、及びその製造条件などは前
記第1の実施の形態と同様である。
By the same method as in the first embodiment,
As shown in FIG. 1A, an insulating film 102, a polycrystalline silicon film 103, and a member to be processed (silicon nitride film) 104 are sequentially formed on a silicon substrate 101. Here, the material of each film used, the film thickness, the manufacturing conditions thereof and the like are the same as those in the first embodiment.

【0068】次に、以下の(S1)〜(R3)に列記す
る方法をそれぞれ用いて、被加工部材104上に、図1
(b)に示すように、膜厚300nmのマスク材105
を形成する。
Next, by using each of the methods listed in (S1) to (R3) below, the process shown in FIG.
As shown in (b), the mask material 105 having a film thickness of 300 nm
To form.

【0069】(S1) 前記式(1)で、R〜R
、R10は水素原子、RはCH=CHの平均重
量分子量12,000のポリアリーレン10gをシクロ
ヘキサノン90gに溶解してマスク材の溶液を調製す
る。この溶液を、スピンコーティング法を用いて被加工
部材104上に塗布する。その後、180℃で60秒間
のベーキングを行い、続いて400℃で60秒間のベー
キングを行う。このようなステップベーキングにより、
被加工部材104上にマスク材105を形成する。
(S1) In the above formula (1), R 1 to R 7 ,
R 9 and R 10 are hydrogen atoms, and R 8 is CH = CH 2 , and 10 g of polyarylene having an average weight molecular weight of 12,000 is dissolved in 90 g of cyclohexanone to prepare a mask material solution. This solution is applied on the member to be processed 104 by using a spin coating method. After that, baking is performed at 180 ° C. for 60 seconds, and then baking is performed at 400 ° C. for 60 seconds. By such step baking,
A mask material 105 is formed on the workpiece 104.

【0070】(S2) 前記式(23)に記載の平均重
量分子量10、000の芳香族化合物10gをシクロヘ
キサノン90gに溶解してマスク材の溶液を調製する。
この溶液を、スピンコーティング法を用いて被加工部材
104上に塗布する。その後、180℃で60秒間のベ
ーキングを行い、続いて300℃で60秒間のベーキン
グを行う。このようなステップベーキングにより、被加
工部材104上にマスク材105を形成する。
(S2) A mask material solution is prepared by dissolving 10 g of the aromatic compound having the average weight molecular weight of 10,000 described in the above formula (23) in 90 g of cyclohexanone.
This solution is applied on the member to be processed 104 by using a spin coating method. After that, baking is performed at 180 ° C. for 60 seconds, and subsequently, baking is performed at 300 ° C. for 60 seconds. The mask material 105 is formed on the member 104 to be processed by such step baking.

【0071】(S3) 前記式(10)で、R21〜R
28は水素原子、R29はCHOH、R30はCH
の平均重量分子量10、000の芳香族多環化合物10
gをシクロヘキサノン90gに溶解してマスク材の溶液
を調製する。その他の製造条件は前記(S2)と同様で
ある。
(S3) In the above formula (10), R 21 to R 21
28 is a hydrogen atom, R 29 is CH 2 OH, R 30 is CH 2
Aromatic polycyclic compound having an average weight molecular weight of 10,000
g is dissolved in 90 g of cyclohexanone to prepare a mask material solution. Other manufacturing conditions are the same as those in (S2) above.

【0072】(S4) 前記式(13)に記載の平均重
量分子量10、000の芳香族多環化合物10gをシク
ロヘキサノン90gに溶解してマスク材の溶液を調製す
る。その他の製造条件は前記(S2)と同様である。
(S4) A mask material solution is prepared by dissolving 10 g of an aromatic polycyclic compound having an average weight molecular weight of 10,000 in formula (13) in 90 g of cyclohexanone. Other manufacturing conditions are the same as those in (S2) above.

【0073】(S5) 前記式(14)に記載の平均重
量分子量10、000の芳香族多環化合物10gをシク
ロヘキサノン90gに溶解してマスク材の溶液を調製す
る。その他の製造条件は前記(S2)と同様である。
(S5) A mask material solution is prepared by dissolving 10 g of the aromatic polycyclic compound having an average weight molecular weight of 10,000 in formula (14) in 90 g of cyclohexanone. Other manufacturing conditions are the same as those in (S2) above.

【0074】(R1) 平均重量分子量8、000のポ
リカプトンイミド10gを乳酸エチル90gに溶解して
マスク材の溶液を調製する。この溶液を、スピンコーテ
ィング法を用いて被加工部材104上に塗布する。その
後、180℃で60秒間のベーキングを行い、続いて3
00℃で60秒間のベーキングを行う。このようなステ
ップベーキングにより、被加工部材104上にマスク材
105を形成する。
(R1) 10 g of polycaptonimide having an average weight molecular weight of 8,000 is dissolved in 90 g of ethyl lactate to prepare a mask material solution. This solution is applied on the member to be processed 104 by using a spin coating method. After that, baking is performed at 180 ° C. for 60 seconds, followed by 3
Bake at 00 ° C. for 60 seconds. The mask material 105 is formed on the member 104 to be processed by such step baking.

【0075】(R2) 前記(S2)と同様のマスク材
溶液を、スピンコーティング法を用いて被加工部材10
4上に塗布する。その後、180℃で60秒間のベーキ
ングを行い、続いて250℃で60秒間のステップベー
キングを行う。このようなステップベーキングにより、
被加工部材104上にマスク材105を形成する。
(R2) The same mask material solution as in (S2) above is applied to the workpiece 10 by spin coating.
Apply on top of 4. After that, baking is performed at 180 ° C. for 60 seconds, and then step baking is performed at 250 ° C. for 60 seconds. By such step baking,
A mask material 105 is formed on the workpiece 104.

【0076】(R3) 平均重量分子量8、000のノ
ボラック樹脂10gを乳酸エチル90gに溶解してマス
ク材の溶液を調製する。その他の製造条件は前記(R
1)と同様である。以上がマスク材の形成方法である。
(R3) 10 g of a novolak resin having an average weight molecular weight of 8,000 is dissolved in 90 g of ethyl lactate to prepare a mask material solution. For other manufacturing conditions, see (R
The same as 1). The above is the method of forming the mask material.

【0077】上述の(S1)〜(S5)および(R1)
〜(R3)の方法でそれぞれシリコン基板上に各マスク
材を形成し、これらマスク材の炭素含有量を元素分析法
で測定した。各マスク材の炭素含有量は、下記の表1に
示す通りである。前記(S2)の方法と前記(R2)の
方法で形成されるマスク材の炭素含有量を比較すると、
(S2)のほうが炭素含有量が高い。マスク材溶液を高
温でベーキングすることにより、マスク材の炭素含有量
が増加するからである。このように、ベーキングにより
脱水縮合、或は脱水素反応などを生じさせて、マスク材
の炭素含有量を増加させてもよい。
The above (S1) to (S5) and (R1)
Each of the mask materials was formed on the silicon substrate by the methods (1) to (R3), and the carbon content of these mask materials was measured by the elemental analysis method. The carbon content of each mask material is as shown in Table 1 below. Comparing the carbon contents of the mask materials formed by the method (S2) and the method (R2),
(S2) has a higher carbon content. This is because the carbon content of the mask material increases by baking the mask material solution at a high temperature. Thus, the carbon content of the mask material may be increased by causing dehydration condensation or dehydrogenation reaction by baking.

【0078】[0078]

【表1】 [Table 1]

【0079】前記元素分析法は、以下のような方法にて
分析が行われる。
The elemental analysis method is performed by the following method.

【0080】まず、芳香族炭化水素化合物を燃焼して発
生したCO、HO、Nをガスクロマトグラフィで
検出する。次に、検出したCOからC(炭素)を定量
化し、同様にHOからH(水素)を、NからN(窒
素)をそれぞれ定量化する。O(酸素)量は、例えば前
記芳香族炭化水素化合物から、定量化した前記C、H、
及びNを差し引くことにより同定する。また、前記芳香
族炭化水素化合物の元素組成比は、X線光電子分光法
(XPS)、二次質量イオン分析法(SIMS)などを
用いても求めることができる。
First, CO 2 , H 2 O, and N 2 generated by burning an aromatic hydrocarbon compound are detected by gas chromatography. Next, C (carbon) is quantified from the detected CO 2 , and similarly, H 2 O to H (hydrogen) and N 2 to N (nitrogen) are quantified. The amount of O (oxygen) is, for example, the quantified C, H, or
And N are subtracted to identify. The elemental composition ratio of the aromatic hydrocarbon compound can also be obtained by using X-ray photoelectron spectroscopy (XPS), secondary mass ion analysis (SIMS), or the like.

【0081】次に、前記式(26)に記載の平均重量分
子量2、000のスピンオングラス10gをポリグリコ
ールモノプロピルエーテル90gに溶解して溶液を調製
する。この溶液を、マスク材105上に塗布して、図1
(c)に示すように、マスク材105上に膜厚80nm
の中間膜106を形成する。
Next, a solution is prepared by dissolving 10 g of spin-on glass having an average weight molecular weight of 2,000 described in the above formula (26) in 90 g of polyglycol monopropyl ether. This solution is applied on the mask material 105,
As shown in (c), a film thickness of 80 nm is formed on the mask material 105.
The intermediate film 106 is formed.

【0082】次に、JSR社製のポジ型化学増幅型レジ
スト(商品名AT111S)を中間膜106上にスピン
コーティング法を用いて塗布する。その後、塗布された
レジストに対して130℃で80秒間のベーキングを行
い、図1(d)に示すように、中間膜106上に膜厚3
00nmのフォトレジスト膜107を形成する。次に、
ArFエキシマレーザを光源とするNA=0.68の露
光装置を用いて、フォトレジスト膜107に対しパター
ン露光を行う。続いて、フォトレジスト膜107に13
0℃で80秒間のベーキングを行う。その後、0.21
NのTMAH現像液を用いて現像処理を行い、図1
(e)に示すように、110nmのラインアンドスペー
スパターンからなるレジストパターン107を形成す
る。
Next, a positive chemically amplified resist (trade name: AT111S) manufactured by JSR Corporation is applied on the intermediate film 106 by a spin coating method. After that, the applied resist is baked at 130 ° C. for 80 seconds to form a film having a thickness of 3 on the intermediate film 106 as shown in FIG.
A photoresist film 107 having a thickness of 00 nm is formed. next,
Pattern exposure is performed on the photoresist film 107 using an exposure apparatus with NA = 0.68 using an ArF excimer laser as a light source. Then, on the photoresist film 107, 13
Bake for 80 seconds at 0 ° C. Then 0.21
Development processing was performed using NMA TMAH developer, and FIG.
As shown in (e), a resist pattern 107 composed of a 110 nm line-and-space pattern is formed.

【0083】次に、反応性イオンエッチング装置を用い
て、CF、Oを含むエッチングガスで中間膜106
をエッチングする。これにより、図1(f)に示すよう
に、レジストパターン107を中間膜106に転写し、
中間膜パターン106を形成する。
Next, using the reactive ion etching device, the intermediate film 106 is etched with an etching gas containing CF 4 and O 2.
To etch. As a result, the resist pattern 107 is transferred to the intermediate film 106 as shown in FIG.
The intermediate film pattern 106 is formed.

【0084】次に、反応性イオンエッチング装置を用い
て、N、Oを含むエッチングガスでマスク材105
をエッチングする。これにより、図2(a)に示すよう
に、中間膜パターン106をマスク材105に転写し、
マスク材パターン105を形成する。ここで、前述した
ように、中間膜パターン106の寸法をYとし、マスク
材パターン105の寸法をVとすると、マスク材パター
ン105の炭素含有量とマスク材パターン105のサイ
ドエッチング量(Y−V)との関係は図6に示すように
なる。マスク材の炭素含有量が高いほどサイドエッチン
グ量が少なく、概ね炭素含有量が80wt%以上で許容
値の5nm以下になっている。これより、マスク材の炭
素含有量が80wt%以上の場合、マスク材パターン1
05を加工する際に生じるサイドエッチング量の低減効
果が大きいことがわかる。炭素含有量が高いマスク材ほ
ど、酸素ラジカルに対する耐性が高く、サイドエッチン
グ量が抑えられたと考えられる。
Next, using a reactive ion etching apparatus, the mask material 105 is etched with an etching gas containing N 2 and O 2.
To etch. As a result, as shown in FIG. 2A, the intermediate film pattern 106 is transferred to the mask material 105,
A mask material pattern 105 is formed. As described above, assuming that the dimension of the intermediate film pattern 106 is Y and the dimension of the mask material pattern 105 is V, the carbon content of the mask material pattern 105 and the side etching amount of the mask material pattern 105 (Y−V ) Is as shown in FIG. The higher the carbon content of the mask material is, the smaller the side etching amount is, and the carbon content is generally 80 wt% or more and the allowable value is 5 nm or less. From this, when the carbon content of the mask material is 80 wt% or more, the mask material pattern 1
It can be seen that the effect of reducing the side etching amount generated when processing No. 05 is large. It is considered that the higher the carbon content of the mask material, the higher the resistance to oxygen radicals and the smaller the side etching amount.

【0085】次に、反応性イオンエッチング装置を用い
て、CF、Oを含むエッチングガスで被加工部材1
04をエッチングする。これにより、マスク材パターン
105を被加工部材104に転写し、図2(b)に示す
ように、被加工部材パターン(シリコン窒化膜パター
ン)104を形成する。
Next, using the reactive ion etching apparatus, the member 1 to be processed is etched with an etching gas containing CF 4 and O 2.
Etch 04. As a result, the mask material pattern 105 is transferred to the workpiece 104, and the workpiece pattern (silicon nitride film pattern) 104 is formed as shown in FIG.

【0086】ここで、前述したように、マスク材パター
ン105の寸法をV、被加工部材パターン104の寸法
をWとし、V−Wを加工変換差とする。被加工部材のエ
ッチング工程で生じる、マスク材パターン105の炭素
含有量と加工変換差(V−W)との関係は図7に示すよ
うになる。また、被加工部材のエッチング条件下でのマ
スク材パターン105の炭素含有量とマスク材パターン
105のエッチングレートとの関係は図7に示すように
なる。炭素含有量が高いマスク材パターン105を用い
た場合ほど加工変換差が小さく、炭素含有量が概ね80
wt%以上で許容値の5nm以下になっている。これよ
り、マスク材パターン105の炭素含有量が80wt%
以上の場合、マスク材パターン105のエッチング耐性
の改善効果が大きいことが分かる。特に、炭素含有量が
90wt%以上の場合、加工変換差が小さく寸法制御性
が高いことが分かる。
Here, as described above, the dimension of the mask material pattern 105 is V, the dimension of the member pattern 104 to be processed is W, and VW is the processing conversion difference. The relationship between the carbon content of the mask material pattern 105 and the processing conversion difference (V-W), which occurs in the etching process of the member to be processed, is as shown in FIG. Further, the relationship between the carbon content of the mask material pattern 105 and the etching rate of the mask material pattern 105 under the etching condition of the member to be processed is as shown in FIG. When the mask material pattern 105 having a high carbon content is used, the processing conversion difference is small, and the carbon content is about 80.
It is less than the allowable value of 5 nm at wt% or more. From this, the carbon content of the mask material pattern 105 is 80 wt%.
In the above cases, it can be seen that the effect of improving the etching resistance of the mask material pattern 105 is great. In particular, when the carbon content is 90 wt% or more, it can be seen that the processing conversion difference is small and the dimensional controllability is high.

【0087】その後、アッシング装置を用いて、被加工
部材パターン104上のマスク材パターン105を灰化
除去する。
After that, the mask material pattern 105 on the member pattern 104 to be processed is ashed and removed by using an ashing device.

【0088】次に、反応性イオンエッチング装置を用い
て、少なくともClを含むエッチングガスで導電材で
ある多結晶シリコン膜103をエッチングする。これに
より、図2(c)に示すように、被加工部材パターン1
04を多結晶シリコン膜103に転写し、多結晶シリコ
ンパターン103を形成する。この多結晶シリコン膜1
03のエッチング工程では、高精度で加工された被加工
部材パターン104をマスクとして、多結晶シリコン膜
103の加工が行われるため、高精度に多結晶シリコン
パターンの形成を行うことができる。
Next, the reactive ion etching apparatus is used to etch the polycrystalline silicon film 103 as a conductive material with an etching gas containing at least Cl 2 . As a result, as shown in FIG. 2C, the workpiece member pattern 1
04 is transferred to the polycrystalline silicon film 103 to form a polycrystalline silicon pattern 103. This polycrystalline silicon film 1
In the etching step of 03, since the polycrystalline silicon film 103 is processed using the processed member pattern 104 processed with high accuracy as a mask, the polycrystalline silicon pattern can be formed with high accuracy.

【0089】[第3の実施の形態]この第3の実施の形
態では、前記第1の実施の形態で記述した(2)の方法
により形成するレジストパターン107を用いる製造方
法を説明する。
[Third Embodiment] In the third embodiment, a manufacturing method using a resist pattern 107 formed by the method (2) described in the first embodiment will be described.

【0090】前記第1の実施の形態と同様の方法にて、
図1(a)に示すように、シリコン基板101上に、絶
縁膜102、多結晶シリコン膜103、及び被加工部材
(シリコン窒化膜)104を順次形成する。ここで、用
いられる各膜の材料、膜厚、及びその製造条件などは前
記第1の実施の形態と同様である。
In the same manner as in the first embodiment,
As shown in FIG. 1A, an insulating film 102, a polycrystalline silicon film 103, and a member to be processed (silicon nitride film) 104 are sequentially formed on a silicon substrate 101. Here, the material of each film used, the film thickness, the manufacturing conditions thereof and the like are the same as those in the first embodiment.

【0091】次に、前記第2の実施の形態において、
(S1)〜(S5)及び(R1)〜(R3)に列記した
方法をそれぞれ用いて、被加工部材104上に、図3
(a)に示すように、膜厚300nmのマスク材105
を形成する。
Next, in the second embodiment,
Using the methods listed in (S1) to (S5) and (R1) to (R3), respectively, on the member 104 to be processed, as shown in FIG.
As shown in (a), the mask material 105 having a film thickness of 300 nm is used.
To form.

【0092】次に、平均重量分子量12000のポリビ
ニルフェノール10gを乳酸エチル90gに溶解してレ
ジスト溶液を調製する。このレジスト溶液を、スピンコ
ーティング法でマスク材105上に塗布する。その後、
100℃で80秒間のベーキングを行い、図3(b)に
示すように、膜厚100nmのフォトレジスト膜107
を形成する。
Next, 10 g of polyvinylphenol having an average weight molecular weight of 12000 is dissolved in 90 g of ethyl lactate to prepare a resist solution. This resist solution is applied on the mask material 105 by spin coating. afterwards,
Baking is performed at 100 ° C. for 80 seconds, and as shown in FIG. 3B, the photoresist film 107 having a film thickness of 100 nm is formed.
To form.

【0093】次に、ArFエキシマレーザを光源とする
NA=0.68の露光装置を用いてパターン露光を行
う。これにより、図3(c)に示す露光部分107Aの
水酸基を縮合させる。続いて、真空度10mTのヘキサ
メチルジシラザンの雰囲気にフォトレジスト膜107を
さらす。これにより、フォトレジスト膜107の未露光
部分の水酸基にシリコンを導入して、図3(c)に示す
ように、シリル化部分107Bを形成する。
Next, pattern exposure is performed by using an exposure apparatus with NA = 0.68 using an ArF excimer laser as a light source. As a result, the hydroxyl groups of the exposed portion 107A shown in FIG. 3C are condensed. Then, the photoresist film 107 is exposed to an atmosphere of hexamethyldisilazane having a vacuum degree of 10 mT. As a result, silicon is introduced into the hydroxyl groups in the unexposed portion of the photoresist film 107 to form the silylated portion 107B as shown in FIG.

【0094】次に、前記第2の実施の形態と同様の方法
にて、フォトレジスト膜の露光部分107A及びマスク
材105をエッチングする。これにより、図3(d)に
示すように、レジストパターン107Bをマスク材に転
写して、マスク材パターン105を形成する。ここで、
レジストパターン107Bの寸法をY、マスク材パター
ン105の寸法をVとし、寸法Yと寸法Vとの寸法差を
サイドエッチング量(Y−V)とする。このときのサイ
ドエッチング量の測定結果を表1に示す。炭素含有量を
80wt%以上にすると、前記第2の実施の形態と同様
に、サイドエッチング量が許容値の5nm以下となり、
少ないサイドエッチング量でマスク材パターン105を
加工できる。
Next, the exposed portion 107A of the photoresist film and the mask material 105 are etched by the same method as in the second embodiment. Thereby, as shown in FIG. 3D, the resist pattern 107B is transferred to the mask material to form the mask material pattern 105. here,
The dimension of the resist pattern 107B is Y, the dimension of the mask material pattern 105 is V, and the dimension difference between the dimension Y and the dimension V is the side etching amount (YV). Table 1 shows the measurement results of the side etching amount at this time. When the carbon content is 80 wt% or more, the side etching amount becomes 5 nm or less, which is the allowable value, as in the second embodiment,
The mask material pattern 105 can be processed with a small side etching amount.

【0095】次に、前記第2の実施の形態と同様の方法
にて、被加工部材(シリコン窒化膜)104をエッチン
グして、図3(e)に示すように、被加工部材パターン
104を形成する。ここで、被加工部材パターン104
の寸法をWとし、前記マスク材パターン105の寸法V
と寸法Wとの寸法差を加工変換差(V−W)とする。炭
素含有量を80wt%以上にすると、前記第2の実施の
形態と同様に、加工変換差が許容値の5nm以下とな
り、高精度に被加工部材パターン104を加工できる。
Next, the member to be processed (silicon nitride film) 104 is etched by the same method as in the second embodiment, and the pattern 104 to be processed is formed as shown in FIG. 3 (e). Form. Here, the workpiece pattern 104
Is W, and the dimension V of the mask material pattern 105 is
And the dimension difference between the dimension W and the dimension W are defined as a machining conversion difference (V-W). When the carbon content is 80 wt% or more, the processing conversion difference is 5 nm or less, which is the allowable value, as in the second embodiment, and the workpiece member pattern 104 can be processed with high accuracy.

【0096】さらに、前記第2の実施の形態と同様の方
法にて、多結晶シリコン膜103をエッチングし、図3
(f)に示すように、多結晶シリコン膜パターン103
を形成する。この多結晶シリコン膜のエッチング工程で
は、高精度で加工された前記被加工部材パターン104
をマスクとして用いて、多結晶シリコン膜103の加工
が行われるため、高精度に多結晶シリコン膜パターン1
03を加工することができる。
Further, the polycrystalline silicon film 103 is etched by the same method as that of the second embodiment, and the structure shown in FIG.
As shown in (f), the polycrystalline silicon film pattern 103
To form. In the etching process of the polycrystalline silicon film, the processed member pattern 104 processed with high precision is used.
Since the polycrystalline silicon film 103 is processed by using the mask as a mask, the polycrystalline silicon film pattern 1 is highly accurately processed.
03 can be processed.

【0097】[第4の実施の形態]この第4の実施の形
態では、前記第1の実施の形態で記述した(3)の方法
により形成するレジストパターン107を用いる製造方
法を説明する。
[Fourth Embodiment] In the fourth embodiment, a manufacturing method using a resist pattern 107 formed by the method (3) described in the first embodiment will be described.

【0098】前記第1の実施の形態と同様の方法にて、
図1(a)に示すように、シリコン基板101上に、絶
縁膜102、多結晶シリコン膜103、及び被加工部材
(シリコン窒化膜)104を順次形成する。ここで、用
いられる各膜の材料、膜厚、及びその製造条件などは前
記第1の実施の形態と同様である。
By the same method as in the first embodiment,
As shown in FIG. 1A, an insulating film 102, a polycrystalline silicon film 103, and a member to be processed (silicon nitride film) 104 are sequentially formed on a silicon substrate 101. Here, the material of each film used, the film thickness, the manufacturing conditions thereof and the like are the same as those in the first embodiment.

【0099】次に、前記第2の実施の形態において、
(S1)〜(S5)及び(R1)〜(R3)に列記した
方法をそれぞれ用いて、被加工部材104上に、図4
(a)に示すように、膜厚300nmのマスク材105
を形成する。
Next, in the second embodiment,
Using the methods listed in (S1) to (S5) and (R1) to (R3), respectively, on the member 104 to be processed, as shown in FIG.
As shown in (a), the mask material 105 having a film thickness of 300 nm is used.
To form.

【0100】次に、このマスク材105上に、JSR社
製のポジ型化学増幅型レジスト(商品名AT111S)
を、スピンコーティング法を用いて塗布する。その後、
100℃で80秒間のベーキングを行い、図4(b)に
示すように、膜厚100nmのフォトレジスト膜107
を形成する。
Next, on the mask material 105, a positive chemically amplified resist (trade name AT111S) manufactured by JSR Corporation is used.
Is applied using a spin coating method. afterwards,
After baking at 100 ° C. for 80 seconds, as shown in FIG. 4B, a photoresist film 107 having a film thickness of 100 nm is formed.
To form.

【0101】次に、ArFエキシマレーザーを光源とす
るNA=0.68の露光装置を用いてパターン露光を行
い、100℃で80秒間のベーキングを行う。その後、
0.21NのTMAH現像液を用いて現像処理を行う。
これにより、図4(c)に示すように、130nmライ
ンアンドスペースパターンからなるレジストパターン1
07を形成する。
Next, pattern exposure is performed using an exposure apparatus with NA = 0.68 using an ArF excimer laser as a light source, and baking is performed at 100 ° C. for 80 seconds. afterwards,
Develop with 0.21N TMAH developer.
As a result, as shown in FIG. 4C, the resist pattern 1 including the 130 nm line and space pattern is formed.
07 is formed.

【0102】次に、真空度10mTのヘキサメチルジシ
ラザンの雰囲気に、レジストパターン107をさらす。
これにより、レジストパターン107中の水酸基にシリ
コンを導入して、図4(d)に示すように、シリル化部
分107Cを形成する。
Next, the resist pattern 107 is exposed to an atmosphere of hexamethyldisilazane having a vacuum degree of 10 mT.
As a result, silicon is introduced into the hydroxyl groups in the resist pattern 107 to form the silylated portion 107C as shown in FIG. 4 (d).

【0103】次に、前記第2の実施の形態と同様の方法
にて、マスク材105をエッチングしてレジストパター
ン107Cをマスク材に転写し、図4(e)に示すよう
に、マスク材パターン105を形成する。ここで、レジ
ストパターン107Cの寸法をY、マスク材パターン1
05の寸法をVとし、寸法Yと寸法Vとの寸法差をサイ
ドエッチング量(Y−V)とする。このときのサイドエ
ッチング量の測定結果を表1に示す。炭素含有量を80
wt%以上にすると、前記第2の実施の形態と同様に、
サイドエッチング量が許容値の5nm以下となり、少な
いサイドエッチング量でマスク材パターン105を加工
できる。
Then, the mask material 105 is etched to transfer the resist pattern 107C to the mask material by the same method as in the second embodiment, and as shown in FIG. Form 105. Here, the dimension of the resist pattern 107C is Y, the mask material pattern 1
The dimension of 05 is V, and the dimension difference between the dimension Y and the dimension V is the side etching amount (Y-V). Table 1 shows the measurement results of the side etching amount at this time. Carbon content 80
When it is set to be wt% or more, as in the second embodiment,
The side etching amount becomes 5 nm or less, which is an allowable value, and the mask material pattern 105 can be processed with a small side etching amount.

【0104】次に、前記第2の実施の形態と同様の方法
にて、被加工部材(シリコン窒化膜)104をエッチン
グして、図4(f)に示すように、被加工部材パターン
104を形成する。ここで、被加工部材パターン104
の寸法をWとし、前記マスク材パターン105の寸法V
と寸法Wとの寸法差を加工変換差(V−W)とする。炭
素含有量を80wt%以上にすると、前記第2の実施の
形態と同様に、加工変換差が許容値の5nm以下とな
り、高精度に被加工部材パターン104を加工できる。
Then, the member to be processed (silicon nitride film) 104 is etched by the same method as in the second embodiment, and the member to be processed pattern 104 is formed as shown in FIG. 4 (f). Form. Here, the workpiece pattern 104
Is W, and the dimension V of the mask material pattern 105 is
And the dimension difference between the dimension W and the dimension W are defined as a machining conversion difference (V-W). When the carbon content is 80 wt% or more, the processing conversion difference is 5 nm or less, which is the allowable value, as in the second embodiment, and the workpiece member pattern 104 can be processed with high accuracy.

【0105】さらに、前記第2の実施の形態と同様の方
法にて、多結晶シリコン膜103をエッチングし、図4
(g)に示すように、多結晶シリコン膜パターン103
を形成する。この多結晶シリコン膜のエッチング工程で
は、高精度で加工された前記被加工部材パターン104
をマスクとして用いて、多結晶シリコン膜103の加工
が行われるため、高精度に多結晶シリコン膜パターン1
03を加工することができる。
Further, the polycrystalline silicon film 103 is etched by the same method as in the second embodiment, and the structure shown in FIG.
As shown in (g), the polycrystalline silicon film pattern 103
To form. In the etching process of the polycrystalline silicon film, the processed member pattern 104 processed with high precision is used.
Since the polycrystalline silicon film 103 is processed by using the mask as a mask, the polycrystalline silicon film pattern 1 is highly accurately processed.
03 can be processed.

【0106】[第5の実施の形態]この第5の実施の形
態では、前記第1の実施の形態で記述した(4)の方法
により形成するレジストパターン107を用いる製造方
法を説明する。
[Fifth Embodiment] In the fifth embodiment, a manufacturing method using a resist pattern 107 formed by the method (4) described in the first embodiment will be described.

【0107】前記第1の実施の形態と同様の方法にて、
図1(a)に示すように、シリコン基板101上に、絶
縁膜102、多結晶シリコン膜103、及び被加工部材
(シリコン窒化膜)104を順次形成する。ここで、用
いられる各膜の材料、膜厚、及びその製造条件などは前
記第1の実施の形態と同様である。
According to the same method as in the first embodiment,
As shown in FIG. 1A, an insulating film 102, a polycrystalline silicon film 103, and a member to be processed (silicon nitride film) 104 are sequentially formed on a silicon substrate 101. Here, the material of each film used, the film thickness, the manufacturing conditions thereof and the like are the same as those in the first embodiment.

【0108】次に、前記第2の実施の形態において、
(S1)〜(S5)及び(R1)〜(R3)に列記した
方法をそれぞれ用いて、被加工部材104上に、図5
(a)に示すように、膜厚300nmのマスク材105
を形成する。
Next, in the second embodiment,
Using the methods listed in (S1) to (S5) and (R1) to (R3), respectively, on the member 104 to be processed, as shown in FIG.
As shown in (a), the mask material 105 having a film thickness of 300 nm is used.
To form.

【0109】次に、下記式(49)に記載のシリコンを
含有した平均重量分子量12000の抑止剤樹脂9g、
酸発生剤としてスルフォンイミド1gを乳酸エチル80
gに溶解して溶液を調製する。この溶液を、スピンコー
ティング法を用いてマスク材上に塗布する。その後、1
00℃で80秒間のベーキングを行い、図5(b)に示
すように、膜厚100nmのシリコン含有フォトレジス
ト膜107を形成する。
Next, 9 g of an inhibitor resin containing silicon represented by the following formula (49) and having an average weight molecular weight of 12000,
1 g of sulfonimide as an acid generator in 80% ethyl lactate
Dissolve in g to prepare a solution. This solution is applied on the mask material using the spin coating method. Then 1
Baking is performed at 00 ° C. for 80 seconds to form a silicon-containing photoresist film 107 having a film thickness of 100 nm as shown in FIG.

【0110】[0110]

【化13】 [Chemical 13]

【0111】次に、ArFエキシマレーザーを光源とす
るNA=0.68の露光装置を用いてパターン露光を行
う。続いて、100℃で80秒間ベーキングした後、
0.21NのTMAH現像液を用いて現像処理を行い、
図5(c)に示すように、130nmのラインアンドス
ペースパターンからなるレジストパターン107を形成
する。
Next, pattern exposure is carried out using an exposure apparatus with NA = 0.68 using an ArF excimer laser as a light source. Then, after baking at 100 ° C. for 80 seconds,
Develop with 0.21N TMAH developer,
As shown in FIG. 5C, a resist pattern 107 having a 130 nm line and space pattern is formed.

【0112】次に、前記第2の実施の形態と同様の方法
にて、マスク材105をエッチングしてレジストパター
ン107をマスク材に転写し、図5(d)に示すよう
に、マスク材パターン105を形成する。ここで、レジ
ストパターン107の寸法をY、マスク材パターン10
5の寸法をVとし、寸法Yと寸法Vとの寸法差をサイド
エッチング量(Y−V)とする。このときのサイドエッ
チング量の測定結果を表1に示す。炭素含有量を80w
t%以上にすると、前記第2の実施の形態と同様に、サ
イドエッチング量が許容値の5nm以下となり、少ない
サイドエッチング量でマスク材パターン105を加工で
きる。
Then, the mask material 105 is etched to transfer the resist pattern 107 to the mask material by the same method as in the second embodiment, and as shown in FIG. Form 105. Here, the dimension of the resist pattern 107 is Y, the mask material pattern 10
The dimension 5 is V, and the dimension difference between the dimension Y and the dimension V is the side etching amount (Y-V). Table 1 shows the measurement results of the side etching amount at this time. 80w carbon content
When t% or more, as in the second embodiment, the side etching amount becomes 5 nm or less, which is an allowable value, and the mask material pattern 105 can be processed with a small side etching amount.

【0113】次に、前記第2の実施の形態と同様の方法
にて、被加工部材(シリコン窒化膜)104をエッチン
グして、図5(e)に示すように、被加工部材パターン
104を形成する。ここで、被加工部材パターン104
の寸法をWとし、前記マスク材パターン105の寸法V
と寸法Wとの寸法差を加工変換差(V−W)とする。炭
素含有量を80wt%以上にすると、前記第2の実施の
形態と同様に、加工変換差が許容値の5nm以下とな
り、高精度に被加工部材パターン104を加工できる。
Then, the member to be processed (silicon nitride film) 104 is etched by the same method as that of the second embodiment to form the member pattern 104 to be processed as shown in FIG. 5 (e). Form. Here, the workpiece pattern 104
Is W, and the dimension V of the mask material pattern 105 is
And the dimension difference between the dimension W and the dimension W are defined as a machining conversion difference (V-W). When the carbon content is 80 wt% or more, the processing conversion difference is 5 nm or less, which is the allowable value, as in the second embodiment, and the workpiece member pattern 104 can be processed with high accuracy.

【0114】さらに、前記第2の実施の形態と同様の方
法にて、多結晶シリコン膜103をエッチングし、図5
(f)に示すように、多結晶シリコン膜パターン103
を形成する。この多結晶シリコン膜のエッチング工程で
は、高精度で加工された前記被加工部材パターン104
をマスクとして用いて、多結晶シリコン膜103の加工
が行われるため、高精度に多結晶シリコン膜パターン1
03を加工することができる。
Further, the polycrystalline silicon film 103 is etched by the same method as that of the second embodiment, and the structure shown in FIG.
As shown in (f), the polycrystalline silicon film pattern 103
To form. In the etching process of the polycrystalline silicon film, the processed member pattern 104 processed with high precision is used.
Since the polycrystalline silicon film 103 is processed by using the mask as a mask, the polycrystalline silicon film pattern 1 is highly accurately processed.
03 can be processed.

【0115】[第6の実施の形態]この第6の実施の形
態では、多層膜を用いてパターンを形成し、このパター
ンをマスクにして多層配線構造を有する半導体装置を製
造する方法を例にとり説明する。ここでは、半導体装置
の高速化を図るために、電気抵抗の低い、所謂低抵抗の
金属配線と比誘電率の低い層間絶縁膜を所定の位置に配
置して、多層配線構造を形成するものとする。
[Sixth Embodiment] In the sixth embodiment, a method of forming a pattern using a multilayer film and using the pattern as a mask to manufacture a semiconductor device having a multilayer wiring structure is taken as an example. explain. Here, in order to increase the speed of the semiconductor device, a so-called low resistance metal wiring having a low electric resistance and an interlayer insulating film having a low relative dielectric constant are arranged at predetermined positions to form a multilayer wiring structure. To do.

【0116】図8(a)〜図8(f)は、この発明の第
6の実施の形態の半導体装置の製造方法を示す各工程の
断面図である。なお、図8(a)〜図8(f)は、パタ
ーンの長手方向に垂直な方向の断面図を示す。
FIGS. 8A to 8F are cross-sectional views of each step showing the method for manufacturing a semiconductor device according to the sixth embodiment of the present invention. 8 (a) to 8 (f) show cross-sectional views in a direction perpendicular to the longitudinal direction of the pattern.

【0117】まず、シリコン基板201上に、絶縁膜2
02を形成する。この絶縁膜202中に、バリア膜20
3を介在して1層目の金属配線層204を形成する。金
属配線層204には、銅(Cu)配線、アルミニウム
(Al)配線、アルミニウム合金配線等、低抵抗の配線
材料を用いる。
First, the insulating film 2 is formed on the silicon substrate 201.
02 is formed. In this insulating film 202, the barrier film 20
The first metal wiring layer 204 is formed with 3 interposed therebetween. For the metal wiring layer 204, a low-resistance wiring material such as copper (Cu) wiring, aluminum (Al) wiring, or aluminum alloy wiring is used.

【0118】その後、前記絶縁膜202及び金属配線層
204上に、バリア膜205を介して層間絶縁膜206
を800nm程度の膜厚で形成する。ここで、バリア膜
205には、例えば公知のプラズマCVD法等で形成し
たシリコン窒化膜等を用いる。また、層間絶縁膜206
には、比誘電率の値が3.9以下の低誘電率の絶縁膜を
用いる。この低誘電率の絶縁膜は、例えば、有機シリコ
ン酸化膜等の有機成分(炭化水素(CH)成分等)を含む絶
縁膜である。前記層間絶縁膜206、即ち有機シリコン
酸化膜等は、スピンコーティング法等、公知の塗布法を
用いて、シリコン基板201を回転させながらその上に
直接塗布形成される。
After that, an interlayer insulating film 206 is formed on the insulating film 202 and the metal wiring layer 204 via a barrier film 205.
Is formed with a film thickness of about 800 nm. Here, for the barrier film 205, for example, a silicon nitride film formed by a known plasma CVD method or the like is used. In addition, the interlayer insulating film 206
For this, an insulating film having a low dielectric constant with a relative dielectric constant value of 3.9 or less is used. The low dielectric constant insulating film is an insulating film containing an organic component (hydrocarbon (CH) component or the like) such as an organic silicon oxide film. The interlayer insulating film 206, that is, the organic silicon oxide film is directly formed on the silicon substrate 201 while rotating the silicon substrate 201 by using a known coating method such as a spin coating method.

【0119】その後、層間絶縁膜206上に、有機系の
膜207、有機シリコン酸化膜208及びフォトレジス
ト膜209を塗布法により順次、積層状に形成して三層
構造の多層膜を形成する。この多層膜の各層を順次加工
してパターンを転写し、被加工部材上に所定の形状及び
寸法を有するマスクパターンを形成する。
After that, an organic film 207, an organic silicon oxide film 208, and a photoresist film 209 are sequentially formed on the interlayer insulating film 206 by a coating method to form a multilayer film having a three-layer structure. Each layer of this multilayer film is sequentially processed to transfer the pattern, and a mask pattern having a predetermined shape and size is formed on the member to be processed.

【0120】以下に、三層構造の多層膜を構成する各層
の成膜方法について、具体的に説明する。
The method for forming each layer constituting the multi-layer film having the three-layer structure will be specifically described below.

【0121】まず、層間絶縁膜206上に、下層膜とし
て、有機系の膜207を500nm程度の膜厚で形成す
る。有機系の膜207は、芳香環を有し、炭素(原子の)
含有量が80wt%以上、望ましくは90wt%以上で
あり、エッチング耐性の高い硬質性を有する膜とする。
ここでは一例として、有機系の膜207には、公知の塗
布法を用いて、炭素(原子の)含有量が93wt%の膜を
用いる。このような組成の膜は、以下のような工程によ
り形成するとよい。まず、炭素(C)を含有する化合物を
所定の溶剤、即ち有機溶剤に溶解して塗布溶液を調製す
る。この塗布溶液を、スピンコーティング法等の公知の
塗布法を用いて、シリコン基板201を回転させながら
層間絶縁膜206上に膜状に塗布する。その後、この塗
布された膜を所定の温度で熱処理して焼成させる。これ
により、層間絶縁膜206上に有機系の膜207を50
0nm程度の膜厚で形成する。
First, an organic film 207 having a thickness of about 500 nm is formed as a lower layer film on the interlayer insulating film 206. The organic film 207 has an aromatic ring and carbon (atomic)
The content is 80 wt% or more, preferably 90 wt% or more, and the film has high etching resistance and hardness.
Here, as an example, as the organic film 207, a film having a carbon (atom) content of 93 wt% is used by using a known coating method. The film having such a composition may be formed by the following steps. First, a compound containing carbon (C) is dissolved in a predetermined solvent, that is, an organic solvent to prepare a coating solution. The coating solution is applied in a film form on the interlayer insulating film 206 by rotating the silicon substrate 201 by using a known coating method such as a spin coating method. After that, the applied film is heat-treated and baked at a predetermined temperature. As a result, the organic film 207 is formed on the interlayer insulating film 206 by 50
It is formed with a film thickness of about 0 nm.

【0122】なお、下層膜である有機系の膜207の塗
布溶液を形成するために、以下のような材料が用いられ
る。炭素(C)を含有する化合物には、例えば、ポリアリ
ーレン、ポリアリーレンエーテル、フェノール樹脂、フ
ェノールノボラック、及び芳香族多環樹脂等が用いられ
る。また、これらの単体に限らず、この中の数種類の化
合物を混合して用いることもできる。即ち、所謂芳香環
を含む化合物であれば、所定の溶剤に溶かし込み、炭素
(原子の)含有量が90wt%以上(100wt%未満)の
有機系の膜を容易に形成することができる。この実施の
形態では、一例として、炭素を含有する化合物に芳香族
多環樹脂を用いる。そして、炭素(原子の)含有量は、9
0〜95wt%程度の範囲に収まるように、一例として
93wt%に設定する。
The following materials are used to form a coating solution for the organic film 207 which is the lower layer film. Examples of the compound containing carbon (C) include polyarylene, polyarylene ether, phenol resin, phenol novolac, and aromatic polycyclic resin. Moreover, not only these simple substances but also several kinds of compounds can be mixed and used. That is, if it is a compound containing a so-called aromatic ring, dissolve it in a predetermined solvent,
It is possible to easily form an organic film having a (atomic) content of 90 wt% or more (less than 100 wt%). In this embodiment, as an example, an aromatic polycyclic resin is used as the compound containing carbon. The carbon (atomic) content is 9
As an example, it is set to 93 wt% so that it falls within the range of about 0 to 95 wt%.

【0123】また、前記所定の溶媒、即ち有機系の溶剤
には、例えば、アセトン、メチルエチルケトン等のケト
ン系溶剤、メチルセロソルブ、メチルセロソルブアセテ
ート等のセロソルブ系溶剤、乳酸エチル、酢酸エチル等
のエステル系溶剤、メタノール、エタノール等のアルコ
ール系溶剤、その他、アニソール、トルエン等が用いら
れる。
The predetermined solvent, that is, an organic solvent includes, for example, a ketone solvent such as acetone and methyl ethyl ketone, a cellosolve solvent such as methyl cellosolve and methyl cellosolve acetate, and an ester solvent such as ethyl lactate and ethyl acetate. Solvents, alcoholic solvents such as methanol and ethanol, and anisole and toluene are used.

【0124】ここで、有機系の膜207の炭素(原子の)
含有量を高め、これを塗布法で形成するためには、炭素
(原子の)含有量が高く、尚かつ高分子状の化合物(以
下、これを炭素系のポリマー材とする)を溶剤に溶かす
必要がある。そのためには、酸素(O)、水素(H)、また
は窒素(N)の単体、またはそれらを含む化合物等を、修
飾基として炭素系のポリマー材に付加しておくことも有
効である。これらの修飾基を媒介物として、前述した有
機系の溶剤と炭素(原子の)含有量の高い炭素系のポリマ
ー材を相互作用させることにより、塗布溶液を形成する
ことができる。ここで、炭素系のポリマー材は、有機系
の溶剤に溶解して塗布溶液を形成するように、その分子
量を調整する。その後、この塗布溶液を所定の温度で熱
処理すると、溶剤の一部が気化すると同時に、炭素系の
ポリマー材の分子同士が熱架橋型の反応によって化学結
合する。これにより、炭素(原子の)含有量が90wt%
以上(100wt%未満)の有機系の膜207を形成する
ことができる。このようにして形成された有機系の膜
は、通常のフォトレジスト膜よりも硬質性を有し、エッ
チング耐性の度合いも高いものとなる。
Here, carbon (atoms) of the organic film 207 is used.
In order to increase the content and to form this by the coating method, carbon
It is necessary to dissolve a high-molecular (atom) content and high molecular compound (hereinafter referred to as a carbon-based polymer material) in a solvent. For that purpose, it is also effective to add a simple substance of oxygen (O), hydrogen (H), or nitrogen (N), or a compound containing them as a modifying group to the carbon-based polymer material. A coating solution can be formed by interacting the above-mentioned organic solvent and a carbon-based polymer material having a high carbon (atom) content with these modifying groups as mediators. Here, the molecular weight of the carbon-based polymer material is adjusted so as to be dissolved in an organic solvent to form a coating solution. Then, when this coating solution is heat-treated at a predetermined temperature, a part of the solvent is vaporized, and at the same time, the molecules of the carbon-based polymer material are chemically bonded to each other by a thermal crosslinking reaction. As a result, the carbon (atomic) content is 90 wt%
The above organic film 207 (less than 100 wt%) can be formed. The organic film thus formed has a higher hardness than a normal photoresist film and has a higher degree of etching resistance.

【0125】次に、下層膜である有機系の膜207上
に、中間膜として、有機シリコン酸化膜208を90n
m程度の膜厚で形成する。有機シリコン酸化膜208
は、塗布法によって形成された、公知のSOG膜の一種
である。
Next, an organic silicon oxide film 208 of 90 n is formed as an intermediate film on the organic film 207 which is a lower layer film.
It is formed with a film thickness of about m. Organic silicon oxide film 208
Is a kind of known SOG film formed by a coating method.

【0126】一般的に、三層構造の多層膜よりマスクパ
ターンを形成する場合、中間膜には、公知のSOG膜を
用いるとよい。中間膜においては、ドライエッチング技
術によって、所定の形状及び寸法にパターンが形成でき
るように、その膜厚をできるだけ薄くすることが望まし
い。また、SOG膜は、塗布法によって形成されたシリ
コン酸化膜である。このSOG膜は、回転するシリコン
基板上に遠心力を利用して直接塗布されるために、その
表面は平坦で、尚かつ薄膜状に形成され易いという特長
を有する。従って、三層構造の多層膜を用いてマスクパ
ターンを形成する場合、中間膜にSOG膜を用いれば、
上層膜と下層膜の厚さ、及び上層膜と下層膜とのエッチ
ング選択比(=単位時間あたりの被エッチング量の差)を
考慮して、その膜厚を容易に薄く形成することができ
る。
Generally, when forming a mask pattern from a multilayer film having a three-layer structure, a known SOG film may be used as the intermediate film. It is desirable to make the thickness of the intermediate film as thin as possible so that a pattern can be formed in a predetermined shape and size by a dry etching technique. The SOG film is a silicon oxide film formed by a coating method. Since this SOG film is directly applied onto a rotating silicon substrate by utilizing centrifugal force, it has a feature that its surface is flat and is easily formed into a thin film. Therefore, when the mask pattern is formed by using the multilayer film having the three-layer structure, if the SOG film is used as the intermediate film,
In consideration of the thicknesses of the upper layer film and the lower layer film and the etching selection ratio between the upper layer film and the lower layer film (= difference in etching amount per unit time), the film thickness can be easily made thin.

【0127】また、本実施の形態において、中間膜は、
下層膜、即ち有機系の膜207をエッチングする酸素プ
ラズマに対してエッチング耐性を有し、不揮発な成分を
含む材料であれば特に限定する必要はない。具体的な中
間膜の材料には、例えば有機成分を含む酸化物として有
機シリコン酸化膜、あるいは無機成分を含む酸化物とし
てシリコン酸化膜(SiO)等が用いられる。
In this embodiment, the intermediate film is
The material is not particularly limited as long as it is a material that has etching resistance to oxygen plasma that etches the lower layer film, that is, the organic film 207, and that contains a non-volatile component. As a specific material for the intermediate film, for example, an organic silicon oxide film as an oxide containing an organic component or a silicon oxide film (SiO 2 ) as an oxide containing an inorganic component is used.

【0128】以上に基づいて、本実施の形態では、中間
膜の一例として、公知のSOG膜の一種である有機シリ
コン酸化膜を採用する。ここでは、フォトレジスト膜
(上層膜)209及び有機系の膜(下層膜)207の厚さに
基づき、中間膜として有機シリコン酸化膜208を90
nm程度の薄膜で形成する。
Based on the above, in the present embodiment, an organic silicon oxide film, which is a kind of known SOG film, is adopted as an example of the intermediate film. Here, the photoresist film
Based on the thicknesses of the (upper layer film) 209 and the organic film (lower layer film) 207, the organic silicon oxide film 208 is set to 90 as an intermediate film.
It is formed of a thin film of about nm.

【0129】なお、中間膜には、シリコン酸化膜ではな
い、シリコンを主成分として含む膜を用いることもでき
る。この場合、スピンコーティング法等の公知の塗布法
で、シリコンを主成分とする膜を有機系の膜207上に
形成すると良い。例えば、シリコンを含む溶液を、回転
するシリコン基板201上の有機系の膜207上に膜状
に塗布し、その後、所定の温度で熱処理して焼結させ
る。これにより、有機系の膜207上に、中間膜として
のシリコンを主成分として含む膜を形成する。
The intermediate film may be a film containing silicon as a main component instead of the silicon oxide film. In this case, a film containing silicon as a main component may be formed on the organic film 207 by a known coating method such as a spin coating method. For example, a solution containing silicon is applied in a film shape on the organic film 207 on the rotating silicon substrate 201, and then heat-treated and sintered at a predetermined temperature. Thus, a film containing silicon as a main component is formed as an intermediate film on the organic film 207.

【0130】その後、スピンコーティング法等の公知の
塗布法を用いて、感光性を有するポジ型のフォトレジス
トを有機シリコン酸化膜208上に塗布する。続いて、
有機シリコン酸化膜208上に塗布されたフォトレジス
トを、200〜300℃程度で熱処理して焼成する。こ
れにより、有機シリコン酸化膜208上に、上層膜とし
ての有機系の膜、即ちポジ型のフォトレジスト膜209
を膜厚300nm程度に形成する。
After that, a positive photoresist having photosensitivity is coated on the organic silicon oxide film 208 by using a known coating method such as a spin coating method. continue,
The photoresist applied on the organic silicon oxide film 208 is heat-treated at about 200 to 300 ° C. and baked. As a result, an organic film as an upper layer film, that is, a positive photoresist film 209 is formed on the organic silicon oxide film 208.
To a film thickness of about 300 nm.

【0131】このようにして、有機系の膜(下層膜)20
7、有機シリコン酸化膜(中間膜)208及びフォトレジ
スト膜(上層膜)209からなる、三層構造の多層膜を被
加工部材である層間絶縁膜206上に形成する。
In this way, the organic film (lower layer film) 20
7. A multi-layered film having a three-layered structure including an organic silicon oxide film (intermediate film) 208 and a photoresist film (upper film) 209 is formed on the interlayer insulating film 206 which is a member to be processed.

【0132】次に、以下のような方法により、リソグラ
フィー技術及びドライエッチング技術を用いて、この多
層膜を所定の形状及び寸法を有するマスクパターンに加
工形成する。
Next, the multilayer film is processed and formed into a mask pattern having a predetermined shape and size by using the lithography technique and the dry etching technique by the following method.

【0133】まず、図8(a)に示すように、リソグラ
フィー技術を用いて、ポジ型のフォトレジスト膜209
に露光工程及び現像工程を施し、フォトレジスト膜20
9にパターンを形成する。ここでは、配線またはビアホ
ール(コンタクトホール)等のパターンが描かれた露光
用マスク(レティクル)を介して、露光光をフォトレジス
ト膜209に照射する。その後、現像液を用いてフォト
レジスト膜209の不要な部分を除去する。これによ
り、所定の形状及び寸法を有するパターンをフォトレジ
スト膜209に形成する。
First, as shown in FIG. 8A, a positive photoresist film 209 is formed by using a lithography technique.
The photoresist film 20 is subjected to an exposure process and a development process.
9 forms a pattern. Here, the exposure light is applied to the photoresist film 209 through an exposure mask (reticle) on which a pattern such as a wiring or a via hole (contact hole) is drawn. After that, an unnecessary portion of the photoresist film 209 is removed by using a developing solution. As a result, a pattern having a predetermined shape and dimensions is formed on the photoresist film 209.

【0134】次に、フォトレジスト膜209に形成され
たパターンをマスクにして、有機シリコン酸化膜208
及び有機系の膜207に、順次、ドライエッチング技術
でパターンを転写する。その後、有機系の膜207に形
成されたパターンを主たるマスクに用いて、図8(b)
に示すように、層間絶縁膜206にビアホール210を
形成する。
Next, using the pattern formed on the photoresist film 209 as a mask, the organic silicon oxide film 208 is formed.
Then, the pattern is sequentially transferred to the organic film 207 by the dry etching technique. After that, the pattern formed on the organic film 207 is used as a main mask, and the pattern shown in FIG.
As shown in FIG. 5, a via hole 210 is formed in the interlayer insulating film 206.

【0135】以下に、有機系の膜207にマスクとなる
パターンを形成する方法、及び層間絶縁膜206にビア
ホール210を形成する方法を具体的に述べる。
A method for forming a pattern serving as a mask on the organic film 207 and a method for forming the via hole 210 in the interlayer insulating film 206 will be specifically described below.

【0136】まず、ドライエッチング技術を用い、フォ
トレジスト膜209に形成されたパターンをマスクにし
て、有機シリコン酸化膜208にそのパターンを転写す
る。ここでは、フォトレジスト膜209に形成されたパ
ターンをマスクにして、フォトレジスト膜209のパタ
ーンの形状及び寸法に従ったパターンを有機シリコン酸
化膜208に形成する。前記ドライエッチング技術に
は、微細加工に適したRIE法が採用され、所定の条件
に設定された反応性イオンエッチング装置の反応容器内
において、有機シリコン酸化膜208にパターンが形成
される。エッチングガスには、CF、酸素(O)及び
アルゴン(Ar)を含む混合ガスを用いる。
First, using a dry etching technique, the pattern formed on the photoresist film 209 is used as a mask to transfer the pattern to the organic silicon oxide film 208. Here, using the pattern formed on the photoresist film 209 as a mask, a pattern conforming to the shape and dimensions of the pattern of the photoresist film 209 is formed on the organic silicon oxide film 208. An RIE method suitable for fine processing is adopted as the dry etching technique, and a pattern is formed on the organic silicon oxide film 208 in a reaction container of a reactive ion etching apparatus set to a predetermined condition. As the etching gas, a mixed gas containing CF 4 , oxygen (O 2 ) and argon (Ar) is used.

【0137】その後、フォトレジスト膜209及び有機
シリコン酸化膜208のパターンをマスクにして、ドラ
イエッチング技術で下層の有機系の膜207にパターン
を転写する。ここでは、フォトレジスト膜209及び有
機シリコン酸化膜208に形成されたパターンをマスク
にして、これらのパターンの形状及び寸法に従ったパタ
ーンを有機系の膜207に形成する。前記ドライエッチ
ング技術には、微細加工に適したRIE法が採用され、
所定の条件に設定された反応性イオンエッチング装置の
反応容器内において、有機系の膜207にパターンが形
成される。エッチングガスには、酸素(O)と窒素(N
)の混合ガスを用いる。
After that, the pattern of the photoresist film 209 and the organic silicon oxide film 208 is used as a mask to transfer the pattern to the underlying organic film 207 by a dry etching technique. Here, the patterns formed on the photoresist film 209 and the organic silicon oxide film 208 are used as a mask to form patterns on the organic film 207 according to the shapes and dimensions of these patterns. The dry etching technique employs an RIE method suitable for fine processing,
A pattern is formed on the organic film 207 in the reaction container of the reactive ion etching apparatus set to a predetermined condition. The etching gas includes oxygen (O 2 ) and nitrogen (N
The mixed gas of 2 ) is used.

【0138】なお、フォトレジスト膜209は、有機系
の膜207とほぼ同質の材料で構成されているため、有
機系の膜207にパターンを形成するエッチングの過程
で消失する。従って、層間絶縁膜206は、後述するよ
うに有機シリコン酸化膜208及び有機系の膜207の
パターンをマスクにして、エッチングによって加工され
る。
Since the photoresist film 209 is made of a material having substantially the same quality as that of the organic film 207, it disappears during the etching process for forming a pattern on the organic film 207. Therefore, the interlayer insulating film 206 is processed by etching using the patterns of the organic silicon oxide film 208 and the organic film 207 as a mask as described later.

【0139】その後、有機系の膜207及び有機シリコ
ン酸化膜208のパターンをマスクにして、図8(b)
に示すように、層間絶縁膜206に所定の開口径を有す
るビアホール210を0.8μm程度の深さで、バリア
膜205に到達するように形成する。ここで、有機シリ
コン酸化膜208は、層間絶縁膜206をエッチングす
る過程で消失し、その後は、有機系の膜207のパター
ンが主たるマスクとして作用して、被加工部材である層
間絶縁膜206にビアホール210を形成する。
Then, using the patterns of the organic film 207 and the organic silicon oxide film 208 as a mask, FIG.
As shown in FIG. 3, a via hole 210 having a predetermined opening diameter is formed in the interlayer insulating film 206 so as to reach the barrier film 205 with a depth of about 0.8 μm. Here, the organic silicon oxide film 208 disappears in the process of etching the interlayer insulating film 206, and thereafter, the pattern of the organic film 207 acts as a main mask to form an interlayer insulating film 206 which is a member to be processed. A via hole 210 is formed.

【0140】また、ビアホール210の形成には、ドラ
イエッチング技術を用いる。ドライエッチング技術に
は、微細加工に適したRIE法が採用され、所定の条件
に設定された反応性イオンエッチング装置の反応容器内
において、層間絶縁膜206にビアホール210が形成
される。エッチングガスには、C及びアルゴン
(Ar)を含む混合ガスを用いる。
A dry etching technique is used to form the via hole 210. A RIE method suitable for fine processing is adopted as the dry etching technique, and a via hole 210 is formed in the interlayer insulating film 206 in the reaction container of the reactive ion etching apparatus set to a predetermined condition. The etching gas is C 4 F 8 and argon.
A mixed gas containing (Ar) is used.

【0141】なお、バリア膜205は、ビアホール21
0を形成する過程で、所謂エッチングストッパー膜とし
て作用する。従って、層間絶縁膜206に対するエッチ
ングは、バリア膜205に達して間もなく一旦停止する
ので、不用意に1層目の金属配線層204の表面に損傷
を与えることはない。
The barrier film 205 is the via hole 21.
In the process of forming 0, it acts as a so-called etching stopper film. Therefore, the etching of the interlayer insulating film 206 is temporarily stopped shortly after reaching the barrier film 205, so that the surface of the first metal wiring layer 204 is not inadvertently damaged.

【0142】次に、有機系の膜207のパターン上に、
これと同質の材料で炭素(原子の)含有量が90〜95w
t%の有機系の膜211を、有機系の膜207上及びビ
アホール210内を埋め込むように塗布する。その後、
塗布された膜を300℃程度で熱処理して焼成する。こ
れにより、有機系の膜207上及びビアホール210内
を埋め込んだ状態で有機系の膜211を形成する。
Next, on the pattern of the organic film 207,
It is a material of the same quality and has a carbon (atomic) content of 90-95w
A t% organic film 211 is applied so as to fill the organic film 207 and the via hole 210. afterwards,
The applied film is heat-treated at about 300 ° C. and baked. As a result, the organic film 211 is formed with the organic film 207 and the via hole 210 filled.

【0143】ここでは、一例として、有機系の膜211
の炭素(原子の)含有量を93wt%とする。このような
組成の膜は、以下のような方法により形成するとよい。
有機系の膜207と同様に、炭素(C)を含有する化合物
を所定の溶剤、即ち有機系の溶剤に溶解して塗布溶液を
調製する。この塗布溶液を、スピンコーティング法等の
公知の塗布法を用いて、回転するシリコン基板201の
上に直接塗布し形成する。有機系の膜211は、塗布溶
液の状態のまま、図8(b)に示す構造上に塗布される
ので、ビアホール210内を容易に埋め込むことができ
る。さらに、遠心力を利用して形成されているので、有
機系の膜211の表面は平坦な状態で形成することがで
きる。また、同質の組成を有する有機系の膜207、2
11は相互に密着性が良く、さらに熱処理が行われて互
いに焼結する。このため、その後の工程においてエッチ
ングによってパターンが形成される間、安定した積層構
造を維持することができる。
Here, as an example, the organic film 211 is used.
The carbon (atomic) content of is 93 wt%. The film having such a composition may be formed by the following method.
Similar to the organic film 207, a compound containing carbon (C) is dissolved in a predetermined solvent, that is, an organic solvent to prepare a coating solution. This coating solution is directly coated and formed on the rotating silicon substrate 201 by using a known coating method such as a spin coating method. Since the organic film 211 is applied on the structure shown in FIG. 8B in the state of the application solution, the via hole 210 can be easily filled. Furthermore, since it is formed by utilizing the centrifugal force, the surface of the organic film 211 can be formed in a flat state. In addition, organic films 207 and 2 having the same composition
Nos. 11 and 12 have good adhesion to each other and are further heat-treated to sinter each other. Therefore, a stable laminated structure can be maintained while the pattern is formed by etching in the subsequent steps.

【0144】なお、有機系の膜211を形成する過程
で、炭素(C)を含有する化合物及び有機系の溶剤には、
前記有機系の膜207の形成工程の説明で列挙したもの
と同様の材料を使用し、同様の方法で被加工部材の上方
に成膜することが可能である。
Incidentally, in the process of forming the organic film 211, the compound containing carbon (C) and the organic solvent are
It is possible to use the same materials as those listed in the description of the formation process of the organic film 207 and to form a film above the member to be processed by the same method.

【0145】次に、有機シリコン酸化膜212と、有機
系の膜で感光性を有するポジ型のフォトレジスト膜21
3とを、スピンコーティング法等の公知の塗布法を用い
て、順次有機系の膜211上に形成し、再度、三層構造
の多層膜を形成する。
Next, the organic silicon oxide film 212 and the positive photoresist film 21 which is an organic film and is photosensitive.
3 and 3 are sequentially formed on the organic film 211 by using a known coating method such as a spin coating method, and a multilayer film having a three-layer structure is formed again.

【0146】その後、図8(c)に示すように、リソグ
ラフィー技術を用いてフォトレジスト膜213にパター
ンを形成する。ここでは、配線等のパターンが描かれた
露光用マスク(レティクル)を介して、露光光をフォトレ
ジスト膜213に照射する。その後、現像液を用いてフ
ォトレジスト膜213の不要な部分を除去して、所定の
形状及び寸法を有するパターンをフォトレジスト膜21
3に形成する。
Thereafter, as shown in FIG. 8C, a pattern is formed on the photoresist film 213 by using the lithography technique. Here, the exposure light is applied to the photoresist film 213 through an exposure mask (reticle) on which a pattern such as wiring is drawn. After that, an unnecessary portion of the photoresist film 213 is removed by using a developing solution, and a pattern having a predetermined shape and size is formed on the photoresist film 21.
3 to form.

【0147】次に、フォトレジスト膜213に形成され
たパターンをマスクにして、有機シリコン酸化膜212
及び有機系の膜207、211に、順次、ドライエッチ
ング技術でパターンを転写する。その後、有機系の膜2
07、211に形成されたパターンを主たるマスクパタ
ーンとして用い、図8(d)に示すように、層間絶縁膜
206に所定の深さ及び幅を有する配線用の溝214を
形成する。
Next, using the pattern formed on the photoresist film 213 as a mask, the organic silicon oxide film 212 is used.
Then, the pattern is sequentially transferred to the organic films 207 and 211 by the dry etching technique. After that, the organic film 2
By using the patterns formed in 07 and 211 as a main mask pattern, as shown in FIG. 8D, a trench 214 for wiring having a predetermined depth and width is formed in the interlayer insulating film 206.

【0148】以下に、有機系の膜207、211にマス
クとなるパターンを形成する方法、及び層間絶縁膜20
6に配線用の溝214を形成する方法を具体的に述べ
る。
Hereinafter, a method of forming a pattern serving as a mask on the organic films 207 and 211, and the interlayer insulating film 20.
A method of forming the wiring groove 214 in 6 will be specifically described.

【0149】まず、ドライエッチング技術を用い、フォ
トレジスト膜213に形成されたパターンをマスクにし
て、有機シリコン酸化膜212にそのパターンを転写す
る。ここでは、フォトレジスト膜213に形成されたパ
ターンをマスクにして、フォトレジスト膜213のパタ
ーンの形状及び寸法に従ったパターンを有機シリコン酸
化膜212に形成する。前記ドライエッチング技術に
は、微細加工に適したRIE法が採用され、所定の条件
に設定された反応性イオンエッチング装置の反応容器内
において、有機シリコン酸化膜212にパターンが形成
される。このとき、エッチングガスには、CF、酸素
(O)及びアルゴン(Ar)を含む混合ガスを用いる。
First, using the pattern formed on the photoresist film 213 as a mask, the pattern is transferred to the organic silicon oxide film 212 by using the dry etching technique. Here, using the pattern formed on the photoresist film 213 as a mask, a pattern conforming to the shape and size of the pattern of the photoresist film 213 is formed on the organic silicon oxide film 212. An RIE method suitable for fine processing is adopted as the dry etching technique, and a pattern is formed on the organic silicon oxide film 212 in a reaction container of a reactive ion etching apparatus set to a predetermined condition. At this time, the etching gas is CF 4 , oxygen
A mixed gas containing (O 2 ) and argon (Ar) is used.

【0150】その後、フォトレジスト膜213及び有機
シリコン酸化膜212のパターンをマスクにして、ドラ
イエッチング技術で、下層膜である有機系の膜207、
211にパターンを転写する。ここでは、フォトレジス
ト膜213及び有機シリコン酸化膜212に形成された
パターンをマスクにして、これらのパターンの形状及び
寸法に従ったパターンを有機系の膜207、211に形
成する。前記ドライエッチング技術には、微細加工に適
したRIE法が採用され、所定の条件に設定された反応
性イオンエッチング装置の反応容器内において、有機系
の膜207、211にパターンが形成される。このと
き、エッチングガスには、酸素(O)と窒素(N)の混
合ガスを用いる。
Then, using the patterns of the photoresist film 213 and the organic silicon oxide film 212 as a mask, the organic film 207, which is the lower layer film, is formed by a dry etching technique.
The pattern is transferred to 211. Here, the patterns formed on the photoresist film 213 and the organic silicon oxide film 212 are used as masks to form patterns on the organic films 207 and 211 according to the shapes and dimensions of these patterns. An RIE method suitable for fine processing is adopted as the dry etching technique, and a pattern is formed on the organic films 207 and 211 in the reaction container of the reactive ion etching apparatus set to a predetermined condition. At this time, a mixed gas of oxygen (O 2 ) and nitrogen (N 2 ) is used as the etching gas.

【0151】なお、フォトレジスト膜213は、有機系
の膜211、207とほぼ同質の材料で構成されている
ため、有機系の膜211、207にパターンを形成する
エッチングの過程で消失する。このため、層間絶縁膜2
06には、有機シリコン酸化膜212と有機系の膜21
1、207の積層パターンをマスクにして、ドライエッ
チング技術により配線用の溝214が形成される。ここ
で、有機シリコン酸化膜212は、層間絶縁膜206を
エッチングする過程で消失する。その後は、有機系の膜
207、211の積層パターンが主たるマスクとして作
用し、被加工部材である層間絶縁膜206に配線用の溝
214が形成される。
Since the photoresist film 213 is made of a material having substantially the same quality as the organic films 211 and 207, it disappears in the process of etching for forming a pattern on the organic films 211 and 207. Therefore, the interlayer insulating film 2
06 is an organic silicon oxide film 212 and an organic film 21.
Using the laminated pattern of Nos. 1 and 207 as a mask, a groove 214 for wiring is formed by a dry etching technique. Here, the organic silicon oxide film 212 disappears in the process of etching the interlayer insulating film 206. After that, the laminated pattern of the organic films 207 and 211 acts as a main mask, and the wiring groove 214 is formed in the interlayer insulating film 206 which is the member to be processed.

【0152】従来のフォトレジスト膜(炭素(原子の)含
有量が70wt%程度)を使用した場合と異なり、下層
膜である有機系の膜207、211はエッチング耐性が
高く、各マスクパターンは、RIE法でエッチング加工
された後でもほぼ一定の膜厚に維持される。
Unlike the case of using the conventional photoresist film (the content of carbon (atoms) is about 70 wt%), the organic films 207 and 211 as the lower layer film have high etching resistance, and each mask pattern is Even after the etching process by the RIE method, the film thickness is maintained almost constant.

【0153】また、有機系の膜211は、前述したよう
に、遠心力を利用して塗布法で形成されており、尚かつ
同質の組成を有する有機系の膜207との密着性も良
い。このため、有機系の膜211の表面はほぼ平坦に形
成される。従って、中間膜である有機シリコン酸化膜2
12、上層膜であるフォトレジスト膜213を順次、有
機系の膜211上に積層状に形成しても、多層膜全体の
平坦性を維持することができる。これにより、マスクパ
ターン、配線用の溝を、順次、寸法精度良く形成するこ
とができる。
Further, as described above, the organic film 211 is formed by the coating method utilizing the centrifugal force, and has good adhesion to the organic film 207 having the same composition. Therefore, the surface of the organic film 211 is formed substantially flat. Therefore, the organic silicon oxide film 2 which is the intermediate film
12. Even if the photoresist film 213 as the upper layer film is sequentially formed on the organic film 211 in a laminated shape, the flatness of the entire multilayer film can be maintained. As a result, the mask pattern and the wiring groove can be sequentially formed with high dimensional accuracy.

【0154】ここでは、配線用の溝214の形成に用い
られるドライエッチング技術には、微細加工に適したR
IE法が採用され、所定の条件に設定された反応性イオ
ンエッチング装置の反応容器内において、層間絶縁膜2
06に配線用の溝214が形成される。このとき、エッ
チングガスには、CF、酸素(O)及びアルゴン(A
r)を含む混合ガスが用いられる。
Here, in the dry etching technique used for forming the wiring groove 214, R suitable for fine processing is used.
In the reaction container of the reactive ion etching apparatus which adopts the IE method and is set to a predetermined condition, the interlayer insulating film 2
A groove 214 for wiring is formed in 06. At this time, the etching gas includes CF 4 , oxygen (O 2 ) and argon (A
A mixed gas containing r) is used.

【0155】次に、層間絶縁膜206上及びビアホール
210内に残っている有機系の膜207、211を酸素
(O)を含むガスを用いたRIE法で除去する。その
後、バリア膜205をRIE法等のドライエッチング技
術で一部除去し、図8(e)に示すように、1層目の金
属配線層204の表面をビアホール210内に露出させ
る。
Next, the organic films 207 and 211 remaining on the interlayer insulating film 206 and in the via hole 210 are replaced with oxygen.
It is removed by the RIE method using a gas containing (O 2 ). After that, the barrier film 205 is partially removed by a dry etching technique such as RIE, so that the surface of the first metal wiring layer 204 is exposed in the via hole 210 as shown in FIG.

【0156】次に、図8(f)に示すように、ビアホー
ル210及び配線用の溝214の内壁にバリア膜215
を形成する。そして、バリア膜215を介してビアホー
ル210及び配線用の溝214に配線材料を埋め込む。
こうして、2層目の金属配線層216を1層目の金属配
線層204と電気的に接続するように形成して、所謂デ
ュアルダマシン配線構造を形成する。ここで、金属配線
層216は、配線材料が公知の電解メッキ法等で埋め込
まれたものである。また、その配線材料には、1層目の
金属配線層104と同様に、銅(Cu)配線、アルミニウ
ム(Al)配線またはアルミニウム合金配線等、低抵抗の
配線材料が用いられる。
Next, as shown in FIG. 8F, the barrier film 215 is formed on the inner walls of the via hole 210 and the wiring groove 214.
To form. Then, a wiring material is embedded in the via hole 210 and the wiring groove 214 through the barrier film 215.
In this way, the second metal wiring layer 216 is formed so as to be electrically connected to the first metal wiring layer 204 to form a so-called dual damascene wiring structure. Here, the metal wiring layer 216 is one in which a wiring material is embedded by a known electrolytic plating method or the like. Further, as the wiring material, a wiring material having a low resistance such as copper (Cu) wiring, aluminum (Al) wiring or aluminum alloy wiring is used as in the first metal wiring layer 104.

【0157】以上の如く、三層構造の多層膜を用いてパ
ターンを形成し、このパターンをマスクにして被加工部
材をエッチング加工する。このような工程を用いて、多
層配線構造を有する半導体装置を加工精度よく製造する
ことができる。
As described above, a pattern is formed by using a multilayer film having a three-layer structure, and the member to be processed is etched using the pattern as a mask. By using such steps, a semiconductor device having a multilayer wiring structure can be manufactured with high processing accuracy.

【0158】なお、図8(a)〜図8(f)に示した製
造工程では、図8(b)に示した工程でビアホールを形
成し、図8(e)に示した工程で配線用の溝214を形
成した。しかし、必ずしもこの順序で形成する必要はな
く、配線用の溝214を先に形成し、その後、ビアホー
ル210を形成してもよい。
In the manufacturing process shown in FIGS. 8A to 8F, a via hole is formed in the process shown in FIG. 8B, and a wiring hole is formed in the process shown in FIG. 8E. The groove 214 was formed. However, it is not always necessary to form in this order, and the groove 214 for wiring may be formed first, and then the via hole 210 may be formed.

【0159】また、この実施の形態では、前述したよう
に、三層構造の多層膜を用いてマスクパターンを形成
し、多層配線構造を形成している。これに対して、二層
構造の多層膜を用いてマスクパターンを形成し、これを
マスクにして被加工部材、即ち層間絶縁膜を加工し、前
述の多層配線構造を形成することも可能である。
Further, in this embodiment, as described above, the mask pattern is formed by using the multilayer film having the three-layer structure to form the multilayer wiring structure. On the other hand, it is also possible to form a mask pattern using a multilayer film having a two-layer structure and process the member to be processed, that is, an interlayer insulating film by using this as a mask to form the above-mentioned multilayer wiring structure. .

【0160】この場合にも、層間絶縁膜には、比誘電率
の値が3.9以下の低誘電率の絶縁膜を用いる。この低
誘電率の絶縁膜としては、例えば有機シリコン酸化膜
等、有機成分(炭化水素(CH)成分等)を含む絶縁膜があ
る。二層構造の多層膜は、図8(a)の如く形成された
被加工部材、即ち、層間絶縁膜206上に、スピンコー
ティング法等の公知の塗布法を用いて、下層膜、上層膜
の順に形成される。ここで、下層膜は、前述の三層構造
の多層膜を用いた場合と同様に、芳香環を有し、炭素
(原子の)含有量が80wt%以上、望ましくは90wt
%以上であり、エッチング耐性の高い硬質性を有する膜
とする。
In this case as well, an insulating film having a low dielectric constant with a relative dielectric constant value of 3.9 or less is used as the interlayer insulating film. Examples of the low dielectric constant insulating film include an insulating film containing an organic component (hydrocarbon (CH) component etc.) such as an organic silicon oxide film. The multilayer film having a two-layer structure is formed on the member to be processed formed as shown in FIG. 8A, that is, the interlayer insulating film 206 by using a known coating method such as a spin coating method to form a lower layer film and an upper layer film. Formed in order. Here, the lower layer film has an aromatic ring and carbon as in the case of using the multilayer film having the three-layer structure described above.
Content (of atoms) is 80 wt% or more, preferably 90 wt
% Or more, and is a film having a high etching resistance and hardness.

【0161】また、上層膜には、半導体元素、金属元素
等の無機成分を含有するフォトレジスト膜を用いる。二
層構造の多層膜において、上層膜は、三層構造の多層膜
における上層膜及び中間膜双方の役割を果たし、下層膜
をエッチングしてパターンを形成する過程で、マスク材
として用いられる。従って、上層膜は、無機成分を含む
必要がある。その無機成分の例としては、シリコン、ア
ルミニウム、チタン、タングステン、ゲルマニウム等が
挙げられる。
A photoresist film containing an inorganic component such as a semiconductor element or a metal element is used as the upper layer film. In the two-layer structure multilayer film, the upper layer film serves as both the upper layer film and the intermediate film in the three-layer structure multilayer film, and is used as a mask material in the process of forming a pattern by etching the lower layer film. Therefore, the upper layer film needs to contain an inorganic component. Examples of the inorganic component include silicon, aluminum, titanium, tungsten, germanium and the like.

【0162】この実施の形態において、二層構造の多層
膜を用いてマスクパターンを形成する場合を以下に述べ
る。まず、リソグラフィー技術を用いて、上層膜に露光
工程及び現像工程を行ってパターンを形成する。ここで
は、ビア/コンタクトホールや配線等のパターンが描か
れた露光用マスク(レティクル)を介して、露光光をシリ
コン等の無機成分を含むフォトレジスト膜に照射する。
その後、現像液を用いてフォトレジスト膜の不要な部分
を除去し、所定のパターンを上層膜に形成する。
In this embodiment, the case of forming a mask pattern using a multilayer film having a two-layer structure will be described below. First, a pattern is formed by performing an exposure process and a development process on the upper layer film by using a lithography technique. Here, the exposure light is applied to a photoresist film containing an inorganic component such as silicon through an exposure mask (reticle) on which a pattern such as a via / contact hole or wiring is drawn.
After that, unnecessary portions of the photoresist film are removed by using a developing solution to form a predetermined pattern on the upper layer film.

【0163】次に、この上層膜のパターンをマスクにし
て、下層膜、即ち炭素(原子の)含有量が93wt%程度
の有機系の膜をドライエッチング技術で加工し、上層膜
のパターンの形状及び寸法に従ったマスクパターンを被
加工部材上に形成する。ここで、ドライエッチング技術
には、RIE法等を採用する。エッチングガスには、酸
素(O)と窒素(N)の混合ガスを用いるものとする。
Next, using the pattern of the upper layer film as a mask, the lower layer film, that is, an organic film having a carbon (atom) content of about 93 wt% is processed by a dry etching technique to form the shape of the pattern of the upper layer film. And a mask pattern according to the dimensions is formed on the member to be processed. Here, the RIE method or the like is adopted as the dry etching technique. A mixed gas of oxygen (O 2 ) and nitrogen (N 2 ) is used as the etching gas.

【0164】この実施の形態のように、デュアルダマシ
ン等の多層配線構造を形成する場合、三層構造の上層膜
及び中間膜の双方の替わりに、シリコン等の無機成分を
含むフォトレジスト膜を用いて、二層構造の多層膜を形
成すればよい。この多層膜よりパターンを形成し、この
パターンをマスクにして、前述した要領でビアホールや
配線用の溝を層間絶縁膜に形成することができる。
When a multilayer wiring structure such as a dual damascene is formed as in this embodiment, a photoresist film containing an inorganic component such as silicon is used instead of both the upper layer film and the intermediate film of the three-layer structure. Then, a multilayer film having a two-layer structure may be formed. A pattern can be formed from this multilayer film, and using this pattern as a mask, via holes and wiring grooves can be formed in the interlayer insulating film as described above.

【0165】二層構造の多層膜からマスクパターンを被
加工部材上に形成する場合、前述の三層構造の多層膜か
らマスクパターンを形成する場合よりも、工程数を少な
くすることができる。一方、前述の三層構造の多層膜か
らマスクパターンを形成する場合は、下層膜である有機
系の膜にパターンを形成する過程で、この下層膜よりエ
ッチング選択比(単位時間毎の被エッチング量の差)の大
きい中間膜を、膜厚を薄くしてマスク材に用いることが
できる。従って、所定のエッチングガスを用いたドライ
エッチング技術により、下層の有機系の膜を精度良く加
工し、マスクパターンを所定の形状及び寸法に形成する
ことができる。
When the mask pattern is formed on the member to be processed from the multilayer film having the two-layer structure, the number of steps can be reduced as compared with the case where the mask pattern is formed from the multilayer film having the three-layer structure. On the other hand, in the case of forming a mask pattern from the above-mentioned three-layer structure multilayer film, in the process of forming a pattern on the organic film which is the lower layer film, the etching selection ratio (etched amount per unit time from this lower layer film is An intermediate film having a large difference) can be used as a mask material by reducing the film thickness. Therefore, the underlying organic film can be processed with high precision by a dry etching technique using a predetermined etching gas to form a mask pattern in a predetermined shape and size.

【0166】なお、この実施の形態では、ドライエッチ
ング技術として反応性イオンエッチング(RIE)法を用
いている。しかし、このRIE法に限るわけではなく、
二層構造、または三層構造の多層膜からマスクパターン
を形成する場合のいずれにおいても、マグネトロン型反
応性イオンエッチング法、電子ビームイオンエッチング
法、ICPエッチング法、またはECRイオンエッチン
グ法等、半導体装置の微細加工に適したものであれば特
に限定されることはない。
In this embodiment, the reactive ion etching (RIE) method is used as the dry etching technique. However, it is not limited to this RIE method,
Regardless of whether the mask pattern is formed from a multilayer film having a two-layer structure or a three-layer structure, a magnetron-type reactive ion etching method, an electron beam ion etching method, an ICP etching method, an ECR ion etching method, or the like is used as a semiconductor device. There is no particular limitation as long as it is suitable for the fine processing.

【0167】以上のように、この実施の形態では、二層
構造、または三層構造の多層膜からマスクパターンを形
成する場合のいずれにおいても、下層膜に炭素(原子の)
含有量が80wt%以上、望ましくは90wt%以上の
有機系の膜を用いている。従って、従来のフォトレジス
ト膜(炭素(原子の)含有量は70wt%程度)よりも膜厚
が薄く、エッチング耐性の高い有機系の膜をマスク材に
用いることにより、デュアルダマシン等の多層配線構造
においても、ビア/コンタクトホールまたは配線用の溝
等を被加工部材である層間絶縁膜に精度良く形成するこ
とができる。特に、層間絶縁膜に比誘電率の低い有機シ
リコン酸化膜等を使用した場合にも、マスク材である下
層の有機系の膜と層間絶縁膜とのエッチング選択比(=
単位時間あたりの被エッチング量の差)を大きくするこ
とができるので、ビアホール及び配線用の溝を精度良く
形成することができる。
As described above, in this embodiment, carbon (atoms) is formed in the lower layer film in any case where the mask pattern is formed from a multilayer film having a two-layer structure or a three-layer structure.
An organic film having a content of 80 wt% or more, preferably 90 wt% or more is used. Therefore, by using an organic film having a film thickness smaller than that of the conventional photoresist film (the content of carbon (atoms) is about 70 wt%) and having high etching resistance as a mask material, a multilayer wiring structure such as a dual damascene structure can be obtained. Also in this case, the via / contact hole, the groove for wiring, or the like can be accurately formed in the interlayer insulating film which is the member to be processed. In particular, even when an organic silicon oxide film having a low relative dielectric constant is used as the interlayer insulating film, the etching selection ratio (=) between the lower organic film that is the mask material and the interlayer insulating film is used.
Since it is possible to increase the (difference in the amount to be etched per unit time), it is possible to accurately form the via hole and the wiring groove.

【0168】さらに、この実施の形態ではエッチング耐
性の高い有機系の膜を使用しているので、層間絶縁膜に
ビアホールを形成した後でも、マスクパターンをほぼ一
定の膜厚に維持することができる。従って、この実施の
形態のように、ビアホールを形成した後で層間絶縁膜上
にマスクパターンを残し、これを多層膜の一部として再
度利用してマスクパターンを形成し、配線用の溝を形成
することができる。これより、下層膜を除去する工程を
極力省くことができるので、層間絶縁膜の劣化及び比誘
電率等の上昇等を抑制することができる。特に、ビアホ
ール等、微細で抵抗値の上昇しやすい領域において比誘
電率の上昇を抑制できるので、銅(Cu)配線等、低抵抗
を有する配線や低誘電率絶縁膜の特長を損なわずに半導
体装置の製造を行うことができる。
Further, in this embodiment, since the organic film having high etching resistance is used, the mask pattern can be maintained at a substantially constant film thickness even after the via hole is formed in the interlayer insulating film. . Therefore, as in this embodiment, after forming a via hole, a mask pattern is left on the interlayer insulating film and reused as a part of the multilayer film to form a mask pattern to form a wiring groove. can do. As a result, the step of removing the lower layer film can be omitted as much as possible, so that the deterioration of the interlayer insulating film and the increase of the relative dielectric constant can be suppressed. In particular, since it is possible to suppress an increase in the relative dielectric constant in a fine area where the resistance value is likely to increase, such as a via hole, it is possible to reduce the resistance of a semiconductor such as copper (Cu) wiring without damaging the features of the low resistance wiring or the low dielectric constant insulating film. The device can be manufactured.

【0169】この発明の実施の形態では、芳香環を有
し、炭素(原子の)含有量が80wt%以上の有機系の膜
をマスク材に用いて、被加工部材をエッチングする。こ
のような有機系の膜は、従来のフォトレジストよりも炭
素(原子の)含有量が多く、マスク材として用いる場合に
は、よりエッチング耐性の高いものとなる。従って、被
加工部材のエッチング加工時間が同じであれば、従来の
フォトレジスト膜をマスクの材料に用いた場合よりも膜
厚を薄く形成することができる。これにより、被加工部
材のエッチング加工時間やその材料等の加工条件に対応
して、被加工部材上に、より寸法精度の高いマスクパタ
ーンを形成することが可能となる。
In the embodiment of the present invention, the member to be processed is etched by using an organic film having an aromatic ring and having a carbon (atom) content of 80 wt% or more as a mask material. Such an organic film has a higher carbon (atomic) content than conventional photoresists, and has higher etching resistance when used as a mask material. Therefore, if the etching processing time of the member to be processed is the same, the film thickness can be formed thinner than the case where the conventional photoresist film is used as the material of the mask. Accordingly, it becomes possible to form a mask pattern with higher dimensional accuracy on the member to be processed, depending on the etching conditions of the member to be processed and processing conditions such as the material thereof.

【0170】以上説明したようにこの発明の実施の形態
は、マスク材の薄膜化が進んでも、マスク材としてのエ
ッチング耐性を十分に確保でき、被加工部材の加工精度
が低下するのを防止できる半導体装置の製造方法を提供
することが可能である。
As described above, according to the embodiment of the present invention, even if the mask material is made thinner, it is possible to sufficiently secure the etching resistance as the mask material and prevent the processing accuracy of the workpiece from being lowered. It is possible to provide a method for manufacturing a semiconductor device.

【0171】また、この発明の実施の形態は、多層レジ
スト法において、加工後のマスクパターンに生じる寸法
変換差を低減でき、被加工部材の加工精度を向上させる
ことができる半導体装置の製造方法を提供することが可
能である。以上により、半導体装置の微細化が進んで
も、被加工部材を精度良く加工することが可能となり、
半導体装置の信頼性を向上させることができる。
Further, the embodiment of the present invention is a method for manufacturing a semiconductor device, which can reduce a dimensional conversion difference occurring in a mask pattern after processing in a multilayer resist method and can improve processing accuracy of a processed member. It is possible to provide. Due to the above, even if the miniaturization of the semiconductor device progresses, it becomes possible to accurately process the workpiece.
The reliability of the semiconductor device can be improved.

【0172】また、前述した各実施の形態はそれぞれ、
単独で実施できるばかりでなく、適宜組み合わせて実施
することも可能である。
Further, each of the above-described embodiments is
Not only can it be carried out alone, but it is also possible to carry out it in an appropriate combination.

【0173】さらに、前述した各実施の形態には種々の
段階の発明が含まれており、各実施の形態において開示
した複数の構成要件の適宜な組み合わせにより、種々の
段階の発明を抽出することも可能である。
Furthermore, the above-described embodiments include inventions at various stages, and inventions at various stages can be extracted by appropriately combining a plurality of constituent elements disclosed in each embodiment. Is also possible.

【0174】[0174]

【発明の効果】以上述べたようにこの発明によれば、半
導体装置の微細化によりマスク材の薄膜化が進んでも、
マスク材としてのエッチング耐性を十分に確保でき、被
加工部材を高精度に加工することができる半導体装置の
製造方法を提供することが可能である。
As described above, according to the present invention, even if the thinning of the mask material is advanced due to the miniaturization of the semiconductor device,
It is possible to provide a method for manufacturing a semiconductor device in which sufficient etching resistance as a mask material can be ensured and a member to be processed can be processed with high accuracy.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明の実施の形態の半導体装置の製造方法
を示す第1の各工程の断面図である。
FIG. 1 is a sectional view of a first step of a semiconductor device manufacturing method according to an embodiment of the present invention.

【図2】前記実施の形態の半導体装置の製造方法を示す
第2の各工程の断面図である。
FIG. 2 is a sectional view of a second step of the method for manufacturing the semiconductor device according to the embodiment described above.

【図3】この発明の実施の形態の半導体装置の製造方法
における他の第1例を示す各工程の断面図である。
FIG. 3 is a cross-sectional view of each step showing another first example of the method for manufacturing the semiconductor device of the embodiment of the invention.

【図4】この発明の実施の形態の半導体装置の製造方法
における他の第2例を示す各工程の断面図である。
FIG. 4 is a sectional view of a step showing another second example of the method for manufacturing the semiconductor device of the embodiment of the invention.

【図5】この発明の実施の形態の半導体装置の製造方法
における他の第3例を示す各工程の断面図である。
FIG. 5 is a cross-sectional view of each step showing another third example of the method for manufacturing the semiconductor device of the embodiment of the invention.

【図6】この発明の実施の形態の半導体装置の製造方法
におけるマスク材のエッチング工程で生じる、マスク材
パターンの炭素含有量とサイドエッチング量との関係を
示すグラフである。
FIG. 6 is a graph showing the relationship between the carbon content of the mask material pattern and the amount of side etching, which occurs in the mask material etching step in the method for manufacturing a semiconductor device according to the embodiment of the present invention.

【図7】この発明の実施の形態の半導体装置の製造方法
における被加工部材のエッチング工程で生じる、マスク
材パターンの炭素含有量とマスク材パターンのエッチン
グレートとの関係、及びマスク材パターンの炭素含有量
と被加工部材パターンの加工変換差との関係を示すグラ
フである。
FIG. 7 is a graph showing the relationship between the carbon content of the mask material pattern and the etching rate of the mask material pattern, and the carbon of the mask material pattern, which are generated in the step of etching the workpiece in the method for manufacturing a semiconductor device according to the embodiment of the present invention. It is a graph which shows the relationship between content and the processing conversion difference of a to-be-processed member pattern.

【図8】この発明の他の実施の形態の半導体装置の製造
方法を示す各工程の断面図である。
FIG. 8 is a sectional view of each step showing the manufacturing method of the semiconductor device according to the other embodiment of the present invention.

【符号の説明】[Explanation of symbols]

101…シリコン基板 102…絶縁膜 103…多結晶シリコン膜 104…シリコン窒化膜 105…マスク材 106…中間層 107…フォトレジスト膜 107A…フォトレジスト膜の露光部分 107B…フォトレジスト膜のシリル化部分 107C…レジストパターンのシリル化部分 201…シリコン基板 202…絶縁膜 203…バリア膜 204…金属配線層 205…バリア膜 206…層間絶縁膜 207…有機系の膜 208…有機シリコン酸化膜 209…フォトレジスト膜 210…ビアホール 211…有機系の膜 212…有機シリコン酸化膜 213…フォトレジスト膜 214…配線用の溝 215…バリア膜 216…金属配線層 101 ... Silicon substrate 102 ... Insulating film 103 ... Polycrystalline silicon film 104 ... Silicon nitride film 105 ... Mask material 106 ... Middle layer 107 ... Photoresist film 107A ... Exposed part of photoresist film 107B ... Silylated portion of photoresist film 107C ... Silylated portion of resist pattern 201 ... Silicon substrate 202 ... Insulating film 203 ... Barrier film 204 ... Metal wiring layer 205 ... Barrier film 206 ... Interlayer insulating film 207 ... Organic film 208 ... Organic silicon oxide film 209 ... Photoresist film 210 ... Beer hall 211 ... Organic film 212 ... Organic silicon oxide film 213 ... Photoresist film 214 ... Wiring groove 215 ... Barrier film 216 ... Metal wiring layer

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI G03F 7/38 512 G03F 7/40 7/40 521 521 H01L 21/28 F H01L 21/027 21/302 H 21/28 21/88 D 21/3213 21/90 A 21/768 21/30 502R 575 (72)発明者 林 久貴 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝横浜事業所内 (72)発明者 大岩 徳久 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝横浜事業所内 (72)発明者 大西 廉伸 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝横浜事業所内 (56)参考文献 特開2000−310863(JP,A) 特開 平4−287047(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 7 Identification code FI G03F 7/38 512 G03F 7/40 7/40 521 521 H01L 21/28 F H01L 21/027 21/302 H 21/28 21 / 88 D 21/3213 21/90 A 21/768 21/30 502R 575 (72) Inventor Kuki Hayashi 8 Shinsita-cho, Isogo-ku, Yokohama-shi, Kanagawa Toshiba Corporation office (72) Inventor Tokuhisa Oiwa Yokohama, Kanagawa Shinsugita-cho, Isogo-ku, Yokohama-shi, Toshiba Co., Ltd. Yokohama Works (72) Inventor Rennobu Onishi Shin-Sugita-cho, Isogo-ku, Yokohama, Kanagawa, Kanagawa 8 (56) References JP2000-310863 (JP, JP, 310863) A) JP-A-4-287047 (JP, A) (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21/3065

Claims (16)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 被加工部材上に、溶液を塗布後、脱水素
反応あるいは脱水縮合反応で炭素含有量を増加させるこ
とにより、芳香環を有し、炭素原子の含有量が80wt
%以上のマスク材を形成する工程と、 前記マスク材を所望のパターンにエッチングしてマスク
材パターンを形成する工程と、 前記マスク材パターンをマスクにして、前記被加工部材
をエッチングする工程と、 を具備することを特徴とする半導体装置の製造方法。
1. Dehydrogenation after coating a solution on a workpiece.
Reaction or dehydration condensation reaction to increase the carbon content.
Has an aromatic ring and a carbon atom content of 80 wt.
% Or more of the mask material, a step of etching the mask material into a desired pattern to form a mask material pattern, a step of using the mask material pattern as a mask, and etching the workpiece. A method of manufacturing a semiconductor device, comprising:
【請求項2】 前記マスク材パターンを形成する工程
は、 前記マスク材上に、半導体元素及び金属元素の少なくと
もいずれかを有する中間膜を形成する工程と、 前記中間膜上にフォトレジスト膜を形成する工程と、 前記フォトレジスト膜に対してパターン露光及び現像処
理を行ってレジストパターンを形成する工程と、 前記レジストパターンを前記中間膜に転写して中間膜パ
ターンを形成する工程と、 前記中間膜パターンを前記マスク材に転写して前記マス
ク材パターンを形成する工程と、 を有することを特徴とする請求項1に記載の半導体装置
の製造方法。
2. The step of forming the mask material pattern, the step of forming an intermediate film having at least one of a semiconductor element and a metal element on the mask material, and the step of forming a photoresist film on the intermediate film. A step of forming a resist pattern by subjecting the photoresist film to pattern exposure and development, a step of transferring the resist pattern to the intermediate film to form an intermediate film pattern, the intermediate film The method of manufacturing a semiconductor device according to claim 1, further comprising: transferring a pattern to the mask material to form the mask material pattern.
【請求項3】 被加工部材上に、溶液を塗布後、脱水素
反応あるいは脱水縮合反応で炭素含有量を増加させるこ
とにより、芳香環を有し、炭素原子の含有量が80wt
%以上のマスク材を形成する工程と、 前記マスク材上にフォトレジスト膜を形成する工程と、 前記フォトレジスト膜に対してパターン露光及び現像処
理を行ってレジストパターンを形成する工程と、 前記レジストパターンを前記マスク材に転写してマスク
材パターンを形成する工程と、 前記マスク材パターンをマスクにして、前記被加工部材
をエッチングする工程と、 を具備することを特徴とする半導体装置の製造方法。
3. Dehydrogenation after coating a solution on a member to be processed
Reaction or dehydration condensation reaction to increase the carbon content.
Has an aromatic ring and a carbon atom content of 80 wt.
% Or more of the mask material, a step of forming a photoresist film on the mask material, a step of performing a pattern exposure and a development process on the photoresist film to form a resist pattern, the resist A method of manufacturing a semiconductor device, comprising: a step of transferring a pattern to the mask material to form a mask material pattern; and a step of etching the processed member using the mask material pattern as a mask. .
【請求項4】 前記現像処理は、湿式現像法によって行
われることを特徴とする請求項3に記載の半導体装置の
製造方法。
4. The method of manufacturing a semiconductor device according to claim 3, wherein the developing process is performed by a wet developing method.
【請求項5】 前記レジストパターンは、半導体元素及
び金属元素の少なくともいずれかを含むことを特徴とす
る請求項4に記載の半導体装置の製造方法。
5. The method of manufacturing a semiconductor device according to claim 4, wherein the resist pattern contains at least one of a semiconductor element and a metal element.
【請求項6】 前記レジストパターンを形成する工程の
後、前記レジストパターンに対してシリル化を行う工程
をさらに具備することを特徴とする請求項3に記載の半
導体装置の製造方法。
6. The method of manufacturing a semiconductor device according to claim 3, further comprising a step of silylating the resist pattern after the step of forming the resist pattern.
【請求項7】 前記現像処理は、乾式現像法によって行
われることを特徴とする請求項3に記載の半導体装置の
製造方法。
7. The method of manufacturing a semiconductor device according to claim 3, wherein the developing process is performed by a dry developing method.
【請求項8】 前記マスク材に含まれる炭素原子の含有
量は、90wt%以上であることを特徴とする請求項1
乃至7のいずれか1つに記載の半導体装置の製造方法。
8. The carbon atom content in the mask material is 90 wt% or more.
8. A method of manufacturing a semiconductor device according to any one of items 7 to 7.
【請求項9】 前記脱水素反応あるいは前記脱水縮合反
応は、加熱によってなされることを特徴とする請求項1
乃至8のいずれか1つに記載の半導体装置の製造方法。
9. The dehydrogenation reaction or the dehydration condensation reaction
2. The heating is performed by heating.
9. The method for manufacturing a semiconductor device according to any one of items 8 to 8.
【請求項10】 前記溶液は、多環芳香族化合物を含む
ことを特徴とする請求項1乃至9のいずれか1つに記載
の半導体装置の製造方法。
10. The solution contains a polycyclic aromatic compound.
10. The method of manufacturing a semiconductor device according to claim 1 , wherein the method is a semiconductor device manufacturing method.
【請求項11】 前記多環芳香族化合物の重量平均分子
量が1000〜100000の範囲内であることを特徴
とする請求項10に記載の半導体装置の製造方法。
11. A weight average molecule of the polycyclic aromatic compound
11. The method for manufacturing a semiconductor device according to claim 10, wherein the amount is in the range of 1000 to 100,000 .
【請求項12】 半導体基板上に、第1の絶縁膜を介し
て第1の配線層を形成する工程と、 前記第1の絶縁膜及び前記第1の配線層上に第2の絶縁
膜を形成する工程と、 前記第2の絶縁膜上に、芳香環を有し、炭素原子の含有
量が80wt%以上である第1の有機系の膜を形成する
工程と、 前記第1の有機系の膜上に、半導体元素及び金属元素の
少なくともいずれかを有する第1の無機成分を含む膜パ
ターンを形成する工程と、 前記第1の無機成分を含む膜パターンをマスクにして、
前記第1の有機系の膜にパターンを形成する工程と、 前記第1の有機系の膜に形成された前記パターンをマス
クにして、前記第2の絶縁膜に、ホール及び溝のいずれ
かを形成する工程と、 前記第1の有機系の膜に形成された前記パターン上に、
芳香環を有し、炭素原子の含有量が80wt%以上であ
る第2の有機系の膜を形成する工程と、 前記第2の有機系の膜上に、半導体元素及び金属元素の
少なくともいずれかを有する第2の無機成分を含む膜パ
ターンを形成する工程と、 前記第2の無機成分を含む膜パターンをマスクにして、
少なくとも前記第2の有機系の膜にパターンを形成する
工程と、 前記第2の有機系の膜に形成された前記パターンをマス
クにして、前記第2の絶縁膜に、溝及びホールのいずれ
かを形成する工程と、 前記溝及び前記ホール内に導電材を埋め込み、前記第1
の配線層と接触する第2の配線層を形成する工程と、 を具備することを特徴とする 半導体装置の製造方法。
12. A semiconductor substrate with a first insulating film interposed therebetween.
Forming a first wiring layer, and a second insulating film on the first insulating film and the first wiring layer.
A step of forming a film, and a carbon atom having an aromatic ring on the second insulating film.
Form a first organic film whose amount is 80 wt% or more
And a step of forming a semiconductor element and a metal element on the first organic film.
Membrane film containing a first inorganic component having at least one of them
A step of forming a turn, and using the film pattern containing the first inorganic component as a mask,
A step of forming a pattern on the first organic film, and a step of masking the pattern formed on the first organic film.
Any of holes and grooves in the second insulating film.
And a step of forming a layer on the pattern formed on the first organic film,
Has an aromatic ring and a carbon atom content of 80 wt% or more
A step of forming a second organic-based film, and a step of forming a semiconductor element and a metal element on the second organic-based film.
Membrane film containing a second inorganic component having at least one of them
A step of forming a turn, and using the film pattern containing the second inorganic component as a mask,
Form a pattern on at least the second organic film
A step of forming the pattern formed on the second organic film.
Any of a groove and a hole in the second insulating film.
And a step of forming a conductive material in the groove and the hole,
The method of manufacturing a semiconductor device comprising: the step of forming a second wiring layer, characterized by comprising the contact with the wiring layer.
【請求項13】 前記第1の有機系の膜に含まれる炭素
原子の含有量、及び前記第2の有機系の膜に含まれる炭
素原子の含有量は、90wt%以上であることを特徴と
する請求項12に記載の半導体装置の製造方法。
13. Carbon contained in the first organic film
Atomic content and charcoal contained in the second organic film
The content of elementary atoms is 90 wt% or more
The method of manufacturing a semiconductor device according to claim 12 .
【請求項14】 前記第1及び第2の有機系の膜は、溶
液を塗布して形成することを特徴とする請求項12また
は13に記載の半導体装置の製造方法。
14. The first and second organic films are melted.
13. The liquid is formed by applying the liquid.
14. The method for manufacturing a semiconductor device according to 13 .
【請求項15】 前記溶液は、多環芳香族化合物を含む
ことを特徴とする請求項14に記載の半導体装置の製造
方法。
15. The solution contains a polycyclic aromatic compound.
The method of manufacturing a semiconductor device according to claim 14 , wherein
【請求項16】 前記第2の絶縁膜は、低誘電率絶縁膜
であることを特徴とする請求項12乃至15のいずれか
1つに記載の半導体装置の製造方法。
16. The low dielectric constant insulating film as the second insulating film.
16. The method according to claim 12, wherein
1. A method of manufacturing a semiconductor device according to one .
JP2001381504A 2000-12-15 2001-12-14 Manufacturing method of semiconductor device Expired - Lifetime JP3504247B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001381504A JP3504247B2 (en) 2000-12-15 2001-12-14 Manufacturing method of semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000381410 2000-12-15
JP2000-381410 2000-12-15
JP2001381504A JP3504247B2 (en) 2000-12-15 2001-12-14 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2002305187A JP2002305187A (en) 2002-10-18
JP3504247B2 true JP3504247B2 (en) 2004-03-08

Family

ID=26605879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001381504A Expired - Lifetime JP3504247B2 (en) 2000-12-15 2001-12-14 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP3504247B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110139118A (en) 2010-06-21 2011-12-28 신에쓰 가가꾸 고교 가부시끼가이샤 Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
US8450048B2 (en) 2008-10-20 2013-05-28 Shin-Etsu Chemical Co., Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
US8617800B2 (en) 2008-09-03 2013-12-31 Shin-Etsu Chemical Co., Ltd. Patterning process
US8835697B2 (en) 2011-03-28 2014-09-16 Shin-Etsu Chemical Co., Ltd. Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process
US8846846B2 (en) 2010-09-10 2014-09-30 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
US9146468B2 (en) 2011-10-11 2015-09-29 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004266008A (en) 2003-02-28 2004-09-24 Toshiba Corp Method for manufacturing semiconductor device
US7030031B2 (en) * 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
JP4963156B2 (en) * 2003-10-03 2012-06-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP4737953B2 (en) * 2004-07-14 2011-08-03 株式会社東芝 Manufacturing method of semiconductor device
JP2006140222A (en) * 2004-11-10 2006-06-01 Toshiba Corp Pattern forming method, lower layer film forming composition and manufacturing method of semiconductor device
JP4575220B2 (en) 2005-04-14 2010-11-04 信越化学工業株式会社 Resist underlayer film material and pattern forming method
JP4563927B2 (en) * 2005-12-02 2010-10-20 信越化学工業株式会社 Substrate, manufacturing method thereof, and pattern forming method using the same
US8029688B2 (en) * 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
JP4909912B2 (en) * 2008-01-10 2012-04-04 株式会社東芝 Pattern formation method
JP5915452B2 (en) * 2011-09-30 2016-05-11 Jsr株式会社 Resist underlayer film forming composition, resist underlayer film, method for forming the same, and pattern forming method
KR101614524B1 (en) * 2013-09-30 2016-04-21 주식회사 엘지화학 Polymerizable liquid crystal compounds, liquid crystal composition comprising the compounds, and optical film comprising the composition

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617800B2 (en) 2008-09-03 2013-12-31 Shin-Etsu Chemical Co., Ltd. Patterning process
US8450048B2 (en) 2008-10-20 2013-05-28 Shin-Etsu Chemical Co., Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
US8652757B2 (en) 2008-10-20 2014-02-18 Shin-Etsu Chemical Co., Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
KR20110139118A (en) 2010-06-21 2011-12-28 신에쓰 가가꾸 고교 가부시끼가이샤 Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
US8846846B2 (en) 2010-09-10 2014-09-30 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
US9045587B2 (en) 2010-09-10 2015-06-02 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
US8835697B2 (en) 2011-03-28 2014-09-16 Shin-Etsu Chemical Co., Ltd. Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process
US9146468B2 (en) 2011-10-11 2015-09-29 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same

Also Published As

Publication number Publication date
JP2002305187A (en) 2002-10-18

Similar Documents

Publication Publication Date Title
US6576562B2 (en) Manufacturing method of semiconductor device using mask pattern having high etching resistance
JP3504247B2 (en) Manufacturing method of semiconductor device
JP3971088B2 (en) Pattern formation method
US6720256B1 (en) Method of dual damascene patterning
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6436810B1 (en) Bi-layer resist process for dual damascene
EP0230615A2 (en) Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US11437238B2 (en) Patterning scheme to improve EUV resist and hard mask selectivity
JP2007017976A (en) Underlayer composition containing heterocyclic aromatic structure to be used in multilayer lithography process, lithography structure, method for forming material layer or material element on substrate
JP3872928B2 (en) Pattern formation method
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
US7235490B2 (en) Method of manufacturing semiconductor device
US8334090B2 (en) Mixed lithography with dual resist and a single pattern transfer
JP3998393B2 (en) Pattern formation method
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
JP2002198283A (en) Resist pattern formation method
US6905950B2 (en) Growing copper vias or lines within a patterned resist using a copper seed layer
US6162586A (en) Method for substantially preventing footings in chemically amplified deep ultra violet photoresist layers
JPH03174724A (en) Method of forming pattern
JP2002198295A (en) Pattern formation method
US20060127815A1 (en) Pattern forming method and method of manufacturing semiconductor device
JP2002343767A (en) Pattern forming method
US20220392771A1 (en) Oblique Deposition and Etch Processes
US20230253205A1 (en) Photoactive metal-based hard mask integration
KR100663015B1 (en) Metal line and method for forming the same

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20031202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20031209

R151 Written notification of patent or utility model registration

Ref document number: 3504247

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071219

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081219

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091219

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091219

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101219

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131219

Year of fee payment: 10

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term