JP3472456B2 - Vacuum processing equipment - Google Patents

Vacuum processing equipment

Info

Publication number
JP3472456B2
JP3472456B2 JP27957497A JP27957497A JP3472456B2 JP 3472456 B2 JP3472456 B2 JP 3472456B2 JP 27957497 A JP27957497 A JP 27957497A JP 27957497 A JP27957497 A JP 27957497A JP 3472456 B2 JP3472456 B2 JP 3472456B2
Authority
JP
Japan
Prior art keywords
vacuum
main
pump
vacuum pump
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP27957497A
Other languages
Japanese (ja)
Other versions
JPH1199327A (en
Inventor
勝彦 横井
聡 川上
信介 岡
りさ 中瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP27957497A priority Critical patent/JP3472456B2/en
Publication of JPH1199327A publication Critical patent/JPH1199327A/en
Application granted granted Critical
Publication of JP3472456B2 publication Critical patent/JP3472456B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、例えば半導体ウエ
ハに対して成膜、エッチングあるいはイオン注入等の真
空処理を行うための真空処理装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a vacuum processing apparatus for performing vacuum processing such as film formation, etching or ion implantation on a semiconductor wafer.

【0002】[0002]

【従来の技術】一般に半導体デバイスの製造工程の中に
真空雰囲気中で処理を行う場合があり、例えばプラズマ
処理、減圧CVD、イオン注入などを挙げることができ
る。このような真空処理においては、真空容器内をかな
り低い圧力(高真空度)まで真空引きしなければならな
いため、排気系統には主真空ポンプ及び補助真空ポンプ
を用いている。
2. Description of the Related Art Generally, a semiconductor device may be processed in a vacuum atmosphere during the manufacturing process, and examples thereof include plasma processing, low pressure CVD, and ion implantation. In such a vacuum process, since the inside of the vacuum container has to be evacuated to a considerably low pressure (high vacuum degree), a main vacuum pump and an auxiliary vacuum pump are used in the exhaust system.

【0003】従来の真空処理装置の排気系統について述
べると、図8に示すように従来の真空処理装置の排気系
統1は、真空容器で構成される反応室11の底部に、反
応室11内に連通する排気路を介してターボ分子ポンプ
等の主真空ポンプ12が接続され、さらにその主真空ポ
ンプ12の下流側にドライポンプ等の補助真空ポンプ1
3が接続された構成となっている。そして、反応室11
と主真空ポンプ12との間には図9にも示すようにバタ
フライバルブ14が設けられており、また主真空ポンプ
12と補助真空ポンプ13との間には主バルブ16を備
えた主排気路15と、主バルブ16に対して並列となる
ように主排気路15に接続されてなる、補助バルブ18
を備えた補助排気路17が設けられている。この補助排
気路17は、主排気路15よりもコンダクタンスが小さ
い。
Explaining the exhaust system of the conventional vacuum processing apparatus, as shown in FIG. 8, the exhaust system 1 of the conventional vacuum processing apparatus includes a reaction chamber 11 at the bottom of a reaction chamber 11 formed of a vacuum container. A main vacuum pump 12 such as a turbo molecular pump is connected through a communicating exhaust passage, and an auxiliary vacuum pump 1 such as a dry pump is provided downstream of the main vacuum pump 12.
3 is connected. And the reaction chamber 11
A butterfly valve 14 is provided between the main vacuum pump 12 and the main vacuum pump 12 as shown in FIG. 9, and a main exhaust path having a main valve 16 is provided between the main vacuum pump 12 and the auxiliary vacuum pump 13. 15 and an auxiliary valve 18 connected to the main exhaust passage 15 in parallel with the main valve 16.
An auxiliary exhaust passage 17 is provided. The auxiliary exhaust passage 17 has a smaller conductance than the main exhaust passage 15.

【0004】このような構成の真空処理装置にあって
は、真空処理装置の稼動を開始してポンプ12,13に
より反応室11内を大気圧から真空引きする際には、ま
ず主バルブ16を閉じるとともに補助バルブ18を開
け、補助排気路17を介して真空引きを行う。即ち反応
室11内の圧力が高いときには補助排気路17を用いる
ことによりいわばスロー排気を行い、真空引きにより生
じる気流を小さくして、パーティクルが舞い上がるのを
防いでいる。そして、反応室11内の圧力が例えば大気
圧の半分になった時点で、主バルブ16を開けるととも
に補助バルブ18を閉じ、真空処理例えば成膜処理等を
行うのに適した10-2Torr以下の圧力となるようにして
いる。このように成膜処理等を行うために反応室11内
の圧力を極めて低くする際には、バタフライバルブ14
は全開状態にされる。
In the vacuum processing apparatus having such a structure, when the vacuum processing apparatus is started to be evacuated from the atmospheric pressure in the reaction chamber 11 by the pumps 12 and 13, the main valve 16 is first set. Along with closing, the auxiliary valve 18 is opened, and the vacuum is drawn through the auxiliary exhaust passage 17. That is, when the pressure in the reaction chamber 11 is high, the auxiliary exhaust passage 17 is used to perform so-called slow exhaust, so that the air flow generated by vacuuming is reduced and particles are prevented from rising. Then, when the pressure in the reaction chamber 11 becomes, for example, half of the atmospheric pressure, the main valve 16 is opened and the auxiliary valve 18 is closed, so that 10 −2 Torr or less suitable for performing vacuum processing such as film forming processing is performed. The pressure is set to. When the pressure inside the reaction chamber 11 is made extremely low in order to perform the film forming process as described above, the butterfly valve 14 is used.
Is fully opened.

【0005】一方真空雰囲気中で半導体ウエハに対して
成膜処理等を行うと、反応室11内に反応生成物が付着
して膜剥がれによるパーティクルの発生原因となるの
で、数回連続して成膜処理を行った後に、反応室11内
をクリーニングする必要がある。そのクリーニングを行
う際には、例えば真空雰囲気の反応室11内にN2 ガス
及びNF3 ガスを導入し、プラズマを生成させ、このプ
ラズマにより、付着した薄膜をエッチングして除去す
る。その際の反応室11内の圧力は成膜処理時の圧力よ
りも高い例えば1〜10Torrに設定されるので、バタフ
ライバルブ14を閉じ気味にする。
On the other hand, if a semiconductor wafer is subjected to film forming processing in a vacuum atmosphere, reaction products adhere to the inside of the reaction chamber 11 to cause particles due to film peeling. After performing the film processing, it is necessary to clean the inside of the reaction chamber 11. When the cleaning is performed, for example, N2 gas and NF3 gas are introduced into the reaction chamber 11 in a vacuum atmosphere to generate plasma, and the attached thin film is etched and removed by the plasma. Since the pressure in the reaction chamber 11 at that time is set to, for example, 1 to 10 Torr, which is higher than the pressure during the film forming process, the butterfly valve 14 tends to be closed.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、図8に
示す従来の排気系統1の構成では、バタフライバルブ1
4の開閉スペース(図9のLに相当するスペース)を確
保するため、真空処理装置が大型化してしまうという問
題点があった。また、主真空ポンプ12であるターボ分
子ポンプで真空引きしている際には、圧力の低い部分で
は排気路の管路抵抗の影響が大きい。従来のようにバタ
フライバルブ14の開閉スペースを確保した分、管路が
長くなる(図9の長さL)と、それだけ排気時間がかか
ってしまい、それを防ぐには大きな排気能力が必要とな
り、コストが高くなってしまう。さらに、バタフライバ
ルブ14の開閉時にパーティクルが発生し易く、半導体
ウエハの製造歩留まりが低下するという問題点もあっ
た。また、バタフライバルブ14を設けることにより、
コストが高いという欠点もあった。
However, in the structure of the conventional exhaust system 1 shown in FIG. 8, the butterfly valve 1 is used.
In order to secure the opening / closing space of 4 (space corresponding to L in FIG. 9), there is a problem that the vacuum processing apparatus becomes large. Further, when the turbo molecular pump, which is the main vacuum pump 12, is drawing a vacuum, the influence of the conduit resistance of the exhaust path is large in the portion where the pressure is low. When the opening and closing space of the butterfly valve 14 is secured as in the conventional case, the length of the pipe line becomes longer (length L in FIG. 9), the exhaust time becomes longer, and a large exhaust capacity is required to prevent it. The cost will be high. Further, there is a problem that particles are likely to be generated when the butterfly valve 14 is opened and closed, and the manufacturing yield of semiconductor wafers is reduced. Further, by providing the butterfly valve 14,
It also had the disadvantage of high cost.

【0007】本発明は、このような背景の下になされた
もので、その目的はバタフライバルブが不要で、真空処
理装置の小型化を図り、またパーティクル発生を抑える
ことのできる真空処置装置を提供することにある。
The present invention has been made under such a background, and an object thereof is to provide a vacuum treatment apparatus which does not require a butterfly valve, which can miniaturize the vacuum treatment apparatus and which can suppress particle generation. To do.

【0008】また他の発明の目的は、真空処理装置の小
型化が図れる上、面内均一性の高い処理を行うことので
きる真空処置装置を提供することにある。
Another object of the present invention is to provide a vacuum treatment apparatus which can miniaturize the vacuum treatment apparatus and can perform treatment with high in-plane uniformity.

【0009】[0009]

【課題を解決するための手段】本発明は、被処理体を真
空雰囲気で処理するための真空容器と、この真空容器内
を真空排気するためのケ−シング内にロ−タ部を備えた
主真空ポンプ及びこの主真空ポンプの下流側に設けられ
た補助真空ポンプと、を備えた真空処理装置において、
前記主真空ポンプと補助真空ポンプとの間に設けられ、
主バルブが介設された主排気路と、この主バルブに対し
て並列となるように主排気路に接続されると共に補助バ
ルブが介設された、主排気路よりもコンダクタンスの小
さい補助排気路と、前記主真空ポンプの回転数を調整す
る回転数調整部と、を備え、前記真空容器内を第1の圧
力に維持するときには前記主バルブを開いた状態とし、
前記真空容器内を第1の圧力よりも高い第2の圧力に維
持するときには前記主バルブを閉じかつ捕助バルブを開
いた状態とすると共に前記回転数調整部により主真空ポ
ンプの回転数を低くすることを特徴とする。
SUMMARY OF THE INVENTION The present invention comprises a vacuum container for processing an object to be processed in a vacuum atmosphere, and a rotor part in a casing for evacuating the inside of the vacuum container. In a vacuum processing apparatus comprising a main vacuum pump and an auxiliary vacuum pump provided on the downstream side of the main vacuum pump,
Provided between the main vacuum pump and the auxiliary vacuum pump,
A main exhaust passage provided with a main valve, and an auxiliary exhaust passage connected to the main exhaust passage in parallel with the main valve and having an auxiliary valve provided therein and having a conductance smaller than that of the main exhaust passage. And a rotation speed adjusting unit that adjusts the rotation speed of the main vacuum pump, and when the inside of the vacuum container is maintained at a first pressure, the main valve is opened.
When maintaining the inside of the vacuum container at a second pressure higher than the first pressure, the main valve is closed and the trapping valve is opened, and the rotation speed of the main vacuum pump is lowered by the rotation speed adjusting unit. It is characterized by doing.

【0010】この場合第1の圧力は、被処理体に対して
真空処理するときの圧力であり、第2の圧力は真空容器
内をクリーニングするときの圧力である。また真空容器
は円筒状に形成され、真空容器の底面には、真空容器の
直径方向に互いに対向する位置に、主真空ポンプの吸い
込み口よりも狭い排気口が夫々形成され、これら排気口
に夫々主真空ポンプが接続されると共に前記吸い込み口
における前記排気口からはみ出した部分は塞がれている
とよい。
In this case, the first pressure is a pressure for vacuum processing the object to be processed, and the second pressure is a pressure for cleaning the inside of the vacuum container. Further, the vacuum container is formed in a cylindrical shape, and on the bottom surface of the vacuum container, exhaust ports narrower than the suction port of the main vacuum pump are formed at positions facing each other in the diametrical direction of the vacuum container. It is preferable that the main vacuum pump is connected and a portion of the suction port protruding from the exhaust port is closed.

【0011】他の発明は、被処理体を真空雰囲気で処理
するための円筒状の真空容器と、この真空容器の底部に
接続された、ケ−シング内にロ−タ部を備えた真空ポン
プとを備えた真空処理装置において、前記真空容器の直
径方向に互いに対向する位置に、前記真空ポンプの吸い
込み口よりも狭い排気口が夫々形成され、これら排気口
に夫々真空ポンプが接続されると共に前記吸い込み口に
おける前記排気口からはみ出した部分は塞がれているこ
とを特徴とする。この場合排気口からはみ出して塞がれ
ている部分は、真空容器の外側に位置しているとよい。
なおケ−シング内にロ−タ部を備えた主真空ポンプ(真
空ポンプ)とは、ターボ分子ポンプまたは複合分子ポン
プよりなる。
Another invention is a vacuum pump having a cylindrical vacuum container for processing an object to be processed in a vacuum atmosphere, and a rotor part in a casing connected to the bottom of the vacuum container. In a vacuum processing apparatus including the above, exhaust ports narrower than the suction port of the vacuum pump are formed at positions facing each other in the diametrical direction of the vacuum container, and the vacuum pumps are connected to these exhaust ports, respectively. A part of the suction port protruding from the exhaust port is closed. In this case, it is preferable that the portion protruding from the exhaust port and blocked is located outside the vacuum container.
The main vacuum pump (vacuum pump) having a rotor in the casing is a turbo molecular pump or a composite molecular pump.

【0012】[0012]

【発明の実施の形態】図1は、この実施の形態に用いら
れる真空処理装置の一例を示す図である。図示するよう
にこの真空処理装置は、半導体ウエハW等の被処理体を
真空雰囲気で処理するための真空容器2と、その真空容
器2内を真空排気する排気系統3とを備えている。
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a diagram showing an example of a vacuum processing apparatus used in this embodiment. As shown in the figure, this vacuum processing apparatus includes a vacuum container 2 for processing an object to be processed such as a semiconductor wafer W in a vacuum atmosphere, and an exhaust system 3 for evacuating the inside of the vacuum container 2.

【0013】排気系統3は、例えばターボ分子ポンプよ
りなる主真空ポンプ31と、主真空ポンプ31の回転数
を調整する回転数調整部32と、ドライポンプ等からな
る補助真空ポンプ33と、主真空ポンプ31と補助真空
ポンプ33とを連通接続する主排気路34と、この主排
気路34内のガスの流通を遮断するように主排気路34
の途中に設けられた主バルブ35と、この主バルブ35
に対して並列となるように主排気路34に接続されてな
る、主排気路34よりもコンダクタンスの小さい補助排
気路36と、この補助排気路36内のガスの流通を遮断
するように補助排気路36の途中に設けられた補助バル
ブ37とからなる。なお主真空ポンプ31は、ケ−シン
グ内にロ−タ部を備えた真空ポンプであればよく、例え
ば複合分子ポンプであってもよい。
The exhaust system 3 includes, for example, a main vacuum pump 31 formed of a turbo molecular pump, a rotation speed adjustment unit 32 for adjusting the rotation speed of the main vacuum pump 31, an auxiliary vacuum pump 33 formed of a dry pump, and a main vacuum. A main exhaust passage 34 that connects the pump 31 and the auxiliary vacuum pump 33 to each other, and a main exhaust passage 34 that blocks the flow of gas in the main exhaust passage 34.
Main valve 35 provided in the middle of the
To the main exhaust passage 34, the auxiliary exhaust passage 36 having a conductance smaller than that of the main exhaust passage 34 and the auxiliary exhaust passage 36 so as to cut off the flow of gas in the auxiliary exhaust passage 36. It is composed of an auxiliary valve 37 provided in the middle of the path 36. The main vacuum pump 31 may be a vacuum pump having a rotor in the casing, and may be, for example, a composite molecular pump.

【0014】ここでこの例では主真空ポンプ31を真空
容器2の中心軸に対して対称に2個設け、その下流側に
夫々排気路を接続しているが、互いに同一の構成なので
説明の便宜上、一方の主真空ポンプ31にかかる部分に
ついて構成の説明をしている。
Here, in this example, two main vacuum pumps 31 are provided symmetrically with respect to the central axis of the vacuum container 2 and exhaust paths are respectively connected to the downstream sides thereof, but since they have the same configuration, they are for convenience of explanation. The configuration of the part related to the one main vacuum pump 31 is described.

【0015】主真空ポンプ31は、その上端の吸い込み
口38が、真空容器2の底面に開口する排気口21に臨
んで接続されており、それによって、主真空ポンプ31
は真空容器2内に連通接続されている。主真空ポンプ3
1の吸い込み口38は、真空容器2の排気口21よりも
広く、排気口21からはみ出した部分は塞がれており、
塞がれている部分は、真空容器2の外側に位置している
(真空容器2と主真空ポンプ31の配置関係を示す図3
及び図4参照)。
The main vacuum pump 31 is connected so that the suction port 38 at the upper end thereof faces the exhaust port 21 opening at the bottom surface of the vacuum container 2, whereby the main vacuum pump 31 is connected.
Are connected in communication in the vacuum container 2. Main vacuum pump 3
The suction port 38 of 1 is wider than the exhaust port 21 of the vacuum container 2, and the portion protruding from the exhaust port 21 is blocked,
The closed portion is located outside the vacuum container 2 (see FIG. 3 showing the positional relationship between the vacuum container 2 and the main vacuum pump 31).
And FIG. 4).

【0016】回転数調整部32は、主真空ポンプ31の
回転数の調整を行い得るように構成されている。例えば
タ−ボ分子ポンプは、ケ−シングにロ−タ部の電磁コイ
ルを囲むように界磁巻線が設けられており、この場合回
転数調整部32は、例えば前記界磁巻線の電流値を調整
するように構成されている。
The rotation speed adjusting section 32 is configured to adjust the rotation speed of the main vacuum pump 31. For example, in the turbo molecular pump, a field winding is provided in the casing so as to surround the electromagnetic coil of the rotor, and in this case, the rotation speed adjusting unit 32 uses, for example, the current of the field winding. It is configured to adjust the value.

【0017】また真空容器2及びプラズマを発生させる
部分についても簡単に説明しておくと、前記真空容器2
は円筒状のプラズマ発生室22とそのプラズマ発生室2
2の下側に連通接続された円筒状の反応室23とからな
る。反応室23内には、半導体ウエハW等を載置する載
置台24がプラズマ発生室22と対向するように設置さ
れているとともに、図示しないガス供給源から反応室2
3内に成膜ガス等の反応ガスを均等に供給するリング状
の成膜ガス供給部25が設けられている。反応室23の
底面の、載置台24を挟んで対称となる位置に、前記排
気口21が設けられている。従って、主真空ポンプ31
は、反応室23の直径方向に互いに対向する位置に2個
配設されており、それによって真空容器2内のガスの流
れは均等になる。
The vacuum container 2 and the part for generating plasma will be briefly described below.
Is a cylindrical plasma generation chamber 22 and the plasma generation chamber 2
2 and a cylindrical reaction chamber 23 that is connected to the lower side of the column 2. In the reaction chamber 23, a mounting table 24 on which a semiconductor wafer W or the like is mounted is installed so as to face the plasma generation chamber 22, and a reaction chamber 2 is supplied from a gas supply source (not shown).
A ring-shaped film-forming gas supply unit 25 that uniformly supplies a reaction gas such as a film-forming gas is provided in the inside 3. The exhaust port 21 is provided at a symmetrical position on the bottom surface of the reaction chamber 23 with the mounting table 24 interposed therebetween. Therefore, the main vacuum pump 31
Are arranged at positions facing each other in the diametrical direction of the reaction chamber 23, whereby the gas flow in the vacuum container 2 becomes uniform.

【0018】プラズマ生成室22には、図示しないガス
供給源からプラズマ生成室22内にプラズマ生成用のA
rガスや02 ガスを均等に供給するガスノズル26が設
けられている。プラズマ生成室22の外側及び反応室2
3の下側にはそれぞれ主電磁コイル27及び補助電磁コ
イル28が配置されており、磁場を形成するようになっ
ている。また、プラズマ生成室22には、例えば石英よ
りなる透過窓29を介して、高周波電源41により発生
したマイクロ波を案内する導波管42が接続されてい
る。
In the plasma generation chamber 22, an A for plasma generation is supplied from a gas supply source (not shown) into the plasma generation chamber 22.
A gas nozzle 26 that evenly supplies r gas and 02 gas is provided. Outside of plasma generation chamber 22 and reaction chamber 2
A main electromagnetic coil 27 and an auxiliary electromagnetic coil 28 are respectively arranged on the lower side of 3 to form a magnetic field. Further, a waveguide 42 for guiding the microwave generated by the high frequency power source 41 is connected to the plasma generation chamber 22 via a transmission window 29 made of, for example, quartz.

【0019】次に、上記構成の真空処理装置を用いて成
膜処理を行う場合について、主真空ポンプ31の稼動及
びバルブ35,37の開閉のタイミングを、図2を参照
しながら説明する。まず、主バルブ35を閉じ、かつ補
助バルブ37を開け、主真空ポンプ31を停止状態とし
たまま補助真空ポンプ33を稼動させ、大気圧(760
Torr)から真空引きを開始する。真空容器2内の圧力が
例えば300Torrになった時点で、補助バルブ37を閉
じると共に、主バルブ35を開ける。その際、主真空ポ
ンプ31及び補助真空ポンプ33はそれぞれ停止状態及
び稼動状態のままである。
Next, the operation of the main vacuum pump 31 and the timing of opening / closing the valves 35 and 37 in the case of performing a film forming process using the vacuum processing apparatus having the above-mentioned structure will be described with reference to FIG. First, the main valve 35 is closed, the auxiliary valve 37 is opened, the auxiliary vacuum pump 33 is operated with the main vacuum pump 31 stopped, and the atmospheric pressure (760
Start evacuation from Torr). When the pressure in the vacuum container 2 reaches, for example, 300 Torr, the auxiliary valve 37 is closed and the main valve 35 is opened. At that time, the main vacuum pump 31 and the auxiliary vacuum pump 33 remain in the stopped state and the operating state, respectively.

【0020】続いて真空容器2内の圧力が1Torr以下に
なった後、主真空ポンプ31を稼動させてロ−タ部を高
速回転させ、真空容器2内の圧力を10-4Torr以下程度
の真空度まで真空引きする。その後例えば図示しないロ
−ドロック室から被処理体である半導体ウエハを真空容
器2内に搬入し、真空容器2内にプラズマ生成用ガス及
び成膜ガスを導入する。また、高周波電源41により真
空容器2内にマイクロ波を供給し、電子サイクロトロン
共鳴を起こしてプラズマを生成して、成膜を開始する。
所定枚数のウエハWに対して成膜処理を行った後(図2
では便宜上3枚としてある)クリ−ニング処理を行う。
Then, after the pressure in the vacuum container 2 becomes 1 Torr or less, the main vacuum pump 31 is operated to rotate the rotor at a high speed, and the pressure in the vacuum container 2 is kept at about 10 -4 Torr or less. Evacuate to vacuum. After that, for example, a semiconductor wafer which is an object to be processed is loaded into a vacuum container 2 from a load lock chamber (not shown), and a plasma generating gas and a film forming gas are introduced into the vacuum container 2. Further, microwaves are supplied into the vacuum container 2 by the high frequency power source 41, electron cyclotron resonance is caused to generate plasma, and film formation is started.
After performing the film forming process on a predetermined number of wafers W (see FIG. 2).
Then, the cleaning processing is performed for the sake of convenience.

【0021】このクリ−ニング処理においては、主真空
ポンプ31の回転数を回転数調整部32により例えば2
0%程度下げて低速回転させると共に、主バルブ35を
閉じて補助バルブ37を開ける。そして真空容器2内の
圧力を1〜10Torrに維持しながら成膜ガスに代えて例
えばN2 ガス及びNF3 ガスを真空容器2内に供給し、
プラズマを生成させて、真空容器2内に付着した反応生
成物をエッチングして除去する。
In this cleaning process, the rotation speed of the main vacuum pump 31 is adjusted to 2 by the rotation speed adjusting section 32.
The main valve 35 is closed and the auxiliary valve 37 is opened while the speed is lowered by 0% to rotate at low speed. Then, for example, N2 gas and NF3 gas are supplied into the vacuum container 2 instead of the film forming gas while maintaining the pressure in the vacuum container 2 at 1 to 10 Torr,
The plasma is generated, and the reaction product attached to the inside of the vacuum container 2 is removed by etching.

【0022】クリーニング終了後は、再び補助バルブ3
7を閉じて主バルブ35を開けると共に主真空ポンプ3
1の回転を高速に切り替え、真空容器2内を10-4Torr
以下まで真空引きする。そして次のウエハWを真空容器
2内に搬入し、同様にして成膜処理を行う。以後は成膜
処理、クリ−ニング処理のサイクルが繰り返し行われ
る。
After the cleaning is completed, the auxiliary valve 3 is again provided.
7 is closed and the main valve 35 is opened, and the main vacuum pump 3
1 rotation is switched to high speed, and the inside of the vacuum vessel 2 is 10 -4 Torr
Vacuum to the following. Then, the next wafer W is loaded into the vacuum chamber 2 and the film forming process is performed in the same manner. After that, the cycle of the film forming process and the cleaning process is repeated.

【0023】上述の実施の形態によれば、クリーニング
時の真空容器2内の圧力を高い圧力範囲(例えば1〜1
0Torr)に調整するにあたり、排気路をコンダクタンス
の小さい補助排気路36に切り替えると共に主真空ポン
プ31を回転数調整部32により低速回転させているの
で、従来のようにバタフライバルブを設けることなく圧
力調整をすることができ、真空処理装置を従来よりも小
型化することができる。
According to the above-described embodiment, the pressure in the vacuum container 2 at the time of cleaning is set in a high pressure range (for example, 1 to 1).
(0 Torr), the exhaust passage is switched to the auxiliary exhaust passage 36 having a small conductance, and the main vacuum pump 31 is rotated at a low speed by the rotation speed adjusting unit 32. Therefore, unlike the conventional case, the pressure is adjusted without providing a butterfly valve. Therefore, the vacuum processing apparatus can be made smaller than before.

【0024】また補助排気路36に切り替えたときに主
真空ポンプ(タ−ボ分子ポンプ)31の回転数を低速に
しているため、主真空ポンプ31に無理な負荷がかかる
ことがないので主真空ポンプ31の使用寿命が短くなる
というおそれもない。なお補助排気路36に切り替えず
に主排気路34を用いたまま主真空ポンプ31を低速に
したとすると、クニーニング時の圧力を高い圧力範囲に
調整することができない。
Further, since the rotation speed of the main vacuum pump (turbo molecular pump) 31 is set to a low speed when switching to the auxiliary exhaust passage 36, an unreasonable load is not applied to the main vacuum pump 31. There is no fear that the service life of the pump 31 will be shortened. If the main vacuum pump 31 is set to a low speed while the main exhaust passage 34 is used without switching to the auxiliary exhaust passage 36, the pressure during the kneading cannot be adjusted to a high pressure range.

【0025】またバタフライバルブを設けずに済むた
め、従来に比べてバタフライバルブの開閉スペース分だ
け排気管路が短くなり、その結果管路抵抗が小さくなる
ので排気時間が短くなる。あるいは排気時間を従来と同
じに設定すれば主真空ポンプ31の排気能力が小さくて
済み、真空処理装置の低コスト化が図れる。更にバタフ
ライバルブが不要であるため、従来バタフライバルブの
開閉に起因して発生していたパーティクルの発生を防止
することができる。
Further, since it is not necessary to provide a butterfly valve, the exhaust pipe line is shortened by the opening / closing space of the butterfly valve as compared with the conventional case, and as a result, the pipe line resistance is reduced, so that the exhaust time is shortened. Alternatively, if the evacuation time is set to be the same as the conventional one, the evacuation capacity of the main vacuum pump 31 may be small and the cost of the vacuum processing apparatus can be reduced. Further, since the butterfly valve is not necessary, it is possible to prevent the generation of particles which has been conventionally caused by opening and closing the butterfly valve.

【0026】ところで、上述したようにこの実施の形態
では、図3及び図4に示すように、真空容器2のプラズ
マ生成室22及び反応室23はともに円筒状に形成され
ており、2個の主真空ポンプ31は、反応室23の中心
軸を挟んで直径方向に対向するようにかつ夫々その吸い
込み口の一部が反応室23の外側にはみ出るように配置
されている。このため載置台24上に載置される半導体
ウエハに対して真空容器2の構造が軸対称となるので、
ガス流及びプラズマの立ち方が軸対称となり、半導体ウ
エハ上に形成した膜の厚さについて、面内均一性がよい
という効果が得られる。しかも主真空ポンプ31の吸い
込み口全部を真空容器2の排気口に接続するのではな
く、その一部を用いているため真空容器2の横断面が円
形でありながら口径が小さくて済み、装置全体を小型化
できる。
By the way, as described above, in this embodiment, as shown in FIGS. 3 and 4, both the plasma generation chamber 22 and the reaction chamber 23 of the vacuum container 2 are formed in a cylindrical shape, and two plasma generation chambers are formed. The main vacuum pumps 31 are arranged so as to be diametrically opposed to each other with the central axis of the reaction chamber 23 interposed therebetween, and a part of each suction port thereof protrudes outside the reaction chamber 23. Therefore, since the structure of the vacuum container 2 is axisymmetric with respect to the semiconductor wafer mounted on the mounting table 24,
The standing of the gas flow and plasma is axisymmetric, and the effect that the in-plane uniformity of the thickness of the film formed on the semiconductor wafer is good is obtained. Moreover, since the suction port of the main vacuum pump 31 is not entirely connected to the exhaust port of the vacuum container 2 but a part of the suction port is used, the vacuum container 2 has a circular cross-section and a small diameter. Can be downsized.

【0027】本発明者らが行った実験によれば、図1に
示す装置を用いてSiOF膜を8インチサイズのウエハ
に成膜して膜厚の面内均一性を調べたところおよそ3%
と良好であった。なお主真空ポンプ31を真空容器2の
中央部に設ければ、排気口21は半導体ウエハの中心に
対して対称となるので、この場合も膜厚について高い面
内均一性が得られると思われるが、真空容器2の底部中
央部には、図示していないが、載置台24の静電チャッ
ク用電極に接続される導電棒や、載置台24を昇降させ
る場合には昇降軸があるので、主真空ポンプ31を設け
ることはできないか、できたとしても装置のレイアウト
が極めて困難になる。
According to an experiment conducted by the present inventors, an SiOF film was formed on an 8-inch size wafer by using the apparatus shown in FIG. 1 and the in-plane uniformity of the film thickness was examined.
And was good. If the main vacuum pump 31 is provided in the central portion of the vacuum container 2, the exhaust port 21 will be symmetrical with respect to the center of the semiconductor wafer, and in this case as well, high in-plane uniformity of the film thickness can be obtained. Although not shown in the figure, a conductive rod connected to the electrostatic chuck electrode of the mounting table 24 and an elevating shaft for elevating the mounting table 24 are provided in the central portion of the bottom of the vacuum container 2, It is impossible to provide the main vacuum pump 31, or even if it is possible, the layout of the device becomes extremely difficult.

【0028】一方例えば図5及び図6に示すように、真
空容器2の反応室23を平面形状が長円状または楕円状
に形成され、2つの主真空ポンプ31の全体が反応室2
3の下側に配置されている場合には、半導体ウエハに対
して真空容器2の構造が軸対称ではないので、半導体ウ
エハ上に形成した膜の厚さの面内均一性が悪くなってし
まう。本発明者らが行った実験によれば、上述と同様に
してSiOF膜をウエハに成膜したところ、膜厚の面内
均一性は7%であり、上述した実施の形態における面内
均一性の値( 3%) よりも悪かった。
On the other hand, as shown in FIG. 5 and FIG. 6, for example, the reaction chamber 23 of the vacuum vessel 2 is formed in an elliptical or elliptical shape in plan view, and the two main vacuum pumps 31 are entirely formed in the reaction chamber 2.
In the case of being arranged on the lower side of the semiconductor wafer 3, the structure of the vacuum container 2 is not axisymmetric with respect to the semiconductor wafer, so that the in-plane uniformity of the thickness of the film formed on the semiconductor wafer deteriorates. . According to an experiment conducted by the present inventors, when a SiOF film is formed on a wafer in the same manner as described above, the in-plane uniformity of the film thickness is 7%, and the in-plane uniformity in the above-described embodiment is It was worse than the value of (3%).

【0029】また、図7に概略縦断面図を示す真空処理
装置のように、真空容器2のプラズマ生成室22及び反
応室23を円筒状に形成し、反応室23の側部と底部と
の間に斜めに主真空ポンプ31を取り付けることも考え
られが、この場合には、主真空ポンプ31が斜めの状態
で稼動することとなり、主真空ポンプ31の回転軸に対
する負荷が大きく、長い使用寿命が得られないという不
都合があるので、好ましくない。
Further, as in the vacuum processing apparatus whose schematic vertical sectional view is shown in FIG. 7, the plasma generation chamber 22 and the reaction chamber 23 of the vacuum container 2 are formed in a cylindrical shape, and the reaction chamber 23 has a side portion and a bottom portion. It is conceivable to install the main vacuum pump 31 at an angle between them, but in this case, the main vacuum pump 31 operates in an oblique state, the load on the rotary shaft of the main vacuum pump 31 is large, and the service life is long. It is not preferable because there is the inconvenience that

【0030】以上において本発明では、真空容器2内の
ガス流を均等に保つことができれば主真空ポンプ31を
真空容器2の周方向に等分した位置に3個以上設けても
よい。また、本発明は、真空雰囲気で処理を行う装置で
あれば、ECRを利用した成膜装置に限らず、エッチン
グやイオン注入など種々の真空処理装置に適用できる。
In the above, in the present invention, three or more main vacuum pumps 31 may be provided at positions equally divided in the circumferential direction of the vacuum container 2 as long as the gas flow in the vacuum container 2 can be kept uniform. Further, the present invention can be applied not only to the film forming apparatus using ECR, but also to various vacuum processing apparatuses such as etching and ion implantation as long as the apparatus performs processing in a vacuum atmosphere.

【0031】[0031]

【発明の効果】本発明によれば、真空処理装置の排気系
統にバタフライバルブを設けずに済み、従って真空処理
装置を小型化でき、またパーティクルの発生を抑止する
ことができる。更に他の発明によれば、真空処理装置を
小型化でき、また面内均一性の高い処理を行うことがで
きる。
According to the present invention, it is not necessary to provide a butterfly valve in the exhaust system of the vacuum processing apparatus, so that the vacuum processing apparatus can be downsized and the generation of particles can be suppressed. According to still another aspect of the invention, the vacuum processing apparatus can be downsized, and processing with high in-plane uniformity can be performed.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施の形態に係る真空処理装置の全体
構成を示す縦断面図である。
FIG. 1 is a vertical cross-sectional view showing the overall configuration of a vacuum processing apparatus according to an embodiment of the present invention.

【図2】本発明の実施の形態に係る真空処理装置の圧力
の変動、バルブの開閉タイミング及び主真空ポンプの稼
動状態を説明する説明図である。
FIG. 2 is an explanatory diagram illustrating pressure fluctuations, valve opening / closing timings, and an operating state of a main vacuum pump of the vacuum processing apparatus according to the embodiment of the present invention.

【図3】本発明の実施の形態に係る真空処理装置の真空
容器と主真空ポンプの配置関係を説明する説明図であ
る。
FIG. 3 is an explanatory diagram illustrating an arrangement relationship between a vacuum container and a main vacuum pump of the vacuum processing apparatus according to the embodiment of the present invention.

【図4】本発明の実施の形態に係る真空処理装置の真空
容器と主真空ポンプの配置関係を説明する説明図であ
る。
FIG. 4 is an explanatory diagram illustrating an arrangement relationship between a vacuum container and a main vacuum pump of the vacuum processing apparatus according to the embodiment of the present invention.

【図5】比較対象となる真空処理装置の真空容器と主真
空ポンプの配置関係を説明する説明図である。
FIG. 5 is an explanatory diagram illustrating an arrangement relationship between a vacuum container and a main vacuum pump of a vacuum processing apparatus which is a comparison target.

【図6】比較対象となる真空処理装置の真空容器と主真
空ポンプの配置関係を説明する説明図である。
FIG. 6 is an explanatory diagram illustrating an arrangement relationship between a vacuum container and a main vacuum pump of a vacuum processing apparatus which is a comparison target.

【図7】比較対象となる真空処理装置の真空容器と主真
空ポンプの配置関係を説明する説明図である。
FIG. 7 is an explanatory diagram illustrating an arrangement relationship between a vacuum container and a main vacuum pump of a vacuum processing apparatus which is a comparison target.

【図8】従来の真空処理装置の排気系統を示す概略図で
ある。
FIG. 8 is a schematic diagram showing an exhaust system of a conventional vacuum processing apparatus.

【図9】従来の真空処理装置の排気系統におけるバタフ
ライバルブを示す概略図である。
FIG. 9 is a schematic diagram showing a butterfly valve in an exhaust system of a conventional vacuum processing apparatus.

【符号の説明】[Explanation of symbols]

W 被処理体(半導体ウエハ) 2 真空容器 3 排気系統 21 排気口 31 主真空ポンプ 32 回転数調整部 33 補助真空ポンプ 34 主排気路 35 主バルブ 36 補助排気路 37 補助バルブ 38 吸い込み口 W Object to be processed (semiconductor wafer) 2 vacuum container 3 exhaust system 21 Exhaust port 31 Main vacuum pump 32 Rotation speed adjustment unit 33 Auxiliary vacuum pump 34 Main exhaust path 35 Main valve 36 Auxiliary exhaust passage 37 Auxiliary valve 38 Suction mouth

───────────────────────────────────────────────────── フロントページの続き (72)発明者 岡 信介 神奈川県津久井郡城山町町屋1丁目2番 41号 東京エレクトロン東北株式会社 相模事業所内 (72)発明者 中瀬 りさ 神奈川県津久井郡城山町町屋1丁目2番 41号 東京エレクトロン東北株式会社 相模事業所内 (56)参考文献 特開 平9−63963(JP,A) 特開 平8−172083(JP,A) 特開 平5−180165(JP,A) (58)調査した分野(Int.Cl.7,DB名) B01J 3/00 - 3/08 H01L 21/00 - 21/98 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Shinsuke Oka 1-24-1 Machiya, Shiroyama-cho, Tsukui-gun, Kanagawa Tokyo Electron Tohoku Co., Ltd. Sagami Plant (72) Risa Nakase 1 Shiroyama-machi, Tsukui-gun, Kanagawa 2-41, Tokyo Electron Tohoku Co., Ltd. (56) References JP-A-9-63963 (JP, A) JP-A-8-172083 (JP, A) JP-A-5-180165 (JP, A) ) (58) Fields surveyed (Int.Cl. 7 , DB name) B01J 3/00-3/08 H01L 21/00-21/98

Claims (6)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 被処理体を真空雰囲気で処理するための
真空容器と、この真空容器内を真空排気するための、ケ
−シング内にロ−タ部を備えた主真空ポンプ及びこの主
真空ポンプの下流側に設けられた補助真空ポンプと、を
備えた真空処理装置において、 前記主真空ポンプと補助真空ポンプとの間に設けられ、
主バルブが介設された主排気路と、 この主バルブに対して並列となるように主排気路に接続
されると共に補助バルブが介設された、主排気路よりも
コンダクタンスの小さい補助排気路と、 前記主真空ポンプの回転数を調整する回転数調整部と、
を備え、 前記真空容器内を第1の圧力に維持するときには前記主
バルブを開いた状態とし、前記真空容器内を第1の圧力
よりも高い第2の圧力に維持するときには前記主バルブ
を閉じかつ捕助バルブを開いた状態とすると共に前記回
転数調整部により主真空ポンプの回転数を低くすること
を特徴とする真空処理装置。
1. A vacuum container for processing an object to be processed in a vacuum atmosphere, a main vacuum pump for evacuating the inside of the vacuum container, the main vacuum pump having a rotor portion in the casing, and the main vacuum. An auxiliary vacuum pump provided on the downstream side of the pump, and a vacuum processing device comprising: provided between the main vacuum pump and the auxiliary vacuum pump,
A main exhaust passage provided with a main valve and an auxiliary exhaust passage connected to the main exhaust passage in parallel with the main valve and having an auxiliary valve provided therein and having a conductance smaller than that of the main exhaust passage. And a rotation speed adjusting unit for adjusting the rotation speed of the main vacuum pump,
And maintaining the main valve in an open state when maintaining the inside of the vacuum container at a first pressure, and closing the main valve when maintaining the inside of the vacuum container at a second pressure higher than the first pressure. Further, the vacuum processing apparatus is characterized in that the auxiliary valve is opened and the rotation speed of the main vacuum pump is lowered by the rotation speed adjusting section.
【請求項2】 第1の圧力は、被処理体に対して真空処
理するときの圧力であり、第2の圧力は真空容器内をク
リーニングするときの圧力であることを特徴とする請求
項1記載の真空処理装置。
2. The first pressure is a pressure when performing vacuum processing on the object to be processed, and the second pressure is a pressure when cleaning the inside of the vacuum container. The vacuum processing apparatus described.
【請求項3】 真空容器は円筒状に形成され、真空容器
の底面には、真空容器の周方向に等分した位置に、主真
空ポンプの吸い込み口よりも狭い排気口が夫々形成さ
れ、これら排気口に夫々主真空ポンプが接続されると共
に前記吸い込み口における前記排気口からはみ出した部
分は塞がれていることを特徴とする請求項1記載の真空
処理装置。
3. The vacuum container is formed in a cylindrical shape, and an exhaust port narrower than a suction port of the main vacuum pump is formed on the bottom surface of the vacuum container at equal positions in the circumferential direction of the vacuum container. The vacuum processing apparatus according to claim 1, wherein a main vacuum pump is connected to each of the exhaust ports, and a portion of the suction port protruding from the exhaust port is closed.
【請求項4】被処理体を真空雰囲気で処理するための円
筒状の真空容器と、この真空容器の底部に接続された、
ケ−シング内にロ−タ部を備えた複数の真空ポンプとを
備えた真空処理装置において、 前記真空容器の周方向に等分した位置に、前記真空ポン
プの吸い込み口よりも狭い排気口が夫々形成され、これ
ら排気口に夫々真空ポンプが接続されると共に前記吸い
込み口における前記排気口からはみ出した部分は塞がれ
ていることを特徴とする真空処理装置。
4. A cylindrical vacuum container for processing an object to be processed in a vacuum atmosphere and connected to the bottom of the vacuum container.
In a vacuum processing apparatus provided with a plurality of vacuum pumps having a rotor unit in a casing, an exhaust port narrower than a suction port of the vacuum pump is provided at positions equally divided in the circumferential direction of the vacuum container. A vacuum processing apparatus, wherein the vacuum processing apparatus is formed, and a vacuum pump is connected to each of the exhaust ports, and a portion of the suction port protruding from the exhaust port is closed.
【請求項5】 排気口からはみ出して塞がれている部分
は、真空容器の外側に位置していることを特徴とする請
求項3または4記載の真空処理装置。
5. The vacuum processing apparatus according to claim 3 or 4, wherein the portion protruding from the exhaust port and blocked is located outside the vacuum container.
【請求項6】 主真空ポンプあるいは真空ポンプは、タ
ーボ分子ポンプまたは複合分子ポンプであることを特徴
とする請求項1、2、3、4または5記載の真空処理装
置。
6. The vacuum processing apparatus according to claim 1, wherein the main vacuum pump or the vacuum pump is a turbo molecular pump or a composite molecular pump.
JP27957497A 1997-09-26 1997-09-26 Vacuum processing equipment Expired - Fee Related JP3472456B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP27957497A JP3472456B2 (en) 1997-09-26 1997-09-26 Vacuum processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27957497A JP3472456B2 (en) 1997-09-26 1997-09-26 Vacuum processing equipment

Publications (2)

Publication Number Publication Date
JPH1199327A JPH1199327A (en) 1999-04-13
JP3472456B2 true JP3472456B2 (en) 2003-12-02

Family

ID=17612887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27957497A Expired - Fee Related JP3472456B2 (en) 1997-09-26 1997-09-26 Vacuum processing equipment

Country Status (1)

Country Link
JP (1) JP3472456B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
CN101490315A (en) * 2006-07-19 2009-07-22 陶氏康宁公司 Method of manufacturing substrates having improved carrier lifetimes
JP4870536B2 (en) * 2006-12-06 2012-02-08 株式会社ニューフレアテクノロジー Vapor growth method
US8636019B2 (en) * 2007-04-25 2014-01-28 Edwards Vacuum, Inc. In-situ removal of semiconductor process residues from dry pump surfaces
JP5582978B2 (en) * 2010-11-16 2014-09-03 日立造船株式会社 Gas discharge device for reaction chamber

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05180165A (en) * 1991-08-23 1993-07-20 Shimadzu Corp Vacuum exhaust device for vacuum container
JP3539446B2 (en) * 1994-12-16 2004-07-07 株式会社荏原製作所 By-product trap device and cleaning method thereof
JPH0963963A (en) * 1995-08-23 1997-03-07 Hitachi Ltd Semiconductor substrate treating device and treatment of semiconductor substrate

Also Published As

Publication number Publication date
JPH1199327A (en) 1999-04-13

Similar Documents

Publication Publication Date Title
JP2942239B2 (en) Exhaust method and exhaust apparatus, plasma processing method and plasma processing apparatus using the same
US7097735B2 (en) Plasma processing device
JP3996771B2 (en) Vacuum processing apparatus and vacuum processing method
US6769439B2 (en) Plasma cleaning method and placement area protector used in the method
US8257501B2 (en) Plasma doping device with gate shutter
JP3121524B2 (en) Etching equipment
WO1999049705A1 (en) Plasma processing apparatus
JP3535309B2 (en) Decompression processing equipment
US20210050190A1 (en) Substrate processing method, pressure control apparatus and substrate processing system
JP2661455B2 (en) Vacuum processing equipment
JP6869765B2 (en) Plasma processing equipment and plasma processing method
TW201926402A (en) Plasma processing apparatus and plasma processing method
JPH10294307A (en) Plasma processing device
JP3472456B2 (en) Vacuum processing equipment
KR100262883B1 (en) Plasma cleaning method
JP3844413B2 (en) Etching method
JPH09168732A (en) Vacuum treating device
JP3725968B2 (en) Plasma processing equipment
JP3192352B2 (en) Plasma processing equipment
JPH09129611A (en) Etching
JP3595508B2 (en) Semiconductor manufacturing equipment
JP2010278207A (en) Plasma processing apparatus
JP2000133498A (en) Plasma processing device
JPH08330294A (en) Plasma treatment device
JP3165948B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120912

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150912

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees