JP3430056B2 - Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit - Google Patents

Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit

Info

Publication number
JP3430056B2
JP3430056B2 JP02587599A JP2587599A JP3430056B2 JP 3430056 B2 JP3430056 B2 JP 3430056B2 JP 02587599 A JP02587599 A JP 02587599A JP 2587599 A JP2587599 A JP 2587599A JP 3430056 B2 JP3430056 B2 JP 3430056B2
Authority
JP
Japan
Prior art keywords
flop
flip
scan
input
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP02587599A
Other languages
Japanese (ja)
Other versions
JP2000221245A (en
Inventor
浩一 神鳥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP02587599A priority Critical patent/JP3430056B2/en
Publication of JP2000221245A publication Critical patent/JP2000221245A/en
Application granted granted Critical
Publication of JP3430056B2 publication Critical patent/JP3430056B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】この発明は、特定パスの信号
伝搬遅延時間(パスディレイ)を測定するための回路を
内蔵した集積回路、および、該集積回路におけるパスデ
ィレイテスト方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an integrated circuit incorporating a circuit for measuring a signal propagation delay time (path delay) of a specific path, and a path delay test method in the integrated circuit.

【0002】[0002]

【従来の技術】集積回路のテスト方法として、スキャン
設計された回路を利用して、回路中のクリティカルパス
の遅延量を測定するパスディレイテスト手法がある。こ
れは、LSIの設計過程でスタティックタイミング解析
により得られた回路中の最も遅延量の大きい信号経路
(クリティカルパス)に対して、そのパスを活性化させ
る条件を求め、スキャンフFF(フリップフロップ)の
シフト動作によって実際の回路に信号値を設定すること
により、クリティカルパスのみを活性化させ、その遅延
量を測定し実回路の動作速度に問題がないかをテストす
る方法である。
2. Description of the Related Art As a test method for an integrated circuit, there is a path delay test method for measuring the delay amount of a critical path in a circuit by using a scan-designed circuit. This is because the condition for activating a signal path (critical path) having the largest delay amount in the circuit obtained by the static timing analysis in the process of designing the LSI is found, and the scan path FF (flip-flop) This is a method of activating only the critical path by setting a signal value in the actual circuit by the shift operation, measuring the delay amount, and testing whether there is a problem in the operating speed of the actual circuit.

【0003】具体的な方法について、図7を用いて説明
する。回路例は、スキャンFFと単純ゲートから構成さ
れる。回路中のクリティカルパスは、ソーススキャンF
F21、ANDゲート25、ORゲート26、NOTゲ
ート27、ORゲート28、ANDゲート29、ターゲ
ットスキャンFF22のパスとする。このとき、クリテ
ィカルパスを活性化させるには、パス中の各ゲートの他
方の端子の信号値を決める必要があり、この回路では、
ANDゲート25の他方の端子は“1”、ORゲート2
6の他方の端子は“0”、ORゲート28の他方の端子
は“0”、ANDゲート29の他方の端子は“1”とな
るように設定する。これらは、それぞれの端子から回路
の接続をバックトレースして、各々を制御できるスキャ
ンFF23の値を設定することによって行う。このと
き、全てのスキャンFFは共通の外部クロックにより動
作するため、活性化の条件が破壊されないように、さら
に2段目(1段手前)のスキャンFF24の値を決める
必要がある。これはスキャンテストを行う1クロックの
期間、各設定値を維持する必要があるためである。
A specific method will be described with reference to FIG. The circuit example is composed of a scan FF and a simple gate. The critical path in the circuit is the source scan F
The path of F21, AND gate 25, OR gate 26, NOT gate 27, OR gate 28, AND gate 29, and target scan FF 22. At this time, in order to activate the critical path, it is necessary to determine the signal value of the other terminal of each gate in the path.
The other terminal of the AND gate 25 is "1", and the OR gate 2
The other terminal of 6 is set to "0", the other terminal of the OR gate 28 is set to "0", and the other terminal of the AND gate 29 is set to "1". These are performed by back-tracing the circuit connection from each terminal and setting the value of the scan FF 23 that can control each. At this time, all the scan FFs operate with a common external clock, so that it is necessary to determine the value of the scan FF 24 of the second stage (first stage before) so that the activation condition is not destroyed. This is because it is necessary to maintain each set value for one clock period during which the scan test is performed.

【0004】次に、図8を用いてパスディレイテストの
タイミング波形について説明する。各スキャンFF23
とスキャンFF24の初期値は、図7に示すように
“0”もしくは“1”がスキャンシフト動作により設定
されているとする。このとき、外部クロック端子から1
発目のパルスが入るとソーススキャンFF21が、回路
の遅延分遅れて“0”から“1”となる。次に、ソース
スキャンFF21の出力値“1”の信号を受けて、次段
のANDゲート25の出力が回路の遅延分遅れて“0”
から“1”となる。同様に、ORゲート26、NOTゲ
ート27、ORゲート28、ANDゲート29へと信号
で伝搬していく。最終段のANDゲート29の出力が
“0”に変化した後、外部クロック端子から2発目のパ
ルスが入るとターゲットスキャンFF22は、“0”を
取り込む。しかし、ANDゲート29の出力が“0”に
変化する前に、外部クロック端子から2発目のパルスが
入るとターゲットスキャンFF22は、“1”を取り込
む。外部クロック端子から入力するパルス幅30を何通
りか変えて、繰り返しテストすることにより、ソースス
キャンFF21からターゲットスキャンFF22までの
パスディレイ値を求めることが可能となる。
Next, the timing waveform of the path delay test will be described with reference to FIG. Each scan FF23
The initial value of the scan FF 24 is assumed to be set to "0" or "1" by the scan shift operation as shown in FIG. At this time, 1 from the external clock terminal
When the generation pulse is input, the source scan FF 21 changes from "0" to "1" with a delay of the circuit delay. Next, in response to the signal of the output value “1” of the source scan FF 21, the output of the AND gate 25 at the next stage is delayed by the delay of the circuit to “0”.
Becomes "1". Similarly, a signal propagates to the OR gate 26, NOT gate 27, OR gate 28, and AND gate 29. After the output of the AND gate 29 at the final stage changes to "0", when the second pulse is input from the external clock terminal, the target scan FF 22 takes in "0". However, when the second pulse is input from the external clock terminal before the output of the AND gate 29 changes to "0", the target scan FF 22 takes in "1". It is possible to obtain the path delay value from the source scan FF 21 to the target scan FF 22 by repeating the test by changing the pulse width 30 input from the external clock terminal in several ways.

【0005】しかしながら、実際のLSIのクリティカ
ルパスのゲート段数は長大であり、そのパスを活性化さ
せるために、それらに接続されている2段分のスキャン
FFの条件を決定することは、テストパターン生成用の
CAD処理が複雑になり、また回路構成によっては、ロ
ジック上条件を決定することができない場合もでてく
る。
However, the number of gate stages of the critical path of an actual LSI is large, and it is not possible to determine the conditions of the scan FFs for two stages connected to them in order to activate the path. The CAD processing for generation becomes complicated, and depending on the circuit configuration, it may not be possible to determine the conditions on the logic.

【0006】そこで、条件設定を容易にする方法として
1段分のスキャンFFの設定だけでも、活性化の条件が
破壊されないような回路が幾つか提案されている。
Therefore, as a method for facilitating the condition setting, some circuits have been proposed in which the activation condition is not destroyed even by setting the scan FF for one stage.

【0007】特開平3−61872号公報には、図9に
示すように、ソーススキャンFFとターゲットスキャン
FF以外のスキャンFFへのクロックの印加を抑止でき
る回路を追加することにより、パスディレイテストのと
きにはソーススキャンFFとターゲットスキャンFFの
みにクロックを供給することによって、活性化の条件が
破壊されないようにするものが示されている。また、特
開平8−313597号公報においては、図10に示す
ように、スキャンFFに1つ前のデータを保持できるよ
うな構造のものを使用することにより、1段分のスキャ
ンFFでも活性化の条件が破壊されないようにするもの
が示されている。
In Japanese Patent Laid-Open No. 3-61872, as shown in FIG. 9, a circuit capable of suppressing the application of a clock to a scan FF other than a source scan FF and a target scan FF is added to perform a path delay test. Sometimes, a clock is supplied only to the source scan FF and the target scan FF so that the activation condition is not destroyed. Further, in Japanese Unexamined Patent Publication No. 8-313597, as shown in FIG. 10, a scan FF having a structure capable of holding the previous data is used to activate one scan FF. It is shown that the conditions of (1) are not destroyed.

【0008】[0008]

【発明が解決しようとする課題】パスディレイテストを
行うとき、1段分のスキャンFFの設定だけで、クリテ
ィカルパスの各ゲートの活性化の条件が波形されないよ
うにする技術として、上記特開平3−61872号公報
のクロックの印加を抑止できる回路を追加する方法があ
るが、この方法はクロックラインにテスト用の制御回路
を挿入する必要があるため、制御回路によってその前後
のクロックラインが電気的に分離され別々のクロックと
して認識されるため、非同期設計になってしまう。非同
期設計となった場合、クロックスキューの保証が行いに
くくなり、LSIの自動レイアウト技術であるクロック
ツリーシンセシスを適用することができなくなるなどの
問題点があった。
As a technique for preventing the activation condition of each gate of the critical path from being waved only by setting the scan FF for one stage at the time of performing the path delay test, the above-mentioned Japanese Patent Laid-Open Publication No. Hei 3 (1999) -311. There is a method of adding a circuit capable of suppressing the application of the clock in Japanese Patent Laid-Open No. 61872, but this method requires a control circuit for testing to be inserted in the clock line. Since it is separated into two and recognized as separate clocks, it becomes an asynchronous design. In the asynchronous design, it is difficult to guarantee the clock skew, and there is a problem that the clock tree synthesis, which is an automatic layout technology for LSI, cannot be applied.

【0009】また、特開平8−313597号公報のよ
うに特殊なスキャンFFを使用する方法では、新規にス
キャンセルを開発する必要があり、実際にLSIに組み
込んだ場合、回路の増加が大きくなるといった欠点があ
った。
Further, in the method using a special scan FF as in Japanese Patent Laid-Open No. 8-313597, it is necessary to newly develop a scan cell, and when it is actually incorporated in an LSI, the number of circuits increases greatly. There was a drawback.

【0010】この発明は、回路構成を複雑化しないで1
段分のスキャンFFの設定だけでパスディレイテストを
行うことができるディレイテスト容易化回路およびテス
ト方法を提供することを目的とする。
The present invention does not complicate the circuit configuration.
An object of the present invention is to provide a delay test facilitation circuit and a test method capable of performing a path delay test only by setting scan FFs for a number of stages.

【0011】[0011]

【課題を解決するための手段】請求項1の発明は、ソー
スフリップフロップからターゲットフリップフロップま
での特定パスの信号伝搬遅延時間を測定するパスディレ
イテスト容易化回路を内蔵した集積回路であって、前記
特定パス中の各ゲートに対して状態を設定する状態設定
フリップフロップを、D入力またはシフト入力を選択的
にラッチ可能なフリップフロップで構成するとともに、
シフト入力をラッチする設定のとき、1回シフトしても
各状態設定フリップフロップの出力が変化しないように
各状態設定フリップフロップをスキャン接続したことを
特徴とする。
According to a first aspect of the present invention, there is provided an integrated circuit having a path delay test facilitation circuit for measuring a signal propagation delay time of a specific path from a source flip-flop to a target flip-flop, The state setting flip-flop for setting the state for each gate in the specific path is configured by a flip-flop capable of selectively latching a D input or a shift input, and
When the shift input is set to be latched, each state setting flip-flop is scan-connected so that the output of each state setting flip-flop does not change even if it is shifted once.

【0012】請求項2の発明は、ソースフリップフロッ
プからターゲットフリップフロップまでの特定パスの信
号伝搬遅延時間を測定するパスディレイテスト容易化回
路を内蔵した集積回路であって、前記特定パス中の各ゲ
ートに対して状態を設定する状態設定フリップフロップ
を、D入力またはシフト入力を選択的にラッチ可能なフ
リップフロップで構成するとともに、前記状態設定フリ
ップフロップを、前記特定パスの活性化条件が“1”の
グループと“0”のグループに分類し、それぞれのグル
ープ毎にスキャン接続したことを特徴とする。
According to a second aspect of the present invention, there is provided an integrated circuit having a built-in path delay test facilitating circuit for measuring a signal propagation delay time of a specific path from a source flip-flop to a target flip-flop, wherein each of the specific paths is provided. A state setting flip-flop for setting a state to a gate is formed of a flip-flop capable of selectively latching a D input or a shift input, and the state setting flip-flop has an activation condition of "1". It is characterized in that it is classified into a group of "0" and a group of "0", and scan connection is performed for each group.

【0013】請求項3の発明は、請求項2の発明におい
て、状態設定フリップフロップに加えてソースフリップ
フロップをD入力またはシフト入力を選択的にラッチ可
能なフリップフロップで構成し、ディレイテスト時にソ
ースフリップフロップの状態を“1”→“0”とする場
合は、“1”のグループ、ソースフリップフロップ、
“0”のグループの順番にスキャン接続し、ディレイテ
スト時にソースフリップフロップの状態を“0”→
“1”とする場合は、“0”のグループ、ソースフリッ
プフロップ、“1”のグループの順番にスキャン接続し
たことを特徴とする。
According to a third aspect of the present invention, in addition to the state setting flip-flop, the source flip-flop is composed of a flip-flop capable of selectively latching a D input or a shift input in addition to the state setting flip-flop. When the state of the flip-flops is changed from “1” to “0”, the group of “1” s, source flip-flops,
Scan connection is made in the order of “0” groups, and the state of the source flip-flop is set to “0” →
When it is set to "1", it is characterized in that the scan connection is made in the order of the "0" group, the source flip-flop, and the "1" group.

【0014】請求項4の発明は、請求項1、請求項2ま
たは請求項3の集積回路において、各状態設定フリップ
フロップに対して前記特定パスを活性化するための条件
を設定し、ソースフリップフロップの状態を反転させる
第1のクロックパルスを入力したのち、所定時間後にタ
ーゲットフリップフロップの入力をラッチする第2のク
ロックパルスを入力し、該ターゲットフリップフロップ
の入力を検査することで前記特定パスの信号伝搬遅延時
間を測定することを特徴とする。
According to a fourth aspect of the present invention, in the integrated circuit of the first, second or third aspect, a condition for activating the specific path is set for each state setting flip-flop, and a source flip-flop is set. After inputting a first clock pulse for inverting the state of the target flip-flop, a second clock pulse for latching the input of the target flip-flop is input after a predetermined time, and the input of the target flip-flop is inspected to check the specific path. The signal propagation delay time is measured.

【0015】請求項5の発明は、請求項4の測定手順
を、第1のクロックパルスと第2のクロックパルスの間
隔を変えながら繰り返し実行することを特徴とする。
The invention of claim 5 is characterized in that the measurement procedure of claim 4 is repeatedly executed while changing the interval between the first clock pulse and the second clock pulse.

【0016】この発明は、状態設定フリップフロップの
スキャン接続の順序を外部からクロックパルスが1つ入
力されても、クリティカルパスを活性化させるための条
件が破壊されないようにし、かつソースフリップフロッ
プの値のみがパスディレイテストのトリガとなる“0”
→“1”または“1”→“0”に変化する構成になるよ
うにする。
According to the present invention, the scan connection order of the state setting flip-flops is such that the condition for activating the critical path is not destroyed even if one clock pulse is input from the outside, and the value of the source flip-flop is set. Only "0" that triggers the path delay test
→ Make a configuration that changes from “1” or “1” to “0”.

【0017】本発明の回路にすることにより、1段の状
態設定フリップフロップの条件を設定するのみでパスデ
ィレイテストが行えるため、クリティカルパスを活性化
させる条件をCADツールによって簡単に求めることが
できる。また、特殊なスキャンセルを用意する必要もな
く、既存のスキャンFFを使用し、最小限のテスト回路
のみの追加でパスディレイテストが可能となる。さら
に、本回路ではクロック系の変更が一切ないため同期回
路設計のルールに違反することがなく、クロックスキュ
ーを抑えることも容易である。
By using the circuit of the present invention, the path delay test can be performed only by setting the condition of the one-stage state setting flip-flop, so that the condition for activating the critical path can be easily obtained by the CAD tool. . Further, it is not necessary to prepare a special scan cell, the existing scan FF can be used, and the path delay test can be performed by adding only a minimum number of test circuits. Further, in this circuit, since the clock system is not changed at all, the rule of the synchronous circuit design is not violated, and it is easy to suppress the clock skew.

【0018】[0018]

【発明の実施の形態】図1はこの発明の実施形態である
ディレイテスト容易化回路を内蔵したLSIの一部構成
を示す図である。このLSIは、ソーススキャンFF1
から、ANDゲート11、ORゲート12、NOTゲー
ト13、ORゲート14、ANDゲート15、ターゲッ
トスキャンFF2のパスの遅延値を測定するように構成
されている。
1 is a diagram showing a partial configuration of an LSI incorporating a delay test facilitation circuit according to an embodiment of the present invention. This LSI is a source scan FF1.
From the AND gate 11, the OR gate 12, the NOT gate 13, the OR gate 14, the AND gate 15, and the target scan FF 2 the delay value of the path is measured.

【0019】同図の回路では、スキャンモード/通常モ
ードを切り換えるSMC端子が各スキャンFFに接続さ
れているが、ターゲットスキャンFF2のSMC端子の
前段にAND回路で構成されるディレイテスト用のテス
ト回路3を追加し、テスト回路3を制御する信号、すな
わちAND回路を開閉する信号を入力するディレイテス
トモード設定端子9を設けている。さらに、上記パスの
活性化条件を設定するスキャンFFを“1”に設定すべ
きグループ4と“0”に設定すべきグループ5に分け、
それぞれのグループ毎にスキャンチェーンを接続する。
すなわち、前段のQ端子を次段のSIN(スキャンI
N)端子に接続する。さらに、本例の場合ソーススキャ
ンFF1は、“0”→“1”の変化条件が必要なため、
全体のスキャンチェーンの構成として、スキャンFF値
“1”のグループ4、ソーススキャンFF1、スキャン
FF値“0”のグループ5、ターゲットスキャンFF2
の順番にスキャンチェーンの接続を行う。
In the circuit shown in the figure, the SMC terminal for switching the scan mode / normal mode is connected to each scan FF. However, a test circuit for delay test composed of an AND circuit in the preceding stage of the SMC terminal of the target scan FF2. 3, a delay test mode setting terminal 9 for inputting a signal for controlling the test circuit 3, that is, a signal for opening and closing the AND circuit is provided. Further, the scan FFs for setting the path activation conditions are divided into a group 4 to be set to “1” and a group 5 to be set to “0”,
Connect a scan chain for each group.
That is, the Q terminal of the previous stage is connected to the SIN (scan I
N) Connect to the terminal. Further, in the case of this example, the source scan FF 1 needs a change condition of “0” → “1”.
As a configuration of the entire scan chain, a scan FF value “1” group 4, a source scan FF 1, a scan FF value “0” group 5, and a target scan FF 2
Connect the scan chains in order.

【0020】なお、スキャンFFの接続順序は、回路設
計終了後に、回路中に存在するFFをスキャンFFに置
き換え、各々を接続するスキャン設計時に、クリティカ
ルパスの活性条件、ソースとターゲットを含む各スキャ
ンFFの配置等を考慮して、スキャン設定やテスト効率
の良い接続順序を決定すればよい。
The connection order of scan FFs is such that after the circuit design is completed, the FFs existing in the circuit are replaced with scan FFs, and each scan including the critical path activation condition and the source and target is designed when the scans are connected. The scan order and the connection order with good test efficiency may be determined in consideration of the FF layout and the like.

【0021】ここで、本回路で使用しているスキャンF
Fの構造を図2に示す。このスキャンFFは、MUXタ
イプと呼ばれるもので、Dフリップフロップ17とマル
チプレクサ16を組み合わせたものである。図1のソー
ススキャンFF1、ターゲットスキャンFF2について
も図2で示しているスキャンFFと全く同じタイプを使
用している。スキャンFFの動作は、図3に示すように
SMCが“0”のとき、CKの立ち上がりエッジでD入
力の値をラッチし、SMCが“1”のとき、CKの立ち
上がりエッジでSIN入力の値をラッチする。
Here, the scan F used in this circuit
The structure of F is shown in FIG. This scan FF is called a MUX type, and is a combination of a D flip-flop 17 and a multiplexer 16. The source scan FF1 and the target scan FF2 shown in FIG. 1 are of the same type as the scan FF shown in FIG. As shown in FIG. 3, when the SMC is “0”, the scan FF operates by latching the value of the D input at the rising edge of CK, and when SMC is “1”, the value of the SIN input at the rising edge of CK. Latch.

【0022】図4に、本発明のテスト回路を用いたパス
ディレイテスト実行時のタイミングチャートを示す。タ
イミングは、大きくはスキャンインサイクル18とディ
レイテストサイクル19とスキャンアウトサイクル20
の3つに分類される。図5の本発明のテスト回路を用い
たパスディレイテスト実行時のフローチャートを用い
て、図4のパスディレイテスト実行時のタイミング動作
を説明する。
FIG. 4 shows a timing chart when executing a path delay test using the test circuit of the present invention. The timing is broadly divided into scan-in cycle 18, delay test cycle 19 and scan-out cycle 20.
It is classified into three. The timing operation at the time of executing the path delay test of FIG. 4 will be described with reference to the flowchart of FIG. 5 at the time of executing the path delay test using the test circuit of the present invention.

【0023】まず、s1において、SMC−IN端子7
を“1”、ディレイテストモード設定端子9を“1”に
設定する。これにより、“1”のグループ4、ソースス
キャンFF1、“0”のグループ5、ターゲットスキャ
ンFF2が全てスキャン接続されることになる。次に、
スキャンイン動作により各スキャンFFに状態をセット
する(s2)。すなわち、SCAN−IN端子6よりシ
リアルに“000111”を入力し、CK−IN端子8
よりクロックを入力してスキャンFF値“1”のグルー
プ4のスキャンFFの値を“111”にし、ソーススキ
ャンFF1の値を“0”、スキャンFF値“0”のグル
ープ5のスキャンFFの値を“00”にする。この動作
は図4のスキャンインサイクル18に対応している。こ
ののち、ディレイテストモード端子9から“0”を入力
することで、ターゲットスキャンFF2のみ“D”端子
の入力をラッチするように選択する(s3)。これでデ
ィレイテストサイクルが可能になる。
First, at s1, the SMC-IN terminal 7
Is set to "1" and the delay test mode setting terminal 9 is set to "1". As a result, the group 4 of "1", the source scan FF1, the group 5 of "0", and the target scan FF2 are all scan-connected. next,
The scan-in operation sets the state in each scan FF (s2). That is, "000111" is serially input from the SCAN-IN terminal 6 and the CK-IN terminal 8
By inputting a clock, the scan FF value of the group 4 having the scan FF value “1” is set to “111”, the value of the source scan FF 1 is set to “0”, and the scan FF value of the group 5 having the scan FF value “0” is set. To "00". This operation corresponds to the scan-in cycle 18 of FIG. After that, by inputting “0” from the delay test mode terminal 9, only the target scan FF 2 is selected to latch the input of the “D” terminal (s3). This allows a delay test cycle.

【0024】ディレイテストサイクルは図4の19に示
す動作であり、外部CK−IN8よりクロックを2パル
ス入力することにより(s4)、ソーススキャンFF1
からターゲットスキャンFF2までのパスに信号を伝搬
させる。具体的には、1回目のパルスでスキャンFF値
“1”のグループ4内のスキャンFF、ソーススキャン
FF1、スキャンFF値“0”のグループ5内のスキャ
ンFFにおいて、シフト動作が起きる。この動作におい
て、ソーススキャンFF1の値は“0”から“1”に変
化する。スキャンFF値“1”のグループ4内のスキャ
ンFFでは、SCAN−IN6から“1”を入力してお
くことにより、1回のシフトが起こっても“1”から変
化することはない。また、スキャンFF値“0”のグル
ープ5内のスキャンFFでも、1回のシフトでは“0”
から変化することはない。ソーススキャンFF1の値が
“0”から“1”に変化することによって、目的のディ
レイテストを行うパスであるANDゲート11の出力が
“0”→“1”となる。さらに、ANDゲート11の出
力の変化を受けて、次段のORゲート12、NOTゲー
ト13、ORゲート14、ANDゲート15と信号が伝
搬する。伝搬が完了したのちに、2回目のパルスが外部
CK−IN8より入力されると、ターゲットスキャンF
F2には“0”がラッチされる。逆に伝搬するまえに、
2回目のパルスが外部CK−IN8より入力されると、
ターゲットスキャンFF2には“1”がラッチされる。
したがって、外部CD−IN8より入力される2パルス
のクロック幅がパスの遅延値より長い場合は、変化後の
値“0”がラッチされ、パスの遅延値より短い場合は、
変化前の値“1”がラッチされる。
The delay test cycle is the operation shown in 19 of FIG. 4, and the source scan FF1 is operated by inputting two pulses of the clock from the external CK-IN8 (s4).
Signal is propagated to the path from the target scan FF2. Specifically, the shift operation occurs in the scan FF in the group 4 having the scan FF value “1”, the source scan FF 1, and the scan FF in the group 5 having the scan FF value “0” with the first pulse. In this operation, the value of the source scan FF1 changes from "0" to "1". The scan FFs in the group 4 having the scan FF value of "1" do not change from "1" even if one shift occurs by inputting "1" from SCAN-IN6. Further, even the scan FFs in the group 5 having the scan FF value “0” are “0” in one shift.
Has never changed. When the value of the source scan FF1 changes from "0" to "1", the output of the AND gate 11 which is the path for performing the target delay test becomes "0" → "1". Further, in response to the change in the output of the AND gate 11, the signal propagates to the next-stage OR gate 12, NOT gate 13, OR gate 14, and AND gate 15. When the second pulse is input from the external CK-IN8 after the propagation is completed, the target scan F
"0" is latched in F2. Before propagating backwards,
When the second pulse is input from the external CK-IN8,
"1" is latched in the target scan FF2.
Therefore, when the clock width of two pulses input from the external CD-IN 8 is longer than the delay value of the path, the value “0” after the change is latched, and when it is shorter than the delay value of the path,
The value "1" before the change is latched.

【0025】図1の回路例では、ターゲットスキャンF
F2の出力は、SCAN−OUT10につながってお
り、この端子からターゲットスキャンFF2の状態を直
接読み出すことができる。そして、1回目のパルスと2
回目のパルスの間隔を変えながら複数回上記動作を繰り
返すことにより、上記パスのディレイタイムを特定する
ことができる。
In the circuit example of FIG. 1, the target scan F
The output of F2 is connected to SCAN-OUT10, and the state of the target scan FF2 can be read directly from this terminal. And the first pulse and 2
The delay time of the path can be specified by repeating the above operation a plurality of times while changing the interval of the pulse of the second time.

【0026】なお、ターゲットスキャンFF2の出力を
直接取り出すことができない場合には、スキャンアウト
サイクル20でターゲットスキャンFF2の値を読み出
し(s5)、“0”がラッチされたか“1”がラッチさ
れたかを判定する。
If the output of the target scan FF2 cannot be directly taken out, the value of the target scan FF2 is read in the scan-out cycle 20 (s5), and whether "0" is latched or "1" is latched. To judge.

【0027】なお、図1の実施形態では、ターゲットF
F2も図2に示す回路構成にし、スキャンチェーンの末
尾に接続したが、ターゲットFF2は、パスディレイテ
ストのスタート時に状態設定する必要がないため、通常
のDフリップフロップで構成してスキャンチェーンから
外すことも可能である。この場合の回路例を図6に示し
ておく。
In the embodiment of FIG. 1, the target F
Although F2 has the circuit configuration shown in FIG. 2 and is connected to the end of the scan chain, the target FF2 does not need to be set at the start of the path delay test. Therefore, it is configured by a normal D flip-flop and removed from the scan chain. It is also possible. A circuit example in this case is shown in FIG.

【0028】また、上記実施形態では、クリティカルパ
スへの入力値すなわちソーススキャンFF1の極性を
“0”から“1”に反転させてパスディレイテストを行
っているが、この入力値の極性は、クリティカルパスに
対して入力信号の立ち上がりと立ち下がりのどちらがワ
ーストとなるかをシミュレーション等によって求め、そ
のワースト条件に合わせて“0”→“1”または“1”
→“0”を決定すればよい。“1”→“0”にした場
合、スキャンチェーンの接続順序は図1に示したものと
逆になり、グループ5、ソーススキャンFF1、グルー
プ4、ターゲットスキャンFF2となる。
In the above embodiment, the path delay test is performed by inverting the polarity of the input value to the critical path, that is, the source scan FF1 from "0" to "1". Which of the rising edge and the falling edge of the input signal is the worst for the critical path is obtained by simulation, etc., and “0” → “1” or “1” is selected according to the worst condition
→ Decide “0”. When “1” → “0” is set, the connection order of the scan chains is opposite to that shown in FIG. 1, and the group 5, the source scan FF 1, the group 4, and the target scan FF 2 are formed.

【0029】[0029]

【発明の効果】この発明によれば、簡略な回路構成で、
集積回路の遅延テストを容易に行うことが可能であり、
集積回路本来の機能動作に与える影響も小さく抑えるこ
とができる。
According to the present invention, with a simple circuit configuration,
It is possible to easily perform a delay test of an integrated circuit,
The influence on the original functional operation of the integrated circuit can be suppressed to be small.

【0030】そして、この発明を適用することにより、
集積回路内の実際に存在するクリティカルパスの遅延量
を正確に測定することができ、集積回路の出荷品質を向
上することができるとともに、遅延量に問題があった場
合、的確に製造の前工程にフィードバックすることがで
きる。よって、この発明により、集積回路の開発コスト
と製造時の出荷テストコストの低減を図ることができ
る。
Then, by applying the present invention,
The delay amount of the critical path that actually exists in the integrated circuit can be accurately measured, the shipping quality of the integrated circuit can be improved, and if there is a problem with the delay amount, the pre-process of manufacturing can be performed accurately. You can give us feedback. Therefore, according to the present invention, it is possible to reduce the development cost of the integrated circuit and the shipping test cost at the time of manufacturing.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明の実施形態であるディレイテスト容易
化回路を内蔵した集積回路の一部構成図である。
FIG. 1 is a partial configuration diagram of an integrated circuit incorporating a delay test facilitation circuit according to an embodiment of the present invention.

【図2】スキャンFFの構造図である。FIG. 2 is a structural diagram of a scan FF.

【図3】スキャンFFの真理値表である。FIG. 3 is a truth table of a scan FF.

【図4】パスディレイテスト時の動作を示すタイミング
チャートである。
FIG. 4 is a timing chart showing an operation during a path delay test.

【図5】パスディレイテスト時の動作を示すフローチャ
ートである。
FIG. 5 is a flowchart showing an operation during a path delay test.

【図6】この発明の他の実施形態を示す図である。FIG. 6 is a diagram showing another embodiment of the present invention.

【図7】パスディレイテスト手法の従来技術を示す図で
ある。
FIG. 7 is a diagram showing a conventional technique of a path delay test method.

【図8】パスディレイテスト手法の概念を示すタイミン
グ図である。
FIG. 8 is a timing diagram showing the concept of the path delay test method.

【図9】従来技術である対策回路の代表例を示す図であ
る。
FIG. 9 is a diagram showing a typical example of a countermeasure circuit as a conventional technique.

【図10】従来技術である対策回路の代表例を示す図で
ある。
FIG. 10 is a diagram showing a representative example of a conventional countermeasure circuit.

【符号の説明】[Explanation of symbols]

1…ソーススキャンFF 2…ターゲットスキャンFF 3…ディレイテスト用テスト回路 4…パスディレイテストを行うとき、スキャンFF値を
“1”に設定するグループ 5…パスディレイテストを行うとき、スキャンFF値を
“0”に設定するグループ 6…SCAN−IN端子(スキャンデータ入力端子) 7…SMC−IN端子(スキャンモードコントロール入
力端子) 8…CK−IN端子(クロック入力端子) 9…ディレイテストモード設定端子 10…SCAN−OUT端子(スキャンデータ出力端
子) 11…ANDゲート 12…ORゲート 13…NOTゲート 14…ORゲート 15…ANDゲート 16…マルチプレクサ 17…Dタイプフリップフロップ 18…スキャンインサイクル 19…ディレイテストサイクル 20…スキャンアウトサイクル 21…ソーススキャンFF 22…ターゲットスキャンFF 23…1段目のスキャンFF 24…2段目のスキャンFF 25…ANDゲート 26…ORゲート 27…NOTゲート 28…ORゲート 29…ANDゲート 30…クロックのパルス幅
1 ... Source scan FF 2 ... Target scan FF 3 ... Delay test test circuit 4 ... Set scan FF value to “1” when performing path delay test Group 5 ... Set scan FF value when performing path delay test Group set to "0" 6 ... SCAN-IN terminal (scan data input terminal) 7 ... SMC-IN terminal (scan mode control input terminal) 8 ... CK-IN terminal (clock input terminal) 9 ... Delay test mode setting terminal 10 ... SCAN-OUT terminal (scan data output terminal) 11 ... AND gate 12 ... OR gate 13 ... NOT gate 14 ... OR gate 15 ... AND gate 16 ... Multiplexer 17 ... D type flip-flop 18 ... Scan in cycle 19 ... Delay test Cycle 20 ... Scan out Cycle 21 ... Source scan FF 22 ... Target scan FF 23 ... First stage scan FF 24 ... Second stage scan FF 25 ... AND gate 26 ... OR gate 27 ... NOT gate 28 ... OR gate 29 ... AND gate 30 ... Clock Pulse width of

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 ソースフリップフロップからターゲット
フリップフロップまでの特定パスの信号伝搬遅延時間を
測定するパスディレイテスト容易化回路を内蔵した集積
回路であって、 前記特定パス中の各ゲートに対して状態を設定する状態
設定フリップフロップを、D入力またはシフト入力を選
択的にラッチ可能なフリップフロップで構成するととも
に、 シフト入力をラッチする設定のとき、1回シフトしても
各状態設定フリップフロップの出力が変化しないように
各状態設定フリップフロップをスキャン接続したことを
特徴とする集積回路。
1. An integrated circuit having a built-in path delay test facilitating circuit for measuring a signal propagation delay time of a specific path from a source flip-flop to a target flip-flop, wherein a state is provided for each gate in the specific path. The state setting flip-flop for setting is configured by a flip-flop capable of selectively latching the D input or the shift input, and when the shift input is set to be latched, even if it is shifted once, the output of each state setting flip-flop An integrated circuit characterized in that each state setting flip-flop is scan-connected so as not to change.
【請求項2】 ソースフリップフロップからターゲット
フリップフロップまでの特定パスの信号伝搬遅延時間を
測定するパスディレイテスト容易化回路を内蔵した集積
回路であって、 前記特定パス中の各ゲートに対して状態を設定する状態
設定フリップフロップを、D入力またはシフト入力を選
択的にラッチ可能なフリップフロップで構成するととも
に、 前記状態設定フリップフロップを、前記特定パスの活性
化条件が“1”のグループと“0”のグループに分類
し、それぞれのグループ毎にスキャン接続したことを特
徴とする集積回路。
2. An integrated circuit having a built-in path delay test facilitating circuit for measuring a signal propagation delay time of a specific path from a source flip-flop to a target flip-flop, wherein a state is provided for each gate in the specific path. The state setting flip-flop for setting the above is configured by a flip-flop capable of selectively latching the D input or the shift input, and the state setting flip-flop is divided into a group in which the activation condition of the specific path is “1” and a group in which the activation condition is “1”. An integrated circuit characterized by being classified into 0 "groups and being scan-connected for each group.
【請求項3】 請求項2の発明において、状態設定フリ
ップフロップに加えてソースフリップフロップをD入力
またはシフト入力を選択的にラッチ可能なフリップフロ
ップで構成し、 ディレイテスト時にソースフリップフロップの状態を
“1”→“0”とする場合は、“1”のグループ、ソー
スフリップフロップ、“0”のグループの順番にスキャ
ン接続し、 ディレイテスト時にソースフリップフロップの状態を
“0”→“1”とする場合は、“0”のグループ、ソー
スフリップフロップ、“1”のグループの順番にスキャ
ン接続した集積回路。
3. The invention according to claim 2, wherein, in addition to the state setting flip-flop, the source flip-flop is constituted by a flip-flop capable of selectively latching a D input or a shift input, and the state of the source flip-flop is set during a delay test. When setting “1” → “0”, scan connection is made in the order of “1” group, source flip-flop, “0” group, and the state of the source flip-flop is “0” → “1” at the delay test. In this case, the integrated circuit is scan-connected in the order of “0” group, source flip-flop, and “1” group.
【請求項4】 請求項1、請求項2または請求項3の集
積回路において、 各状態設定フリップフロップに対して前記特定パスを活
性化するための条件を設定し、ソースフリップフロップ
の状態を反転させる第1のクロックパルスを入力したの
ち、所定時間後にターゲットフリップフロップの入力を
ラッチする第2のクロックパルスを入力し、該ターゲッ
トフリップフロップの入力を検査することで前記特定パ
スの信号伝搬遅延時間を測定する測定手順からなるパス
ディレイテスト方法。
4. The integrated circuit according to claim 1, 2, or 3, wherein a condition for activating the specific path is set for each state setting flip-flop, and the state of the source flip-flop is inverted. After inputting the first clock pulse that causes the input, the second clock pulse that latches the input of the target flip-flop after a predetermined time is input, and the input of the target flip-flop is inspected to detect the signal propagation delay time of the specific path. A path delay test method consisting of a measurement procedure for measuring.
【請求項5】 請求項4の測定手順を、第1のクロック
パルスと第2のクロックパルスの間隔を変えながら繰り
返し実行することを特徴とするパスディレイテスト方
法。
5. A path delay test method, wherein the measurement procedure according to claim 4 is repeatedly executed while changing the interval between the first clock pulse and the second clock pulse.
JP02587599A 1999-02-03 1999-02-03 Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit Expired - Fee Related JP3430056B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP02587599A JP3430056B2 (en) 1999-02-03 1999-02-03 Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP02587599A JP3430056B2 (en) 1999-02-03 1999-02-03 Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit

Publications (2)

Publication Number Publication Date
JP2000221245A JP2000221245A (en) 2000-08-11
JP3430056B2 true JP3430056B2 (en) 2003-07-28

Family

ID=12177971

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02587599A Expired - Fee Related JP3430056B2 (en) 1999-02-03 1999-02-03 Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit

Country Status (1)

Country Link
JP (1) JP3430056B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002277515A (en) * 2001-03-19 2002-09-25 Kawasaki Microelectronics Kk Semiconductor integrated circuit

Also Published As

Publication number Publication date
JP2000221245A (en) 2000-08-11

Similar Documents

Publication Publication Date Title
US5606567A (en) Delay testing of high-performance digital components by a slow-speed tester
US7613969B2 (en) Method and system for clock skew independent scan register chains
JP2626920B2 (en) Scan test circuit and semiconductor integrated circuit device using the same
US8635503B2 (en) Scan latch with phase-free scan enable
JP2522140B2 (en) Logic circuit
JP2871291B2 (en) Logic integrated circuit
JP5275136B2 (en) Semiconductor integrated circuit
JPS63182585A (en) Logic circuit equipped with test facilitating function
KR20110105153A (en) Flipflop circuit and scan flipflop circuit
Lamech et al. REBEL and TDC: Two embedded test structures for on-chip measurements of within-die path delay variations
US7237164B1 (en) Area optimized edge-triggered flip-flop for high-speed memory dominated design
JP4512934B2 (en) Layout design and timing adjustment method and apparatus for logically designed integrated circuit, program for executing the method, and computer-readable recording medium on which the program is recorded
JPH0694793A (en) Method for detecting signal transition and propagation
US5473618A (en) Semiconductor integrated circuit having a built-in test circuit
JP4999632B2 (en) Semiconductor integrated circuit
US6427218B2 (en) Method of generating test pattern for semiconductor integrated circuit and method of testing the same
JP3430056B2 (en) Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit
US7058867B2 (en) Logic circuit and methods for designing and testing the same
JP5383588B2 (en) Scan test circuit, semiconductor integrated circuit
US20110154142A1 (en) Test device and system-on-chip having the same
JP3573692B2 (en) Scan path circuit, scan path circuit generation method, and recording medium recording the program
JP4650928B2 (en) Scan flip-flop circuit, scan test circuit and test design method using the same
US7941716B2 (en) Method for race prevention and a device having race prevention capabilities
JP2785506B2 (en) Scan circuit
JP3214611B2 (en) Test method for semiconductor integrated circuit

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080516

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090516

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees