JP3219645B2 - 配線方式およびその自動配線の方法 - Google Patents

配線方式およびその自動配線の方法

Info

Publication number
JP3219645B2
JP3219645B2 JP19189995A JP19189995A JP3219645B2 JP 3219645 B2 JP3219645 B2 JP 3219645B2 JP 19189995 A JP19189995 A JP 19189995A JP 19189995 A JP19189995 A JP 19189995A JP 3219645 B2 JP3219645 B2 JP 3219645B2
Authority
JP
Japan
Prior art keywords
wiring
net
signal
time
crosstalk
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP19189995A
Other languages
English (en)
Other versions
JPH0945775A (ja
Inventor
博 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP19189995A priority Critical patent/JP3219645B2/ja
Publication of JPH0945775A publication Critical patent/JPH0945775A/ja
Application granted granted Critical
Publication of JP3219645B2 publication Critical patent/JP3219645B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体集積回路の自
動配線方式に係り、特に並走配線間のクロストークノイ
ズが許容範囲を越えない配線方式、およびその経路の自
動検索を行なう手法に関する。
【0002】
【従来の技術】半導体技術の進展に伴って、LSIが高
速・高密度化するに従い、隣接する配線相互間で生じる
クロストークノイズが信号波形を劣化させ、誤動作の原
因として無視できなくなってきている。クロストークノ
イズは並走する配線の一方の信号線(以下、ノイズ源線
と呼ぶ)が変化する時に、他方の信号線(以下、被ノイ
ズ線と呼ぶ)に誘導されるノイズである。ノイズ源線と
被ノイズ線の間の相互容量をCm 、被ノイズ線の配線容
量をC、ノイズ源線の信号変化率をdV/dtしたとき
に、クロストークノイズ(Vn )は
【数1】 なる関係にある。
【0003】この様なクロストークノイズを低減させる
方法として、これまでに次のような方法が報告されてい
る。
【0004】(1)回路中の並走する配線長に制限を設
ける方法(以下、従来技術1という;特開平3−334
7号公報、特開平2−78248号公報参照)、(2)
配線,素子(以下、合わせて回路構成要素と呼ぶ)間に
電源電位等の所定電位に固定された制御用の配線(以
下、シールドと呼ぶ)を設ける方法(以下、従来技術2
という;特開平6−29393号広報、特開平6−16
3694号公報参照)、(3)回路構成要素間の間隔を
拡げる方法(以下、従来技術3という;特開平5−82
644号公報参照)。
【0005】
【発明が解決しようとする課題】ところが、従来のこれ
らの従来技術1,2,および3においては、以下のよう
な問題点があった。
【0006】(1)従来技術1によっては配線長の制限
のために曲がりが発生し配線率が低下する。 (2)従来技術2の場合はシールドの存在により配線資
源が圧迫され配線率の低下を招く、 (3)従来技術3の場合は間隔を広げることで利用でき
る配線資源が少なくなり、配線率が低下する、 といった不具合である。これはこれらの従来技術が空間
的な要素のみを考慮して、必要以上に安全な設計を行な
っている為である。
【0007】上記問題点を鑑み、本発明は、配線の不要
な折れ曲がりやシールドによる無駄な配線領域を使う事
無くクロストークノイズを所定の基準範囲内に低減する
ための配線方式およびその自動配線の方法を提供する事
を目的とする。
【0008】
【課題を解決するための手段】上記目的を達成するた
め、この発明による自動配線方式は図1(a)に示すよ
うに、配線負荷容量および信号のスルータイムが小さい
という条件(以下条件1−1という)のもとでの第1の
信号の配線経路(以下第1のネットという)n1と、配
線負荷容量および信号のスルータイムが大きいという条
件(以下条件1−2という)のもとでの第2の信号の配
線径路(以下第2のネットという)n2 とが並走するよ
うに半導体チップ上に配線することを特徴とする。ここ
で配線負荷容量とは配線自体の容量に、信号が流れる先
に接続された素子の入力容量を加えた容量のことをい
い、又、信号のスルータイムとは信号の立ち上がり時間
もしくは立ち下がり時間をいう。図1(a)において第
1のネットn1 および第2のネットn2 を構成する実線
と点線は各々異なる配線層に信号線の線分が存在するこ
とを意味し、×印は異なる層にある配線線分を結合する
ためのビア、○印は素子の入出力端子である。以下の説
明においては条件1−1および1−2を総称して条件1
という。
【0009】好ましくはこの条件1に加えるに図1
(b)に示すように第1および第2のネットの信号が同
時に変化しない条件(以下条件2という)を満足するよ
うに配線することである。図1(b)の実線はネットn
1 およびネットn2 の信号が共に立ち上がる場合を示
し、破線はネットn1 およびネットn2 の信号が共に立
ち下がる場合を示しているが、いずれの場合も同時には
変化していない。さらに好ましくは、第2のネットn2
の両側に第1のネットn1 が並走するように配線するこ
とである。上記構成によれば、条件1−1を満足するネ
ット、すなわち、配線負荷容量及びスルータイムが小さ
い信号線は並走する配線に対してノイズ源になり易い
が、並走する経路の配線負荷容量が大きいためクロスト
ークの影響は小さくなる。一方、条件1−2を満足する
ネット、すなわち、配線負荷容量及びスルータイムが大
きい信号線は並走する配線に対してノイズ源となりにく
く、並走する経路へのクロストークの影響は小さくな
る。また、条件2を満たす2つの信号線は図1(b)の
タイミングチャートに示すように並走する部分の配線の
信号が変化する可能性のある期間(以下、信号変化期間
と呼ぶ)が重ならないので、一方が変化している時は他
方は安定状態にある。また本発明の方式による配線は図
2,図4,図5,図6,図9および図10に示すような
フローチャートに従って容易に自動配線をすることがで
きる。したがって、これらのフローチャートに従った自
動配線を行うことにより、配線資源を無駄にすることな
くクロストーク量を所定の許容値以下に抑えた配線経路
を決定することができる。
【0010】
【発明の実施の形態】以下、図面を参照して本発明の実
施の形態を説明する。図2は本発明の第1の実施の形態
に係る自動配線方法の構成図である。本発明の第1の実
施の形態の自動配線方法は図2に示すように、概略配線
処理1と、ネット情報作成処理2と、ネット分類処理3
と、ノイズネット配線処理4と、配線処理5から構成さ
れる。
【0011】概略配線処理1では、入力された電気回路
の論理的な接続に基づいて、配線長、配線混雑度、設計
時に要求されるディレイの制約(以下、タイミング制約
という)等を考慮して、各々のネットの概略の経路を求
める。概略経路の求め方は特に限定しない。
【0012】ネット情報作成処理2では、概略配線処理
1で求めたすべてのネットについて、配線負荷容量と信
号変化期間とスルータイム及び、各々の並走部分の配線
長(以下、並走配線長という)を求める。以下において
配線負荷容量、信号変化期間およびスルータイムの3つ
を合わせてネット情報と呼ぶことにする。配線負荷容量
は、概略配線処理1の結果から予想される配線長(以
下、仮想配線長という)に信号配線の単位長さ当たりの
配線容量を掛けたものと、そのネットの信号を入力とす
る素子の入力容量の和である。仮想配線長は、たとえば
レイアウト領域を粗い格子に分割するような概略配線長
の場合、粗い格子の中心同士を結んだ長さの和が相当す
る。
【0013】ネット情報作成処理2における信号変化期
間の求め方を図3を使って説明する。クロックや電源配
線などを除いた通常の配線の信号は、クロックの1周期
内に、クロックにより制御されるフリップフロップ(F
F)間を伝播しなければならない。すべてのFF間の経
路(パス)及び素子について信号を伝達するのに要する
時間(ゲートの出力が立ち上がる場合と立ち下がる場合
がある)を求め、それにFFを制御するクロックの到着
時間のずれの最大値(クロックスキュー)(ここではた
とえば0.5nsを仮定する)を加えて信号変化期間を
求める。ここで、FFにクロックが到着する時刻を0と
みなす。例えばネットn4の信号変化期間は[0.6n
s+0.8ns,0.6ns+1.3ns+0.5ns
+0.5ns]=[1.4ns,2.9ns]となる。
【0014】図2に示すネット分類処理3は、ネット情
報作成処理2で求めたネットの配線負荷容量とスルータ
イムをもとに、ノイズを発生しやすい、もしくはノイズ
の被害を受け易い、条件1−1に当てはまるネットと、
ノイズ耐性の強い、ノイズを発生しにくい、条件1−2
に当てはまるネット(以下、条件1−1及び1−2に当
てはまるネットを合わせてノイズネットという)を抽出
し、それ以外のネットと区別して分類する。
【0015】ノイズネット配線処理4では、分類された
ノイズネットについて、FF間のディレイ等のタイミン
グ制約を満たす範囲内で、クロストーク量を基準範囲内
に収めて、条件1−1を満足するネットの両側に条件1
−2を満足するのネットが並走するように、また、条件
1−1を満足するのネットが分散するように配線する。
例えばこれは、予めノイズネットの中でクロストーク量
を基準範囲に収めて並走させることができるネットの組
の候補を列挙しておき、素子の入出力端子の位置などか
ら実際に並走させる組を決定する等の方法により実現で
きる。
【0016】配線処理5は残りの配線をクロストーク量
を判定しながら配線する。この処理では、クロストーク
量の判定は経路探索の際に同時に行なってもよいし、1
つのネットを経路探索する度に行ってもよい。経路探索
の際同時に判定する処理は本発明の第2の実施の形態に
おいて、1つのネットを経路探索する度の判定処理は第
3の実施の形態において後述する。なお、クロストーク
量を求める一例としては、実験によって求められたクロ
ストークの係数に並走配線長と信号の時間変化率(動作
電圧をスルータイムで割ったもの)を乗ずる方法を採用
することができる。
【0017】つまり、本発明の第1の実施の形態は条件
1−1に適合するネット、すなわち、ノイズを受け易
い、あるいは、ノイズ源となり易いネットと、条件1−
2に適合するネット、すなわち、ノイズ耐性の強いネッ
トと、その他の通常のネットの3種類に分類し、ノイズ
を受け易いもしくは発生し易いネットをノイズ耐性の強
いネットで保護するように配線した後で、通常のネット
を配線し、クロストーク量を許容値以下に収めるという
ものである。
【0018】図4は本発明の第2の実施の形態に係る自
動配線方法の構成図である。本発明の第2の実施の形態
の自動配線方法は、図4に示すように概略配線処理1
と、ネット情報作成処理2と、配線処理6から構成され
る。図4の概略配線処理1とネット情報作成処理2は図
2に示した本発明の第1の実施の形態の場合と同様であ
る。配線処理6では、ネットの経路を探索する際に並走
配線からのクロストーク量と並走配線へのクロストーク
量を算出する。これを探索する際の評価指数である、い
わゆるコスト(以下、探索コストと呼ぶ)に加味し、ま
た、並走配線との関係が上述した条件1又は条件2を満
たす場合は探索コストに1未満の係数を乗ずる、もしく
はある定数を減ずる等により配線経路を探索する。探索
コストは小さい方が望ましく、コストが最小となるよう
に探索する。つまり、本発明の第2の実施の形態は経路
探索の際、同時に並走配線とのクロストーク量を算出
し、そのクロストーク量を良さの指数としてのコストに
加味するというものである。
【0019】図5は、本発明第3の実施の形態に係る自
動配線方法の構成図である。本発明の第3実施の形態の
自動配線方法は図5に示すように、概略配線処理1と、
ネット情報作成処理2と、探索コスト作成処理7と、配
線経路探索処理8と、クロストーク違反判定処理9と、
配線経路決定処理10および探索コスト更新処理11等
から構成される。概略配線処理1とネット情報作成処理
2は本発明の第1の実施の形態と同様である。探索コス
ト作成処理7では、ネット情報作成処理2で得たネット
情報を使って、並走する配線に対する条件1に適合する
ように探索コストを作成する。例えば、条件1−1を満
たすネットと条件1−2を満たすネットとそれ以外のネ
ットとで、探索コストを3種類用意し、条件1−1を満
たすネットと条件1−2のネットが通れる配線領域が交
互になるようにし、それ以外のネットはどちらも通るこ
とができるようにする等で所望の探索コストが得られ
る。
【0020】配線経路探索処理8では、探索コスト作成
処理7で求めた探索コストに基づいて1つの未処理ネッ
トの経路を探索する。この際に、並走するネットの信号
変化期間が探索中のネットのものと同じかどうかも同時
に評価しながら探索する。例えば、信号変化期間が同じ
場合、ある定数を探索コストに加えながら探索する。
【0021】クロストーク違反判定処理9では、まず、
探索された経路の実際の配線長に従ってネット情報を一
時的に更新する。この際に、探索されたネットだけでな
く、関連するネット情報も一時的に更新する。例えば図
3においてネットn4が探索された場合は、ネットn
5,n6のネット情報も更新する。次に、この経路に並
走するネットの並走配線長を求め、これらネット情報と
並走配線長を使って探索された経路及びそれに並走する
ネットの各々のクロストーク量を求め、そのネット、及
び並走するネットに課せられた許容値以下であるかどう
かを判定する。
【0022】配線経路決定処理10では、各々の算出さ
れたクロストークノイズ量がそのネット及び並走するネ
ットに課せられた各々の許容値以下である場合、選択さ
れた経路をそのネットの配線経路として決定し、そのネ
ット情報を更新する。許容値を越える場合はクロストー
ク違反判定処理9で一時的に更新されたネット情報を元
に戻し、選択された経路に関連する探索コストを一時的
に更新する。これは、探索された経路を構成する直線部
分(以下、線分区間と呼ぶ)の中でクロストーク量が多
い部分の探索コストを大きくし、かつ、探索するネット
と信号変化期間を共有しないネットに並走する配線領域
のコストを小さくする探索コスト更新処理11等で実現
する。その後、配線経路探索処理8、クロストーク違反
判定処理9、配線経路決定処理10をクロストークノイ
ズ量が許容値以下になるまで繰り返し行なう。
【0023】図6は本発明の第4の実施の形態に係る自
動配線方法の構成図である。本発明の第4の実施の形態
の自動配線方法は図6に示すように、配線処理12と、
クロストーク違反判定処理13と、再配線処理14から
構成される。配線処理12では入力された電気回路の論
理的な接続に基づいて、配線長、配線混雑度、タイミン
グ制約等を考慮して各々ネットの詳細な経路を求める。
経路を求める方法は特に限定しない。
【0024】クロストーク違反判定処理13では、ま
ず、配線処理12で求めたすべてのネットについて、本
発明の第1〜第3の実施の形態におけるネット情報作成
処理2と同様に実際の配線長からネット情報、及び、各
々の並走配線長を求める。続いて、求めたネット情報と
並走配線長に基づき、ネット自身が受けるクロストーク
量と並走するネットに及ぼすクロストーク量を算出し、
各々の量がネット毎に課せられた制限に違反するかどう
か判定する。以下、このような制限に違反するネットを
違反ネットと呼ぶ。
【0025】再配線処理14では、違反ネットを引き剥
して再配線する。引き剥したネットを配線させる領域が
ほとんどない場合、もしくは、再配線した結果、そのネ
ットがタイミング制約を満たさない等の場合には、特に
限定するものではないが、例えば、以下のような方法に
より対処する。すなわち、 (1)本来配線できない領域に仮想的な配線領域を発生
させ、条件1および2、あるいは条件1を満たすように
仮想的な配線領域上での探索コストを変更し、仮想的な
配線領域上で経路を探索してから他の配線をずらせる場
合はずらして経路を確定する。ここで仮想的な配線領域
の発生とは配線領域を複数の格子に分割し、各格子を所
定の規則で順次配線する迷路法などの場合において、図
7のように格子gr1間に仮想的な格子gr2を発生さ
せることをいう。探索コストの変更は後述する。
【0026】(2)あるいは、図8に示すように、予
め、処理済みの配線を押し退けるようにずらした時にど
れくらい余裕があるかを調べておきその情報に上記
(1)と同様のコストを設定し、それを基に再配線を行
なう。図8(a)は配線結果およびこの配線をずらせる
部分あるいは配線幅を広げられる部分84を示す図で、
図8(b)は配線を押し退けられる余裕度を示す図であ
る。なお、図8(a),(b)において斜線で示した矩
形部分は配線禁止領域81であり、縦線が処理済み配線
経路82を示す。図8(a)の縦線の白抜きの矩形が押
し退け可能領域84であり、図8(b)にこの押し退け
可能領域81が押し退けられた配線85が示されてい
る。図8の黒塗りの四角は異なる層の配線を接続するた
めのビア83である。
【0027】上記の(1)又は(2)のような方法で対
処することとなるが、探索コストについては、再配線す
るネットが条件1−1を持たすネットの場合は条件1−
2のネットに並走する配線領域の探索コストからある定
数を減じ、逆に再配線するネットが条件1−2を満たす
場合は、条件1−1のネットに並走する配線領域の探索
コストからある定数を減じることにより、所望のコスト
が得られる。また、探索時に、並走するネットの信号変
化期間と再配線するネットのそれを比較し、共通部分が
あれば探索中のネットのコストに定数を加えることによ
り条件2を満たす経路が探索されるようにする。
【0028】図9は本発明の第5の実施の形態に係る自
動配線方法の構成図である。本発明の第5の実施の形態
の自動配線方法は図9に示すように、配線処理12と、
クロストーク違反判定処理13と、配線幅調整処理15
から構成される。配線処理12と、クロストーク違反判
定処理13は、本発明の第4の実施の形態のものと同様
である。
【0029】配線幅調整処理15では、違反ネットと違
反ネットに並走するネットの配線負荷容量を比較し、そ
の配線負荷容量が小さい方を選択し、たとえば図8
(a)に示すように配線幅を広げられる部分84を抽出
し、その部分を拡げることで大きくなる配線容量及びス
ルータイムによってネットのクロストークが基準を満た
すようにして、クロストークの違反を取り除く。
【0030】図10は本発明の第6の実施の形態に係る
自動配線方法の構成図である。本発明の第6の実施の形
態の自動配線方法は図10に示すように、配線処理12
と、クロストーク違反判定処理13と、再配線処理14
と、配線幅調整処理15から構成される。本発明第6の
実施の形態は本発明の第4および第5の実施の形態を組
み合わせたものである。再配線処理14と配線幅調整処
理15の順序は特に制限しない。
【0031】
【発明の効果】以上説明したように本発明の方式により
ノイズに対する条件の異なる2種の信号線を並走配線さ
せれば、配線負荷容量が小さくスルータイムが小さい信
号線はノイズ源になりやすいが配線負荷容量が大きくス
ルータイムの大きい信号線に対してはクロストークの影
響が効きにくくなる。一方配線負荷容量の大きい信号線
は、ノイズ源になりにくいため配線負荷容量の小さい信
号線に対してクロストークの発生源となりにくくなる。
したがって本発明によれば、従来の技術において問題と
なっていた不要な折れ曲がりの発生による配線率の低
下、あるいはシールド等のための無駄な配線領域を用い
る等の配線資源の無駄使いの発生を伴うことなく、しか
もクロストーク量を許容値以下に抑えた配線経路を決定
することができ、さらに、ノイズの発生による誤動作が
なくなるので設計時の回路動作を保証できる。
【0032】本発明の方式によれば配線相互におけるク
ロストークノイズが所定の基準値以内に低減される結
果、回路の誤動作がなくなり、LSIの信頼性が高まる
と同時に、LSIのより高速、高密度化が可能となる。
【0033】本発明の方法によれば、配線資源の無駄使
いがなく、クロストークノイズが低減化された半導体集
積回路が自動的に容易に設計できる。
【図面の簡単な説明】
【図1】本発明の方式によりレイアウトした半導体集積
回路の一部分を示す模式図およびその配線経路における
信号の変化を示す図である。
【図2】本発明の第1の実施の形態に係る自動配線方法
の構成図(フローチャート)である。
【図3】各ネットにおける信号変化期間を示す図であ
る。
【図4】本発明の第2の実施の形態に係る自動配線方法
の構成図である。
【図5】本発明の第3の実施の形態に係る自動配線方法
の構成図である。
【図6】本発明の第4の実施の形態に係る自動配線方法
の構成図である。
【図7】本発明の第4の実施の形態に係る再配線処理に
おける仮想的な格子を示す図である。
【図8】本発明の第4の実施の形態に係る再配線処理に
おける押し退け可能領域および押し退けられる余裕度を
示す図である。
【図9】本発明の第5の実施の形態に係る自動配線方法
の構成図である。
【図10】本発明の第6の実施の形態に係る自動配線方
法の構成図である。
【符号の説明】
n1〜n6 ネット ○ 素子の入出力端子 × ビア slew1,slew2 ネットn1,n2のスルータ
イム g3〜g5 素子 gr1 格子 gr2 仮想的な格子 81 配線禁止領域 82 処理済み配線経路 83 ビア 84 押し退け可能領域 85 押し退けられた配線
フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/82 H01L 21/3205 H01L 21/822 H01L 27/04

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 配線負荷容量および信号のスルータイム
    が小さい第1の信号の配線経路(以下第1のネットとい
    う)と、配線負荷容量および信号のスルータイムが大き
    い第2の信号の配線経路(以下第2のネットという)と
    が並走するように配線することを特徴とする配線方式。
  2. 【請求項2】 配線負荷容量および信号のスルータイム
    が小さい第1のネットと、配線負荷容量および信号のス
    ルータイムが大きい第2のネットとが並走するように配
    線する方法であって、 (イ)前記第1および第2のネットを含む、複数のネッ
    トの概略の経路を求める概略配線処理、 (ロ)概略配線処理で求めたすべてのネットについて、
    配線負荷容量、スルータイム、信号変化期間および並走
    配線長を求めるネット情報作成処理、 (ハ)ネット情報作成処理で求めた配線負荷容量とスル
    ータイムをもとに前記第1のネット、第2のネットおよ
    びこれら以外の第3のネットに分類するネット分類処
    理、 (ニ)前記第1のネットの両側に前記第2のネットが並
    走し、かつ前記第1および第2のネットの信号が同時に
    変化しないように配線するノイズネット配線処理、 (ホ)第3のネットをクロストーク量を判定しながら配
    線する配線処理、とからなることを特徴とする自動配線
    の方法。
JP19189995A 1995-07-27 1995-07-27 配線方式およびその自動配線の方法 Expired - Fee Related JP3219645B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP19189995A JP3219645B2 (ja) 1995-07-27 1995-07-27 配線方式およびその自動配線の方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19189995A JP3219645B2 (ja) 1995-07-27 1995-07-27 配線方式およびその自動配線の方法

Publications (2)

Publication Number Publication Date
JPH0945775A JPH0945775A (ja) 1997-02-14
JP3219645B2 true JP3219645B2 (ja) 2001-10-15

Family

ID=16282307

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19189995A Expired - Fee Related JP3219645B2 (ja) 1995-07-27 1995-07-27 配線方式およびその自動配線の方法

Country Status (1)

Country Link
JP (1) JP3219645B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004021766A (ja) 2002-06-19 2004-01-22 Fujitsu Ltd 電子回路設計方法及びコンピュータプログラム

Also Published As

Publication number Publication date
JPH0945775A (ja) 1997-02-14

Similar Documents

Publication Publication Date Title
Massoud et al. Managing on-chip inductive effects
Sarkar et al. Routability-driven repeater block planning for interconnect-centric floorplanning
US6415422B1 (en) Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US8095903B2 (en) Automatically routing nets with variable spacing
US7676780B2 (en) Techniques for super fast buffer insertion
EP1546946A2 (en) Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
Lu et al. Flip-flop and repeater insertion for early interconnect planning
CA2955690A1 (en) Superconducting circuit physical layout system and method
Elgamel et al. Interconnect noise analysis and optimization in deep submicron technology
Takahashi et al. Interconnect design strategy: structures, repeaters and materials with strategic system performance analysis (S/sup 2/PAL) model
US5978572A (en) LSI wire length estimation and area estimation
US10331840B2 (en) Resource aware method for optimizing wires for slew, slack, or noise
JP3628973B2 (ja) 半導体装置の設計方法
JP3219645B2 (ja) 配線方式およびその自動配線の方法
Wilton A crosstalk-aware timing-driven router for FPGAs
US6202195B1 (en) Semiconductor integrated circuit layout method
Tang et al. Optimization of global interconnects in high performance VLSI circuits
JP3548398B2 (ja) 概略経路決定方法および概略経路決定方式
JPH05243383A (ja) 自動配線方法
Sumanth Kumar et al. Minimal buffer insertion based low power clock tree synthesis for 3D integrated circuits
Bazargan et al. Fast and accurate estimation of floorplans in logic/high-level synthesis
Liu et al. Layer assignment algorithm for RLC crosstalk minimization
US6845346B1 (en) Iterative method of parasitics estimation for integrated circuit designs
US10423754B1 (en) Electrostatic discharge cell placement using effective resistance
Chan et al. BEOL yield predictions for SIA roadmap

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070810

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080810

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees