JP3182244B2 - Method for optimizing signal propagation delay time in semiconductor integrated circuit - Google Patents

Method for optimizing signal propagation delay time in semiconductor integrated circuit

Info

Publication number
JP3182244B2
JP3182244B2 JP01865793A JP1865793A JP3182244B2 JP 3182244 B2 JP3182244 B2 JP 3182244B2 JP 01865793 A JP01865793 A JP 01865793A JP 1865793 A JP1865793 A JP 1865793A JP 3182244 B2 JP3182244 B2 JP 3182244B2
Authority
JP
Japan
Prior art keywords
path
terminal
wiring
net
terminals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP01865793A
Other languages
Japanese (ja)
Other versions
JPH06232263A (en
Inventor
睦典 五十嵐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP01865793A priority Critical patent/JP3182244B2/en
Publication of JPH06232263A publication Critical patent/JPH06232263A/en
Application granted granted Critical
Publication of JP3182244B2 publication Critical patent/JP3182244B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】この発明は、計算機を用いたLS
I設計に係わり、論理セルの概略配置の実行または現配
置状態の改善を行なうに際して、正確な配線予測径路を
作成し、作成された配線予測径路を用いて信号伝播遅延
時間を求める方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an LS using a computer.
The present invention relates to a method of creating an accurate wiring prediction path and performing a signal propagation delay time using the created wiring prediction path when executing the schematic placement of a logic cell or improving the current placement state in connection with I design. is there.

【0002】[0002]

【従来の技術】半導体集積回路の微細化は、チップ当た
りの搭載可能な回路規模の増大による製造コスト低減
や、動作速度の向上による性能アップなどの利点が期待
できる反面、サブミクロンオーダの回路では配線抵抗成
分の遅延時間への影響が無視できなくなり、レイアウト
設計において回路の動作性能の保証を困難にするといっ
た問題を発生させている。
2. Description of the Related Art Microfabrication of a semiconductor integrated circuit can be expected to have advantages such as a reduction in manufacturing cost due to an increase in a circuit size mountable per chip and an increase in performance due to an increase in operation speed. The influence of the wiring resistance component on the delay time cannot be ignored, which causes a problem that it is difficult to guarantee the operation performance of the circuit in the layout design.

【0003】従来、このタイミング保証問題に対してレ
イアウトの特に配線以前の段階での遅延時間の予測方法
は、抵抗成分を無視した単に容量負荷としての考慮の仕
方が用いられてきた(特開平4−048389,特開平
4−106666)。しかしながら、回路が微細化して
いくに連れて、配線上を伝播する信号の遅延が、ゲート
の内部遅延に比べて相対的な比率を増してきたため、配
線抵抗を無視した従来の集中容量負荷モデルによる遅延
予測精度では不十分である。
Conventionally, a method of estimating a delay time at the stage of layout, especially before wiring in response to the problem of timing assurance has been based on a method of simply considering a capacitance load ignoring a resistance component (Japanese Unexamined Patent Publication No. 048389, JP-A-4-106666). However, as the circuit becomes finer, the delay of the signal propagating on the wiring has increased in relative proportion compared to the internal delay of the gate. Delay prediction accuracy is not enough.

【0004】従って、レイアウトの配線以前の段階にお
いても、配線抵抗を考慮した精度の高い伝播遅延予測が
必要となってきた。そのためには、論理ゲートの概略配
置状態から配線径路を高精度で予測する手段が不可欠で
ある。
Therefore, even at a stage before wiring in a layout, it is necessary to accurately predict a propagation delay in consideration of wiring resistance. For that purpose, means for predicting the wiring path with high accuracy from the schematic arrangement state of the logic gates is indispensable.

【0005】配線抵抗を考慮して信号の伝播遅延を精度
良く見積もるためには、配線の分岐を考慮した径路予測
を行なう必要がある。配線長や配線径路の予測のための
従来の配線モデルとしては、図7(a)〜(f)に示さ
れているような、Half Perimeter(ネット最小矩形の半
周長/図7(a)),Minimum Spaning Tree(b),Ch
ain (c),完全グラフ(d),Single Trunk Steiner
Tree (e),Steiner Tree(f)が知られている(M.
A.Breuer, “Design Automation od Degital System
s”,Vol.1,Theory and Techniques,Prentice-Hall In
c.,1972)。なお、図中の×印は端子を示す。
In order to accurately estimate a signal propagation delay in consideration of wiring resistance, it is necessary to perform a path prediction in consideration of wiring branching. As a conventional wiring model for predicting a wiring length and a wiring path, Half Perimeter (half circumference of the minimum rectangle of the net / FIG. 7A) as shown in FIGS. , Minimum Spaning Tree (b), Ch
ain (c), complete graph (d), Single Trunk Steiner
Tree (e) and Steiner Tree (f) are known (M.
A. Breuer, “Design Automation od Degital System
s ”, Vol.1, Theory and Techniques, Prentice-Hall In
c., 1972). Note that the crosses in the figure indicate terminals.

【0006】これらのうち、(a)〜(e)は多端子ネ
ットの配線長予測精度が悪く、また、(a)〜(d)に
ついては総配線長の予測はできるが配線の分岐が正確に
予測されていないため、伝播遅延予測には不向きであ
る。(f)はこれらの中では比較的精度の高い手法では
あるが、有限時間内で計算可能なネットの端子数は限ら
れており、実用上利用することができない。
[0006] Of these, (a) to (e) have a poor accuracy in predicting the wiring length of a multi-terminal net, and in (a) to (d), the total wiring length can be predicted, but the branching of the wiring is accurate. Therefore, it is not suitable for propagation delay prediction. (F) is a method with relatively high accuracy among these, but the number of net terminals that can be calculated within a finite time is limited and cannot be used practically.

【0007】一方、タイミング制約には、パスの始点
(ソース)から終点(シンク)に至るまでの時間の上限
値を規定する上限制約と下限値を規定する下限制約とが
ある。従来、タイミングの上限制約を最適化する手法と
して特願平3−167237がある。
On the other hand, the timing constraints include an upper limit constraint defining an upper limit value of the time from the start point (source) to the end point (sink) of the path and a lower limit constraint defining a lower limit value. Conventionally, Japanese Patent Application No. 3-167237 discloses a method for optimizing the upper limit of timing.

【0008】図8は、この特願平3−167237に記
載されたパスディレイ適化配置手法を説明するフローチ
ャートである。図8のステップP1では、回路の遅延解
析を行ってクリティカルパスを抽出し、そして全てのク
リティカルパスに関して回路を正常に動作させるための
タイミング制約が付加される。ステップP2のパスの処
理順序では、パスを設計要求時間と予測または実測値と
の差(スラック)が小さい順に並べ換え、以下の処理で
のパスの処理順序を作成する。
FIG. 8 is a flowchart for explaining a path delay optimizing arrangement method described in Japanese Patent Application No. 3-167237. At step P1 in FIG. 8, a critical path is extracted by performing a delay analysis of the circuit, and a timing constraint for properly operating the circuit with respect to all the critical paths is added. In the processing order of the paths in step P2, the paths are rearranged in ascending order of the difference (slack) between the design required time and the predicted or measured value, and the path processing order in the following processing is created.

【0009】処理対象となったパスが選択されると、パ
スに関係するセルをパスの径路を支配するパスコアセル
とそうでないパスブランチセルとに分類する(P4)。
まず、パスの径路形状を最短化させるために、パスコア
セルの配置位置を決定し(P5)、続いて個々のネット
長を最短化させるためにパスブランチセルの配置位置を
決定する(P6)。
When the path to be processed is selected, cells related to the path are classified into a path core cell which controls the path of the path and a path branch cell which does not. (P4).
First, an arrangement position of a path core cell is determined to minimize the path shape of a path (P5), and then an arrangement position of a path branch cell is determined to minimize an individual net length (P6).

【0010】パスコアセルとパスブランチセルの配置位
置が決定されれば1つのパスの処理は終了で、処理は次
のパスへ移行する(P7)。そして、全てのパスの処理
が終わった段階で回路の動作解析を行い、クリティカル
パスを更新する(P8)。全てのパスが設計仕様を満た
していれば処理を終了し、制約をみたさないパスが存在
するならばそれらに関して再び処理を行なう(P3)と
いうものである。
If the arrangement positions of the path core cell and the path branch cell are determined, the processing of one path is completed, and the processing shifts to the next path (P7). Then, when the processing of all the paths is completed, the operation of the circuit is analyzed, and the critical path is updated (P8). If all the paths satisfy the design specifications, the processing is terminated, and if there are paths that do not meet the restrictions, the processing is performed again on those paths (P3).

【0011】しかしながら、この手法ではタイミングの
上限制約だけにしか着目しておらず、下限制約を満足す
るという保証はない。従って、真に回路の信号伝播遅延
時間を最適化する手法ではない。
However, in this method, attention is paid only to the upper limit of the timing, and there is no guarantee that the lower limit is satisfied. Therefore, this is not a method for truly optimizing the signal propagation delay time of a circuit.

【0012】[0012]

【発明が解決しようとする課題】上述のように従来のレ
イアウト設計の未配線状態における信号伝播遅延解析方
法にあっては、その配線径路予測方法に精度と予測結果
に関して不十分な点があったため、実用的な時間内で信
号伝播遅延時間を最適化することができなかった。
As described above, in the conventional method for analyzing signal propagation delay in an unwired state in a layout design, the method for predicting a wiring path has insufficient accuracy and prediction results. However, the signal propagation delay time cannot be optimized within a practical time.

【0013】また、回路を正しく動作させるためには、
タイミングの上限制約と下限制約とを同時に満足しなけ
ればならないが、従来の信号伝播遅延時間を最適化する
手法にあっては、タイミングの上限制約を主として最適
化させる手法であって、回路の正確な動作を保証する上
では不十分な点があった。
In order to operate the circuit correctly,
The upper limit and lower limit of timing must be satisfied at the same time. However, the conventional method of optimizing the signal propagation delay time is a method of mainly optimizing the upper limit of timing. There were insufficient points to guarantee proper operation.

【0014】この発明は上述の問題点に鑑みてなされた
ものであり、第1の発明の目的とするところは、レイア
ウト設計の配置状態から配線径路を正確に予測し、その
結果を用いて信号伝播遅延時間を最適化することができ
る半導体集積回路における信号伝播遅延時間の最適化方
法を提供することにある。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems, and an object of the first invention is to accurately predict a wiring path from an arrangement state of a layout design, and to use the result to output a signal. An object of the present invention is to provide a method for optimizing a signal propagation delay time in a semiconductor integrated circuit capable of optimizing a propagation delay time.

【0015】また、第2の発明の目的とするところは、
回路の動作を保証するために、全てのクリティカルパス
に対して、タイミングの上限制約と下限制約とを同時に
満足させることのできる半導体集積回路における信号伝
播遅延時間の最適化方法を提供することにある。
The object of the second invention is as follows.
An object of the present invention is to provide a method for optimizing a signal propagation delay time in a semiconductor integrated circuit, which can simultaneously satisfy an upper limit constraint and a lower limit constraint on timing for all critical paths in order to guarantee circuit operation. .

【0016】[0016]

【問題を解決するための手段】上記目的を達成するた
め、第1の発明は、半導体集積回路上の論理セルの配置
位置を決定するに際し、端子の座標を基にして、ネット
を構成する端子間の相対距離から複数の端子集合を形成
し、前記端子集合に端子集合内の配線予測径路を作成
し、前記作成された端子集合毎の配線予測径路間を連結
する手順によって、ネット全体の配線予測径路を階層的
に作成した後、前記作成されたネット全体の配線予測径
路を用いて信号伝播遅延時間を最適化することを特徴と
している。
In order to achieve the above object, a first aspect of the present invention is to determine a layout position of a logic cell on a semiconductor integrated circuit by determining a terminal constituting a net based on the coordinates of the terminal. a plurality of terminals set formed from the relative distances between the terminals create the wiring predicted path of the terminal in the set to each set, the procedure for connecting the wiring predicted path of each terminal set created in the above, the entire net after creating the wiring predicted path hierarchically, and wherein optimizing the signal propagation delay time by using the wiring predicted path of the entire created net.

【0017】具体的には、半導体集積回路上のネットを
構成する端子間の相対距離から複数の端子集合を形成
し、形成された端子集合内において、この端子集合内に
ある端子の重心位置を貫き、端子集合で形成される最小
矩形の長手方向の配線を幹とし、各端子から前記幹に対
して垂直に発生させた配線を支線とする配線予測径路を
作成し、各端子集合毎に、前記ネットを構成する全ての
端子に関する重心位置に最も近い位置にある、端子集合
内に形成した配線予測径路上の点を各端子集合の代表点
として求め、各代表点の重心位置を貫き、全ての代表点
集合で形成される最小矩形の長手方向の配線を幹とし、
各代表点から前記幹に対して垂直に発生させた配線を支
線とするネット全体の配線予測径路を作成し、作成され
たネット全体の配線径路を用いて信号伝播遅延時間を最
適化している。
More specifically, a plurality of terminal sets are formed from the relative distances between the terminals constituting the net on the semiconductor integrated circuit, and the center of gravity of the terminals in the terminal set is determined within the formed terminal set. Pierce, with the minimum rectangular longitudinal wiring formed by the terminal set as the trunk, to create a wiring prediction path with the wiring generated vertically from each terminal to the trunk as a branch line, for each terminal set, A point on the wiring prediction path formed in the terminal set that is closest to the position of the center of gravity of all the terminals constituting the net is determined as a representative point of each terminal set, and passes through the center of gravity of each representative point. With the minimum rectangular longitudinal wiring formed by the representative point set of
A wiring prediction route for the entire net is created with the wiring generated from each representative point perpendicular to the trunk as a branch line, and the signal propagation delay time is optimized using the created wiring route for the entire net.

【0018】特に、前記ネットを構成する端子間の相対
距離から複数の端子集合を形成する際に、ネットを構成
する全ての端子の重心位置からの直線距離が大きい端子
から順に端子集合の種として選択し、選択された種から
の直線距離が、ネットの占有面積を複数に等分した面積
と略等価な面積を持つ円の半径長さ以内にある端子を同
一端子集合に属させるのが最適である。
In particular, when forming a plurality of terminal sets from the relative distances between the terminals constituting the net, the terminal set is seeded in order from the terminal having the largest linear distance from the position of the center of gravity of all the terminals constituting the net. It is best to select terminals that belong to the same set of terminals whose linear distance from the selected seed is within the radius of a circle with an area approximately equivalent to the area occupied by the net divided into multiple equal parts It is.

【0019】また、第2の発明は、半導体集積回路上の
動作制約となる信号径路を抽出し、抽出されたに関する
上限制約と下限制約を求め、抽出された信号径路を構成
するネットに対して上記下限制約を配分し、これを端子
毎の制約として付加して端子同士が互いに近接できる最
小範囲を規定し、抽出された信号径路を構成する複数の
論理セルを、前記信号径路全体の物理的形状を支配する
パスコアセルと前記信号径路から分岐するネットを構成
するパスブランチセルとに分類し、前記パスコアセルを
前記信号径路長が短くなる位置に配置し、前記端子毎の
制約として付加した下限制約が満たされない場合には、
これを改善するための斥力を発生させて下限制約違反を
改善した後、前記パスブランチセルを前記ネット長が短
くなる位置に配置することを特徴としている。
According to a second aspect of the present invention, a signal path serving as an operation constraint on a semiconductor integrated circuit is extracted, an upper limit and a lower limit related to the extracted signal path are obtained, and a net constituting the extracted signal path is determined. The above lower limit constraint is distributed, and this is added as a constraint for each terminal to define a minimum range in which the terminals can be close to each other, and a plurality of logic cells constituting the extracted signal path are physically assigned to the entire signal path. The path core cell that governs the shape and the path branch cell that configures the net that branches off from the signal path are classified, the path core cell is arranged at a position where the signal path length is reduced, and the lower limit constraint added as a constraint for each terminal is If not,
The method is characterized in that the path branch cell is arranged at a position where the net length is shortened after a repulsive force for improving this is generated and the violation of the lower limit constraint is improved.

【0020】[0020]

【作用】第1の発明によれば、ネット全体の配線予測径
路を階層的に作成し、特にネットの中心から遠い端子か
らクラスタ(端子集合)を形成するので、高い精度の配
線径路予測を行なうことができるため、信号伝播遅延時
間を最適化することができる。
According to the first aspect of the present invention, the wiring prediction route for the entire net is hierarchically created, and in particular, clusters (terminal sets) are formed from terminals far from the center of the net, so that highly accurate wiring route prediction is performed. Therefore, the signal propagation delay time can be optimized.

【0021】また、第2の発明によれば、クリティカル
パスに関係するネットに接続する端子に対して予め端子
ペア同士が接近できる最小の範囲を規定しておくこと
で、下限制約を考慮した上限制約最適化が可能となる。
これによって、タイミング制約の上限値と下限値とを同
時に満足させることができ、回路全体の正確な動作を保
証する事ができる。
According to the second aspect of the present invention, the minimum range in which the terminal pair can approach each other with respect to the terminal connected to the net related to the critical path is defined in advance, so that the upper limit in consideration of the lower limit is considered. Constraint optimization becomes possible.
As a result, the upper limit value and the lower limit value of the timing constraint can be satisfied at the same time, and accurate operation of the entire circuit can be guaranteed.

【0022】[0022]

【実施例】以下、本発明の実施例を図面を参照しながら
説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0023】第1の発明 図1は、論理ゲートの概略配置状態から配線径路予測を
行なう方法を説明するための簡略図である。
First Invention FIG. 1 is a simplified diagram for explaining a method of predicting a wiring path from a schematic arrangement state of a logic gate.

【0024】まず、処理対象となったネット(図1全
体)を構成する端子(×印)間の相対距離から端子のク
ラスタリングを行い、端子集合であるクラスタ1を形成
する。ここで、端子3はクラスタリングできなかった独
立した端子である。
First, the terminals are clustered based on the relative distance between the terminals (marked by x) constituting the net to be processed (the whole of FIG. 1) to form a cluster 1 as a terminal set. Here, the terminal 3 is an independent terminal that could not be clustered.

【0025】クラスタリングの方法は、第1の発明とも
なる、端子の座標のみから解析的にクラスタ1を形成す
る方法が最適である。すなわち、まず、ネットを構成す
る全ての端子の重心位置oを基準として、そこからのユ
ークリッド距離(直線距離)の大きい端子から順にクラ
スタ1のシード(種)とする。
As the clustering method, the method of forming the cluster 1 analytically only from the coordinates of the terminal according to the first invention is optimal. That is, first, based on the center of gravity position o of all the terminals constituting the net, the seeds of the cluster 1 are set in order from the terminal having the largest Euclidean distance (linear distance) therefrom.

【0026】そして、このシードからのユークリッド距
離が、ネットの最小矩形5が占める面積の1/4と略等
価な面積を持つ円の半径長さ以内に位置する端子を同一
クラスタ1に所属させる。なお、面積は1/4に限るこ
となく、ネットの占有面積を複数に等分した面積と略等
価な面積でもよいものである。
A terminal whose Euclidean distance from the seed is within the radius of a circle having an area substantially equivalent to 1 / of the area occupied by the minimum rectangle 5 of the net is assigned to the same cluster 1. The area is not limited to 1/4, but may be an area substantially equivalent to an area obtained by equally dividing the occupied area of the net into a plurality.

【0027】また、クラスタリングの方法は、第1の発
明による方法でなくても次の方法でも良く、処理の対象
とするデータの規模から判断していずれかの方法を選択
すればよい。
The clustering method is not limited to the method according to the first invention, but may be the following method. Any method may be selected based on the size of data to be processed.

【0028】次の方法は、端子間の隣接度を用いた手法
で、これは、端子が配置された2次元平面上で、端子を
ノードとしたXY両方向に関して隣接関係グラフを基に
する手法である。端子間に張られたエッジには、ネット
の広がりから算出される代表長さ(ネットの最小矩形5
の面積と略等価な面積をもつ円の半径)で規格化された
端子間の距離xまたはyを各端子間に張られたエッジの
重みとして、隣接度を表現する。
The following method is a method using the adjacency between terminals, which is a method based on an adjacency graph in both XY directions with terminals as nodes on a two-dimensional plane on which terminals are arranged. is there. The edge stretched between the terminals has a representative length (minimum rectangle 5 of the net) calculated from the spread of the net.
The distance x or y between the terminals standardized by the radius of a circle having an area substantially equivalent to the area of the terminal is expressed as the weight of the edge stretched between the terminals, and the adjacency is expressed.

【0029】この隣接度が小さいエッジから順に、隣接
度が予め設定されたしきい値(例えば0.25)以下で
あれば2つのノードは1つのクラスタ1に属するものと
判定する。これを順次各エッジに関して行い、全てのノ
ードをクラスタ化する。ただし、1つのノードが複数の
クラスタ1に重複して属することは許さないものとし、
クラスタ化されないノードに関しては、その1つのノー
ドで1つのクラスタ1を形成するものとして登録する。
If the adjacency is equal to or smaller than a predetermined threshold value (for example, 0.25) in order from the edge having the smallest adjacency, it is determined that the two nodes belong to one cluster 1. This is sequentially performed for each edge, and all nodes are clustered. However, one node shall not be allowed to belong to multiple clusters 1
The nodes that are not clustered are registered as forming one cluster 1 with the one node.

【0030】上述のいずれかの手法を用いてクラスタリ
ングした後、まず各クラスタ1内での配線径路予測を行
なう。クラスタ1内における配線径路7の予測は、クラ
スタ1内端子の位置の重心位置pを貫き、クラスタ1内
端子の最小矩形の長手方向を幹とし、各端子位置から幹
に対して垂直に支線を発生させて作成する。
After clustering using any of the above-described methods, first, a wiring route prediction in each cluster 1 is performed. The prediction of the wiring path 7 in the cluster 1 is performed by penetrating the center of gravity position p of the position of the terminal in the cluster 1, setting the trunk in the longitudinal direction of the minimum rectangle of the terminal in the cluster 1, and branching from each terminal position perpendicularly to the trunk. Generate and create.

【0031】次に、ネットを構成する全ての端子に関す
る重心位置oからのユークリッド距離が最も近い、クラ
スタ1内における配線予測径路7上の位置をクラスタ1
内で探索し、これを代表点9(図中、二重丸)として登
録する。なお、端子3は独立した端子であるため、端子
の位置が代表点9となる。
Next, the position on the wiring prediction path 7 in the cluster 1 where the Euclidean distance from the center of gravity o of all the terminals constituting the net is the shortest is defined as the cluster 1
And register it as a representative point 9 (double circle in the figure). Since the terminal 3 is an independent terminal, the position of the terminal is the representative point 9.

【0032】このようにして求めた代表点9を、上記ク
ラスタ1内における配線予測径路7の作成手法と同様の
手順を以てネット全体の配線予測径路11を作成する。
最後に、作成されたネット全体の配線予測径路11を用
いてELMOREの遅延算出方法を適用することにより、信号
伝播遅延時間を最適化することができる。
The representative point 9 obtained in this manner is used to create a wiring prediction path 11 for the entire net in the same procedure as the method for preparing the wiring prediction path 7 in the cluster 1.
Finally, the signal propagation delay time can be optimized by applying the ELMORE delay calculation method using the created wiring prediction path 11 for the entire net.

【0033】図2は、本発明の配線径路予測が持つ精度
を、ネットの総配線長に関して示した図である。使用し
たデータは、129KG,12000セル規模のゲート
アレイのデータで、従来から用いられてきた代表的な手
法としてSingle Trunk Steiner Tree 法(図中、破線)
と、Half Perimeter法(点線)を例にとり、本手法(実
線)と従来手法とが実配線結果に対してどの程度の誤差
を持つか示した図である。
FIG. 2 is a diagram showing the accuracy of the wiring route prediction of the present invention with respect to the total wiring length of the net. The data used is data of a gate array of 129KG and 12000 cell scale, and the Single Trunk Steiner Tree method (broken line in the figure) has been used as a typical method conventionally used.
FIG. 4 is a diagram showing how much error the present method (solid line) and the conventional method have with respect to the actual wiring result, taking the Half Perimeter method (dotted line) as an example.

【0034】グラフの横軸はネットの端子数で、縦軸は
端子毎の個々のネットの配線長の実配線長との誤差を平
均したものである。このグラフが示しているように、本
発明では殆ど全てのネットの端子数について従来手法よ
りも高い予測精度が得られている。
The horizontal axis of the graph is the number of terminals of the net, and the vertical axis is the average of the error between the wiring length of each net for each terminal and the actual wiring length. As shown in this graph, in the present invention, a higher prediction accuracy than the conventional method is obtained for the number of terminals of almost all nets.

【0035】さらに、図3は、本発明における信号伝播
遅延時間の最適化方法による遅延予測精度を上述のデー
タに関して示したものである。横軸は実配線径路から算
出した遅延時間に対する本発明の誤差(絶対値)を示
し、縦軸は端子ペア数である。この図から分かるよう
に、本発明では、算出した全ての端子ペアのうち90%
以上が誤差20%以内に収まっている。
FIG. 3 shows the accuracy of delay prediction by the signal propagation delay time optimizing method according to the present invention with respect to the above-mentioned data. The horizontal axis indicates the error (absolute value) of the present invention with respect to the delay time calculated from the actual wiring path, and the vertical axis indicates the number of terminal pairs. As can be seen from this figure, in the present invention, 90% of all calculated terminal pairs
The above is within 20% error.

【0036】第2の発明 図4は、第2の発明のフローチャートである。まず、回
路の遅延解析を実行し、クリティカルパスの抽出を行う
(ステップQ1)。このステップQ1では、詳細は後述
するが、パスディレイの下限制約を各ネットに配分す
る。次に、ステップQ1で配分された各ネットごとの下
限制約を各端子に付加する(ステップQ2)。
Second Invention FIG. 4 is a flowchart of the second invention. First, a delay analysis of a circuit is executed to extract a critical path (step Q1). In step Q1, a lower limit constraint on the path delay is allocated to each net, as will be described in detail later. Next, the lower limit constraint for each net allocated in step Q1 is added to each terminal (step Q2).

【0037】ステップQ3で処理手順を作成し、制約が
満たされていない場合には(ステップQ4否定)、処理
対象となったパスを構成するセルが、パス径路全体の物
理的形状を支配するパスコアセルと、パス径路から分岐
するネットを構成するパスブランチセルとに分類する
(ステップQ5)。
If the processing procedure is created in step Q3 and the constraint is not satisfied (step Q4 negative), the cells constituting the path to be processed are the path core cells that govern the physical shape of the entire path path. And path branch cells constituting a net branched from the path route (step Q5).

【0038】次いで、ステップQ2で付加した各端子の
下限制約が満たされない場合には、各端子間に斥力を発
生させて下限制約が満たされるパスコアセルの配置位置
を決定する(ステップQ6)。
Next, if the lower limit constraint of each terminal added in step Q2 is not satisfied, a repulsive force is generated between the terminals to determine an arrangement position of the path core cell satisfying the lower limit constraint (step Q6).

【0039】続いて個々のネット長を最小化させるため
にパスブランチセルの配置位置を決定する(ステップQ
7)。パスコアセルとパスブランチセルの配置位置が決
定されることによって1本のパスの処理が終了し、次に
処理すべきパス移行する(ステップQ8)。
Subsequently, the arrangement position of the path branch cell is determined in order to minimize the individual net length (step Q).
7). When the arrangement positions of the path core cell and the path branch cell are determined, the processing of one path is completed, and the path to be processed next is shifted (step Q8).

【0040】全てのパスの処理が終わった段階で回路の
動作解析を行い、クリティカルパスを更新する(ステッ
プQ9)。全てのパスが制約を満たしていれば処理を終
了し、制約を満たしていないパスがあればそのパスに関
して再び処理を行う。
When all the paths have been processed, the operation of the circuit is analyzed, and the critical path is updated (step Q9). If all the paths satisfy the constraint, the process is terminated, and if there is a path that does not satisfy the constraint, the process is performed again on that path.

【0041】以下に、第2の発明の特徴となるステップ
Q1,Q2,Q6における具体的な処理について説明す
る。パスディレイの下限制約は、まずステップQ1にお
いて、ネットのファンアウトを考慮して各ネットに下限
制約を配分し、次にステップQ2において、下限制約が
配分された各ネットを構成するパスコアセルの端子に対
してパスディレイの下限制約を付加する。
Hereinafter, specific processing in steps Q1, Q2, and Q6, which are features of the second invention, will be described. The lower limit constraint of the path delay is as follows. First, in step Q1, the lower limit constraint is allocated to each net in consideration of the fan-out of the net, and then, in step Q2, the terminal of the path core cell constituting each net to which the lower limit constraint is allocated is assigned. On the other hand, a lower limit constraint of the path delay is added.

【0042】まず、ステップQ1では、各クリティカル
パスに対して信号がパスの始点から終点に至るまでの所
要時間の上限値と下限値を与える。要求された回路の動
作スピードを保証するためには、各クリティカルパスを
伝搬する信号がそれぞれのパスに要求される到達時間の
範囲内になければならない。
First, in step Q1, an upper limit value and a lower limit value of the time required for a signal from the start point to the end point of a path are given to each critical path. In order to guarantee the required operation speed of the circuit, the signal propagating through each critical path must be within the arrival time required for each path.

【0043】パスの下限制約は、各ネットに割り振られ
た下限制約の総和が下限値以上であれば満たされる。す
なわち、 パスの下限制約 ≦ Σネットの下限制約 となるように各ネットに対してディレイの下限制約を割
り振ればよい。全てのネットに対して等しくディレイを
割り振るには、 ネットの下限制約A=パスの下限制約/パスを構成する
ネット数 と取れば良い。また、更にネットのファンアウトを考慮
に入れて割り振るためには、 ネットの下限制約B = ネットの下限制約A×(ネッ
トのファンアウト)/(パスを構成するネットの平均フ
ァンアウト) と取れば良い。これを全てのクリティカルパスを構成す
るネットに対して行なうことで、ネット毎の下限制約が
割り振られる。
The lower limit constraint of the path is satisfied if the sum of the lower limit constraints assigned to each net is equal to or larger than the lower limit value. That is, the lower limit constraint of the delay may be assigned to each net such that the lower limit constraint of the path ≤ the lower limit constraint of the net. In order to allocate delay equally to all nets, the lower limit constraint of the net A = the lower limit constraint of the path / the number of nets constituting the path may be set. Further, in order to further allocate in consideration of the fanout of the net, the lower limit constraint of the net B = the lower limit constraint of the net A × (the fanout of the net) / (the average fanout of the net constituting the path) good. By performing this for all the nets constituting the critical path, a lower limit constraint is assigned to each net.

【0044】次に、ステップQ2では、上下2つの制約
のうちの下限制約に関する情報をクリティカルネットの
各端子に付加する。下限制約を各端子に付加する方法
を、図5を用いて説明する。同図における各端子A,B
を囲むの一点鎖線は、クリティカルネットに関係する端
子A,Bに付加されるパスの下限制約を表している。配
線処理において、直交する2つの配線層を用いた場合、
ネットに割り振られた下限制約は同図の端子Aを囲む実
線で示した四辺形上に端子Bが置かれたときに満足され
る。
Next, in step Q2, information on the lower limit constraint of the two upper and lower constraints is added to each terminal of the critical net. A method of adding a lower limit constraint to each terminal will be described with reference to FIG. Terminals A and B in FIG.
Indicates the lower limit of the path added to the terminals A and B related to the critical net. In the wiring process, when two orthogonal wiring layers are used,
The lower limit constraint allocated to the net is satisfied when the terminal B is placed on the quadrilateral shown by the solid line surrounding the terminal A in FIG.

【0045】一例として、端子A,Bに付加された下限
制約をA,Bを結ぶ実線で示す制約の1/2の大きさに
取ると、A,B2つの端子に付加された下限制約を足し
合わせることで対象ネットに割り振られた下限制約に等
しくなる。すなわち、ネットに割り振られた下限制約
は、A,B2つの端子を1点鎖線が示す四辺形領域が重
ならない様に配置することによって達成できる。このよ
うにして、ステップQ2においてパスの下限制約を満足
するような配線長を確保できる端子間の制約距離を予め
規定しておく。
As an example, if the lower limit constraint added to the terminals A and B is set to half the size of the constraint indicated by the solid line connecting A and B, the lower limit constraint added to the two terminals A and B is added. By matching, it becomes equal to the lower limit constraint assigned to the target net. That is, the lower limit constraint allocated to the net can be achieved by arranging the two terminals A and B so that the quadrilateral regions indicated by the dashed line do not overlap. In this way, in step Q2, a restriction distance between terminals that can secure a wiring length that satisfies the lower limit restriction of the path is defined in advance.

【0046】最後に、ステップQ6における斥力の発生
方法について説明する。図6は、ステップQ6において
パスディレイの下限値を考慮するために定義されるパス
ディレイ緩和力(斥力)Fである。ステップQ2で付加
された、パスを構成するネットの端子A,Bの下限制約
が満足されていない場合には、図6が示すように端子
A,Bに付加された下限制約の四辺形に重なりを生ず
る。この時、端子に付加された下限制約に対応する四辺
形の重なり面積に比例し、端子A,Bを結ぶ直線上で互
いに相反する向きに作用する力をそれぞれの端子に作用
させる。
Finally, a method of generating a repulsive force in step Q6 will be described. FIG. 6 shows a path delay relaxation force (repulsive force) F defined for considering the lower limit value of the path delay in step Q6. If the lower limit constraints of the terminals A and B of the nets constituting the path added in step Q2 are not satisfied, they overlap with the lower limit constraint quadrilaterals added to the terminals A and B as shown in FIG. Is generated. At this time, forces acting in opposite directions on a straight line connecting the terminals A and B are applied to the respective terminals in proportion to the overlapping area of the quadrilateral corresponding to the lower limit constraint added to the terminals.

【0047】但し、下限制約違反の無い場合には、すな
わち、一点鎖線の四辺形に重なりを生じない場合には、
この力は0と定義する。この力をステップQ6において
従来のパスディレイ緩和力(引力)と合成することによ
って、上限制約だけでなく下限制約をも満足する配置結
果を得ることができる。
However, if there is no violation of the lower limit constraint, that is, if there is no overlap with the dashed-dotted quadrangle,
This force is defined as zero. By combining this force with the conventional path delay relaxation force (attraction) in step Q6, it is possible to obtain an arrangement result that satisfies not only the upper limit constraint but also the lower limit constraint.

【0048】[0048]

【発明の効果】以上の説明で明かなように、第1の発明
を用いれば、レイアウトの中で、未配線の状態における
配線径路を正確に予測できるため、配置の段階において
配線容量のみならず配線抵抗も考慮に入れることがで
き、信号伝播遅延時間を最適化することができる。
As is clear from the above description, the use of the first invention makes it possible to accurately predict the wiring path in the unwired state in the layout. The wiring resistance can also be taken into consideration, and the signal propagation delay time can be optimized.

【0049】また、第2の発明によれば、回路の全ての
クリティカルパスについて、タイミングの上限制約と下
限制約とを同時に満足させる配置結果を得ることができ
るため、信号遅延時間を最適化することができる。
According to the second aspect of the present invention, it is possible to obtain an arrangement result that simultaneously satisfies the upper limit and lower limit of the timing for all the critical paths of the circuit. Therefore, the signal delay time is optimized. Can be.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第1の発明における配線径路予測方法を説明す
るための簡略図。
FIG. 1 is a simplified diagram for explaining a wiring path prediction method according to a first invention.

【図2】第1の発明の配線径路予測結果と従来の配線径
路予測結果とを比較したグラフ。
FIG. 2 is a graph showing a comparison between a wiring path prediction result of the first invention and a conventional wiring path prediction result.

【図3】第1の発明による信号伝播遅延予測精度を示し
たグラフ。
FIG. 3 is a graph showing a signal propagation delay prediction accuracy according to the first invention.

【図4】第2の発明の処理概要を示すフローチャート。FIG. 4 is a flowchart showing a processing outline of the second invention.

【図5】端子に対する下限制約の作成方法を説明するた
めの簡略図。
FIG. 5 is a simplified diagram for explaining a method of creating a lower limit constraint on a terminal.

【図6】下限制約違反を改善するために用いるパスディ
レイ緩和力を説明するための簡略図。
FIG. 6 is a simplified diagram for explaining a path delay mitigation force used for improving a lower limit constraint violation.

【図7】従来の配線径路予測方法を示す簡略図。FIG. 7 is a simplified diagram showing a conventional wiring path prediction method.

【図8】従来のパスディレイ最適化手法の処理概要を示
すフローチャート。
FIG. 8 is a flowchart showing a processing outline of a conventional path delay optimizing method.

【符号の説明】[Explanation of symbols]

1 クラスタ 3 端子 5 ネットの最小矩形 7 クラスタ内配線予測径路 9 代表点 11 ネット全体の配線予測径路 o,p 重心位置 A,B 端子 F 緩和力(斥力) Reference Signs List 1 cluster 3 terminal 5 smallest rectangle of net 7 predicted route in cluster 9 representative point 11 predicted route of entire net o, p center of gravity A, B terminal F relaxation force (repulsive force)

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体集積回路上の論理セルの配置位置
を決定するに際し、 端子の座標を基にして、ネットを構成する端子間の相対
距離から複数の端子集合を形成し、前記端子集合に端
子集合内の配線予測径路を作成し、前記作成された端子
集合毎の配線予測径路間を連結する手順によって、ネッ
ト全体の配線予測径路を階層的に作成した後、前記 作成されたネット全体の配線予測径路を用いて信号
伝播遅延時間を最適化することを特徴とする半導体集積
回路における信号伝播遅延時間の最適化方法。
Upon 1. A determines the arrangement position of the logic cells on the semiconductor integrated circuit, and the coordinates of the terminal group to form a plurality of terminals set from the relative distances between the terminals constituting the network, the terminal sets every to create a wire predicted path of terminals in the set, the procedure for connecting the wiring predicted path of each terminal set created in the above, after creating the wiring predicted path of the entire net hierarchically, the entire net created in the above A signal propagation delay time in a semiconductor integrated circuit, wherein the signal propagation delay time is optimized by using the wiring prediction path.
【請求項2】 半導体集積回路上のネットを構成する端
子間の相対距離から複数の端子集合を形成し、 形成された端子集合内において、この端子集合内にある
端子の重心位置を貫き、端子集合で形成される最小矩形
の長手方向の配線を幹とし、各端子から前記幹に対して
垂直に発生させた配線を支線とする配線予測径路を作成
し、 各端子集合毎に、前記ネットを構成する全ての端子に関
する重心位置に最も近い位置にある、端子集合内に形成
した配線予測径路上の点を各端子集合の代表点として求
め、 各代表点の重心位置を貫き、全ての代表点集合で形成さ
れる最小矩形の長手方向の配線を幹とし、各代表点から
前記幹に対して垂直に発生させた配線を支線とするネッ
ト全体の配線予測径路を作成し、 作成されたネット全体の配線予測径路を用いて信号伝播
遅延時間を最適化することを特徴とする半導体集積回路
における信号伝播遅延時間の最適化方法。
2. A plurality of terminal sets are formed from relative distances between terminals constituting nets on a semiconductor integrated circuit, and a center of gravity of a terminal in the terminal set is penetrated in the formed terminal set. A wiring prediction route is created with the wiring in the longitudinal direction of the smallest rectangle formed by the set as the trunk, and the wiring generated perpendicularly to the trunk from each terminal as a branch line. Find the point on the predicted wiring route formed in the terminal set that is closest to the center of gravity position of all the configured terminals as the representative point of each terminal set, penetrate the center of gravity position of each representative point, and execute all representative points. A wiring prediction route for the entire net is created, in which the wiring in the longitudinal direction of the minimum rectangle formed by the set is used as the trunk, and the wiring generated perpendicularly to the trunk from each representative point is a branch line. Predicted wiring route The optimizing of the signal propagation delay time in the semiconductor integrated circuit, characterized in that to optimize the signal propagation delay time using.
【請求項3】 前記ネットを構成する端子間の相対距離
から複数の端子集合を形成する際に、 ネットを構成する全ての端子の重心位置からの直線距離
が大きい端子から順に端子集合の種として選択し、 選択された種からの直線距離が、ネットの占有面積を複
数に等分した面積と略等価な面積を持つ円の半径長さ以
内にある端子を同一端子集合に属させることを特徴とす
る請求項2記載の半導体集積回路における信号伝播遅延
時間の最適化方法。
3. When forming a plurality of terminal sets from relative distances between terminals constituting the net, a terminal set is seeded in order from a terminal having a larger linear distance from the position of the center of gravity of all terminals constituting the net. It is characterized in that terminals whose linear distance from the selected seed is within the radius of a circle having an area approximately equivalent to the area occupied by the net is divided into the same terminal set. 3. The method for optimizing a signal propagation delay time in a semiconductor integrated circuit according to claim 2.
【請求項4】 半導体集積回路上の動作制約となる信号
径路を抽出し、抽出されたに関する上限制約と下限制約
を求め、抽出された信号径路を構成するネットに対して
上記下限制約を配分し、これを端子毎の制約として付加
して端子同士が互いに近接できる最小範囲を規定し、 抽出された信号径路を構成する複数の論理セルを、前記
信号径路全体の物理的形状を支配するパスコアセルと前
記信号径路から分岐するネットを構成するパスブランチ
セルとに分類し、前記パスコアセルを前記信号径路長が
短くなる位置に配置し、 前記端子毎の制約として付加した下限制約が満たされな
い場合には、これを改善するための斥力を発生させて下
限制約違反を改善した後、前記パスブランチセルを前記
ネット長が短くなる位置に配置することを特徴とする半
導体集積回路における信号伝播遅延時間の最適化方法。
4. A signal path serving as an operation constraint on the semiconductor integrated circuit is extracted, an upper limit constraint and a lower limit constraint relating to the extracted signal path are determined, and the lower limit constraint is distributed to nets constituting the extracted signal path. This is added as a constraint for each terminal to define a minimum range in which the terminals can be close to each other, and a plurality of logic cells constituting the extracted signal path are defined as a path core cell which controls the physical shape of the entire signal path. If the path core cells are classified into path branch cells constituting a net branched from the signal path, and the path core cells are arranged at positions where the signal path length is shortened, and if the lower limit constraint added as a constraint for each terminal is not satisfied, After generating a repulsive force for improving this, and improving the violation of the lower limit constraint, the path branch cell is arranged at a position where the net length is shortened. Optimization method of the signal propagation delay time in the semiconductor integrated circuit.
JP01865793A 1993-02-05 1993-02-05 Method for optimizing signal propagation delay time in semiconductor integrated circuit Expired - Fee Related JP3182244B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP01865793A JP3182244B2 (en) 1993-02-05 1993-02-05 Method for optimizing signal propagation delay time in semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01865793A JP3182244B2 (en) 1993-02-05 1993-02-05 Method for optimizing signal propagation delay time in semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JPH06232263A JPH06232263A (en) 1994-08-19
JP3182244B2 true JP3182244B2 (en) 2001-07-03

Family

ID=11977692

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01865793A Expired - Fee Related JP3182244B2 (en) 1993-02-05 1993-02-05 Method for optimizing signal propagation delay time in semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP3182244B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2737620B2 (en) * 1993-12-22 1998-04-08 日本電気株式会社 Semiconductor integrated circuit wiring method
JP4282687B2 (en) 2006-05-10 2009-06-24 エルピーダメモリ株式会社 Semiconductor integrated circuit design method and design apparatus

Also Published As

Publication number Publication date
JPH06232263A (en) 1994-08-19

Similar Documents

Publication Publication Date Title
US6519749B1 (en) Integrated circuit partitioning placement and routing system
US5666289A (en) Flexible design system
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
US6496965B1 (en) Automated design of parallel drive standard cells
KR100413861B1 (en) Method and apparatus to distribute spare cells within a standard cell region of an integrated circuit
US5930499A (en) Method for mixed placement of structured and non-structured circuit elements
JP3737104B2 (en) Timing driven method of placing user circuitry in a programmable integrated circuit device
US7865855B2 (en) Method and system for generating a layout for an integrated electronic circuit
JP3076410B2 (en) Design method of semiconductor integrated circuit
US5521836A (en) Method for determining instance placements in circuit layouts
JPH0749903A (en) Simulation method and layout method of integrated circuit
US7134112B1 (en) Incremental routing in integrated circuit design
JP2002110797A (en) Method for designing clock wiring
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
JP3182244B2 (en) Method for optimizing signal propagation delay time in semiconductor integrated circuit
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
US6615401B1 (en) Blocked net buffer insertion
US20070204245A1 (en) Method for accelerating the RC extraction in integrated circuit designs
Stenz et al. Performance optimization by interacting netlist transformations and placement
US5825659A (en) Method for local rip-up and reroute of signal paths in an IC design
US6453448B1 (en) Functional level configuration of input-output test circuitry
JP3433025B2 (en) Module placement method
Melikyan et al. Ranking-based Placement of IC Logic Cells
Karatsu et al. An integrated design automation system for VLSI circuits
JP3084255B2 (en) LSI layout design method

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080420

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090420

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100420

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100420

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110420

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees