JP3106374B2 - Logic circuit optimization device - Google Patents

Logic circuit optimization device

Info

Publication number
JP3106374B2
JP3106374B2 JP03300708A JP30070891A JP3106374B2 JP 3106374 B2 JP3106374 B2 JP 3106374B2 JP 03300708 A JP03300708 A JP 03300708A JP 30070891 A JP30070891 A JP 30070891A JP 3106374 B2 JP3106374 B2 JP 3106374B2
Authority
JP
Japan
Prior art keywords
logic circuit
optimizing
circuit
logic
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP03300708A
Other languages
Japanese (ja)
Other versions
JPH05143675A (en
Inventor
彰 名古屋
亮 野村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP03300708A priority Critical patent/JP3106374B2/en
Publication of JPH05143675A publication Critical patent/JPH05143675A/en
Application granted granted Critical
Publication of JP3106374B2 publication Critical patent/JP3106374B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は論理回路最適化装置に係
り、特に、論理回路設計支援装置において多段組み合わ
せ論理回路を設計条件に応じて最適化する論理回路最適
化装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic circuit optimizing apparatus, and more particularly, to a logic circuit optimizing apparatus for optimizing a multi-stage combinational logic circuit according to design conditions in a logic circuit design supporting apparatus.

【0002】[0002]

【従来の技術】論理式または、真理値表等から論理回路
(通常は多段論理回路)を自動的に生成する論理合成装
置は論理LSIを短期間で設計するための有力な設計支
援装置として数多く利用され始めてきている。しかし、
単に論理合成を行ったのみでは、合成された論理回路の
品質(LSI化した場合のチップ面積、動作速度等)
が、熟練者の人手による設計によるものに比べて劣って
いる場合が多く、要求されるLSIチップ面積、動作速
度等の設計条件を満たすためには、合成された論理回路
を、さらに論理回路最適化装置によって最適化する必要
がある。この多段論理回路に対する最適化装置は従来よ
り計算機プログラムで実現したものがいくつか提案され
ている。
2. Description of the Related Art There are many logic synthesizers which automatically generate a logic circuit (usually a multi-stage logic circuit) from a logical expression or a truth table as a powerful design support device for designing a logic LSI in a short time. It is starting to be used. But,
The mere synthesis of the logic results in the quality of the synthesized logic circuit (chip area, operating speed, etc. in the case of LSI).
However, in many cases, it is inferior to the one designed by a skilled person, and in order to satisfy design conditions such as required LSI chip area and operation speed, the synthesized logic circuit is further optimized by a logic circuit. Needs to be optimized by the optimization device. Conventionally, several optimization devices for the multi-stage logic circuit have been proposed which are realized by computer programs.

【0003】そのうちの一つとして、例えば、最適化の
能力という点で最もすぐれた技術の一つであるトランス
ダクション法を計算機プログラムで実現することによっ
て構成した論理回路最適化装置がある(参考文献:S.Mu
roga,et al., “A Logic Network Synthesis System, S
YLON ”, Proc. ICCD, Oct. 1989 pp. 324-328)。トラ
ンスダクション法は、最適化の対象となる論理回路に対
して、その許容関数に基づいた回路変形を施すものであ
る。ここで、許容関数とは、対象論理回路を構成する各
論理ゲート(NORゲート、NANDゲート等) と、各ゲート間
を接続する各ネット(配線) に対して定義されるもの
で、対象論理回路の外部端子の出力を変化させないとい
う条件で、各ゲートと各ネットに許される論理関数の集
合を表す。
As one of them, for example, there is a logic circuit optimizing apparatus configured by realizing a transduction method, which is one of the most excellent techniques in terms of optimizing ability, by a computer program (see References). : S.Mu
roga, et al., “A Logic Network Synthesis System, S
YLON ", Proc. ICCD, Oct. 1989 pp. 324-328). The transduction method applies a circuit modification to a logic circuit to be optimized based on its tolerance function. The tolerance function is defined for each logic gate (NOR gate, NAND gate, etc.) that constitutes the target logic circuit and each net (wiring) that connects between the gates. A set of logic functions permitted for each gate and each net, provided that the output of the terminal is not changed.

【0004】さらに他の最適化装置の例として論理関数
を代数的に取り扱うウィーク・ディビジョンと呼ばれる
手法を応用して計算機プログラムで実現した例(参考文
献:A. Nagoya et al., “Multi-Level Logic Optimiza
tion for Large Scale ASICs”, Proc. ICCAD, Nov. 19
90, pp 564-567) がある。この例では、論理合成装置と
論理回路最適化装置が一体となり、多段論理回路を合成
していく過程で、ある程度の最適化を同時に行う手法を
用いており、かなり大規模な論理回路でも実用計算時間
内、実用計算機メモリ量で最適化できるという利点があ
る。
[0004] As another example of an optimization device, an example realized by a computer program by applying a method called a weak division that handles a logical function algebraically (Reference: A. Nagoya et al., “Multi-Level Logic Optimiza
tion for Large Scale ASICs ”, Proc. ICCAD, Nov. 19
90, pp 564-567). In this example, a logic synthesis device and a logic circuit optimization device are integrated, and a method of simultaneously performing a certain degree of optimization in the process of synthesizing a multi-stage logic circuit is used. There is an advantage that optimization can be performed with a practical computer memory amount within time.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、前者の
トランスダクション法における許容関数は、各ゲートと
各ネットが持つ論理関数(外部入力端子への入力を変数
とする関数)に基づいて計算できる関数集合であること
から、外部入力端子数、論理回路の複雑さに応じて、こ
の許容関数を表すための計算機メモリ量及び許容関数の
計算時間は急激に増大する。従って、現状において、ト
ランスダクション法による最適化能力の優れた論理回路
最適化装置で実用時間内に大規模論理回路の最適化を行
うことが困難である。また、この種の最適化装置は、外
部入力端子数が32以下の論理回路のような規模の小さ
い論理回路のみを対象とする等、最初から制限を設けて
実現されている例が多い。
However, the allowance function in the former transduction method is a function set that can be calculated based on the logic function of each gate and each net (a function using the input to the external input terminal as a variable). Therefore, according to the number of external input terminals and the complexity of the logic circuit, the amount of computer memory for expressing the permissible function and the calculation time of the permissible function rapidly increase. Therefore, at present, it is difficult to optimize a large-scale logic circuit within a practical time with a logic circuit optimizing device having an excellent optimizing ability by the transduction method. In addition, in many cases, this type of optimization apparatus is implemented with a limitation from the beginning, such as targeting only a small-scale logic circuit such as a logic circuit having 32 or less external input terminals.

【0006】一方、後者のウィーク・ディビジョンで
は、各ゲート間の局所的な関係に基づく回路変形が行わ
れるのみであり、これを応用したものでも、最適化の能
力を高めることは困難である。従って、大規模論理回路
向きのウィーク・ディビジョン等の最適化装置で、最適
化された論理回路には多くの場合、さらに改善の余地が
残っている。
On the other hand, in the latter weak division, only circuit deformation is performed based on the local relationship between the gates, and it is difficult to enhance the optimizing ability even by applying this. Therefore, in an optimization device such as a weak division for a large-scale logic circuit, there is often room for improvement in an optimized logic circuit.

【0007】このように最適化の能力を向上させること
と、最適化にかかる計算時間の短縮とは、概ね相反する
関係にあるため、従来のいずれの技術でも大規模な論理
回路を実用時間内で品質良く最適化することは困難であ
る。
[0007] As described above, the improvement of the optimizing ability and the shortening of the calculation time required for the optimization are generally in conflict with each other. It is difficult to optimize with good quality.

【0008】本発明は上記の点に鑑みなされたもので、
扱える回路規模及び最適化の能力を両立させ得る効率的
な論理回路最適化装置を提供することを目的とする。
[0008] The present invention has been made in view of the above points,
An object of the present invention is to provide an efficient logic circuit optimizing device capable of achieving both a scalable circuit scale and an optimizing ability.

【0009】[0009]

【課題を解決するための手段】対象とする大規模論理回
路を設計条件に基づいて、最適化する論理回路最適化装
置において、部分回路への入力端子数を設計条件とし、
該入力端子数を超えない入力数で可能な限り、大規模論
理回路内のゲートを多く含む部分回路に繰り返し分割す
る回路分割手段と、回路分割手段により分割された部分
回路を前記設計条件に応じて最適化する一つまたは、複
数の最適化手段とを有する。
In a logic circuit optimizing apparatus for optimizing a target large-scale logic circuit based on design conditions, the number of input terminals to a partial circuit is set as a design condition.
Large-scale theory as much as possible with the number of inputs not exceeding the number of input terminals
And a circuit dividing means for repeatedly divided into a number containing subcircuit gate in physical circuit, divided partial by the circuit dividing means
One or a plurality of optimizing means for optimizing a circuit according to the design condition.

【0010】[0010]

【作用】本発明は最適化の効率を高めるために、対象と
する大規模論理回路を一定規模の範囲内の部分回路に分
割し、分割された各々の部分回路に対して能力の高い最
適化を適用させることにより、対象とできる回路規模、
また、最適化能力に対する制限が大幅に緩和される。
The present invention divides a target large-scale logic circuit into partial circuits within a certain scale in order to increase the efficiency of optimization, and performs high-performance optimization on each of the divided partial circuits. By applying, the target circuit scale,
Also, the restriction on the optimization capability is greatly relaxed.

【0011】[0011]

【実施例】以下、図面を用いて本発明の一実施例を説明
する。図1は本発明の一実施例の全体構成図を示す。同
図の論理回路最適化装置は論理回路入力部11、論理回
路分割部12、論理回路最適化部13、要求条件入力部
14、論理回路併合部15及び論理回路出力部16より
構成される。
An embodiment of the present invention will be described below with reference to the drawings. FIG. 1 shows an overall configuration diagram of an embodiment of the present invention. The logic circuit optimizing device shown in FIG. 1 includes a logic circuit input unit 11, a logic circuit division unit 12, a logic circuit optimization unit 13, a requirement input unit 14, a logic circuit merging unit 15, and a logic circuit output unit 16.

【0012】最適化処理の対象となる論理回路は論理回
路入力部11より入力され、論理回路分割部12に渡さ
れ、所定の方法により一定規模の範囲毎に部分回路に分
割される。論理回路分割部12で分割された部分回路
は、それぞれ論理回路最適化部13に送られ、要求条件
入力部14で指定される種々の設計条件(面積最小化、
遅延時間最小化等)に基づいて論理回路の最適化が実施
される。最適化後の部分回路は論理回路併合部15でま
とめられ、論理回路出力部16から最適化状態の論理回
路として出力される。
A logic circuit to be optimized is input from a logic circuit input unit 11, passed to a logic circuit division unit 12, and divided by a predetermined method into partial circuits in a predetermined scale range. The partial circuits divided by the logic circuit dividing unit 12 are sent to the logic circuit optimizing unit 13 and various design conditions (area minimization,
The optimization of the logic circuit is performed based on the delay time minimization and the like. The optimized partial circuits are combined by the logic circuit merging unit 15 and output from the logic circuit output unit 16 as a logic circuit in an optimized state.

【0013】ここで、論理回路分割部12で分割された
部分回路は論理回路最適化部13に渡された時点ではそ
れぞれ独立な論理回路とみなすことができるため、論理
回路最適化部13が複数並列に存在し、独立に動作して
いてもよい。言い換えれば、本装置は、単一の論理回路
最適化部13のみを用意して複数の部分回路の最適化を
逐次的に処理することが可能であり、また、複数の論理
回路最適化部13を用意して並列に処理することもでき
る。このうち、後者の並列処理の例は、論理回路最適化
部13をトランスダクション法等に基づく計算機プログ
ラムで構成する場合に、マルチプロセッサシステムを用
いた並列化により実現できる。
Here, the partial circuits divided by the logic circuit dividing unit 12 can be regarded as independent logic circuits when they are passed to the logic circuit optimizing unit 13, so that the plurality of logic circuit optimizing units 13 They may exist in parallel and operate independently. In other words, the present apparatus can prepare only a single logic circuit optimizing unit 13 and sequentially process optimization of a plurality of partial circuits. Can be prepared and processed in parallel. Among these, the latter example of parallel processing can be realized by parallelization using a multiprocessor system when the logic circuit optimization unit 13 is configured by a computer program based on a transduction method or the like.

【0014】以下の説明では、単一の論理回路最適化部
13が用意されており、その論理回路最適化部13は、
トランスダクション法に基づく既存の最適化手段を利用
して実現していることから、最適化手段を適用させ得る
回路規模は32入力以下の論理回路に制限されていると
仮定する。
In the following description, a single logic circuit optimization unit 13 is prepared, and the logic circuit optimization unit 13
Since the optimization is realized by using existing optimization means based on the transduction method, it is assumed that the circuit scale to which the optimization means can be applied is limited to a logic circuit having 32 inputs or less.

【0015】図2は本発明の一実施例の大規模論理回路
の例を示す。そのうち、図2(A)は本発明装置の最適
化の対象とする論理回路の例であり、論理回路入力部1
1への入力となる大規模論理回路である。同図中、領域
21が最適化の対象となる多段の組み合わせ論理回路を
示し、領域21内の22〜28は、大規模論理回路を構
成する論理ゲートの一部である。また、本実施例では外
部入力端子の総数が32を越える場合、このままでは領
域21に対して論理回路最適化部13による最適化を行
うことはできない。
FIG. 2 shows an example of a large-scale logic circuit according to one embodiment of the present invention. 2A shows an example of a logic circuit to be optimized by the device of the present invention.
This is a large-scale logic circuit that is an input to the device 1. In the figure, a region 21 shows a multi-stage combinational logic circuit to be optimized, and 22 to 28 in the region 21 are a part of logic gates constituting a large-scale logic circuit. In this embodiment, when the total number of external input terminals exceeds 32, the area 21 cannot be optimized by the logic circuit optimizing unit 13 as it is.

【0016】図2(B)は論理回路分割部による論理回
路の分割例を示すものである。同図は論理回路分割部1
2において、後述に述べる方法により図2(A)の論理
回路が領域31、領域32、領域33に3分割された例
である。図2(B)では、領域31の論理回路に対する
入力端子は外部入力端子の一部である。また、領域32
に対する入力端子は外部入力端子の一部である。さら
に、領域33に対する入力端子は外部入力端子の一部、
領域31の出力の一部及び領域32の出力の一部となっ
ている。このように、本実施例では、論理回路はこれら
の各領域の入力端子数がいずれも32本以下となるよう
に分割されている。これにより、領域31、領域32、
領域33の各々に対して、論理回路最適化部13による
最適化を施すことが可能となる。但し、図2(B)に示
される論理ゲート34〜40は図2(A)の論理ゲート
22〜28に相当する。
FIG. 2B shows an example of division of a logic circuit by a logic circuit division unit. The figure shows a logic circuit dividing unit 1
2 is an example in which the logic circuit of FIG. 2A is divided into three regions 31, 32, and 33 by a method described later. In FIG. 2B, an input terminal for the logic circuit in the region 31 is a part of an external input terminal. In addition, the area 32
Are part of the external input terminals. Further, the input terminal for the area 33 is a part of the external input terminal,
It is part of the output of the area 31 and part of the output of the area 32. As described above, in this embodiment, the logic circuit is divided such that the number of input terminals in each of these areas is 32 or less. Thereby, the area 31, the area 32,
Each of the regions 33 can be optimized by the logic circuit optimization unit 13. However, the logic gates 34 to 40 shown in FIG. 2B correspond to the logic gates 22 to 28 in FIG.

【0017】次に論理回路分割部12の動作の一例を示
す。図3は本発明の一実施例の論理回路分割部の動作を
説明するための図である。論理回路分割部12は分割処
理中の多段組み合わせ論理回路において、まだ分割の対
象となっていない論理回路領域を領域Uとし、既に回路
分割が終了し、領域Uから切り離された領域(部分回
路)の集合を領域Vとみなす。論理回路分割部12は分
割処理開始時において、最適化対象論理回路全体を領域
Uとし、開始段階では分割終了したものが1つもないた
め、領域Vは空とみなして処理を行う。これを前提とし
て以下の処理の説明を行う。図3において、領域50が
領域V、領域51が領域Uとみなされている状態にある
ものとする。
Next, an example of the operation of the logic circuit dividing section 12 will be described. FIG. 3 is a diagram for explaining the operation of the logic circuit division unit according to one embodiment of the present invention. In the multi-stage combinational logic circuit under division processing, the logic circuit dividing unit 12 sets a logic circuit area which is not yet to be divided as a region U, and a region (partial circuit) in which circuit division has already been completed and separated from the region U. Is regarded as a region V. At the start of the division process, the logic circuit division unit 12 sets the entire logic circuit to be optimized as a region U. At the start stage, since no division has been completed, the region V is regarded as empty and the process is performed. The following processing will be described on the premise of this. In FIG. 3, it is assumed that the area 50 is regarded as the area V and the area 51 is regarded as the area U.

【0018】(i) 先ず、論理回路分割部12は、領域
Uの入力端子(外部入力端子の一部、及び領域Vの出力
端子の一部)に直接接続される領域U内の論理ゲートの
中から任意の1ゲートを選択し、新たに発生させた領域
Wに取り込む(図3でゲート54が選択されたものとす
る)。次に領域Wの入力側の接続をたどって、領域Uの
入力端子に到達するまで領域U内の論理ゲートを全て領
域Wに取り込む(この時点で図3では、ゲート55,5
6,57が領域Wに取り込まれ、領域Wは図3の領域5
2として表される)。ここで領域Wの入力端子数が制限
数(本実施例では32本)を越えるならば、最初の1ゲ
ートの選択からやり直す。
(I) First, the logic circuit dividing unit 12 is a logic circuit dividing unit which is directly connected to the input terminals of the area U (part of the external input terminal and part of the output terminal of the area V). An arbitrary one gate is selected from among them and taken into a newly generated region W (assuming that the gate 54 is selected in FIG. 3). Next, following the connection on the input side of the area W, all the logic gates in the area U are taken into the area W until the input terminal of the area U is reached (at this point, in FIG.
6, 57 are taken into the area W, and the area W is the area 5 in FIG.
2). Here, if the number of input terminals in the area W exceeds the limit number (32 in this embodiment), the process starts again with the selection of the first gate.

【0019】(ii) 次に、論理回路分割部12は、領域
Wの任意の入出力端子に接続される領域U内の任意の1
ゲートを選択し、領域Wに取り込む(図3では、ゲート
58が選択されたものとする)。さらに、領域Wの入力
側の接続をたどって、領域Uの入力端子に到達するまで
領域U内の論理ゲートを全て領域Wに取り込む(この時
点で図3では、ゲート59が領域Wに取り込まれ、領域
Wは図3の領域53として表される)。ここで領域Wの
入力端子数が制限数を越えるならば、(ii)の任意の1ゲ
ートの選択からやり直す。
(Ii) Next, the logic circuit dividing section 12 selects an arbitrary one of the areas U in the area U connected to an arbitrary input / output terminal of the area W.
A gate is selected and taken into the area W (in FIG. 3, it is assumed that the gate 58 is selected). Further, following the connection on the input side of the region W, all the logic gates in the region U are taken into the region W until the input terminals of the region U are reached (at this point, the gate 59 is taken into the region W in FIG. 3). , Area W is represented as area 53 in FIG. 3). If the number of input terminals in the area W exceeds the limit, the process is repeated from the selection of any one gate in (ii).

【0020】(iii) 論理回路分割部12は、領域U内
の選択する論理ゲートが無くなるまで(ii)の処理を繰り
返す。 上記の結果、入力端子数が与えられてた制限数以下の領
域W、即ち求める部分回路の1つが抽出される。
(Iii) The logic circuit dividing unit 12 repeats the process of (ii) until there is no more logic gate to be selected in the area U. As a result, a region W in which the number of input terminals is equal to or less than the given number, that is, one of the partial circuits to be obtained is extracted.

【0021】次に領域Wを取り除いた領域Uと領域Wを
追加した領域Vをそれぞれ、新たな領域U,領域Vとみ
なして上記の(i) 〜 (iii)を繰り返す。
Next, the above-described (i) to (iii) are repeated by regarding the area U from which the area W has been removed and the area V to which the area W has been added as new areas U and V, respectively.

【0022】論理回路分割部12は領域Uが空になるま
で上記を繰り返すことにより、論理回路入力部11より
与えられた最適化対象の1つの論理回路を、それぞれ入
力端子数が制限された複数の部分回路に分割する。各々
の部分回路は、論理回路最適化部13に送られ、最適化
の対象となる。
The logic circuit division unit 12 repeats the above until the area U becomes empty, thereby converting one logic circuit to be optimized given from the logic circuit input unit 11 into a plurality of logic circuits each having a limited number of input terminals. Is divided into sub-circuits. Each of the partial circuits is sent to the logic circuit optimization unit 13 and is subjected to optimization.

【0023】なお、論理回路分割部12の動作は、単に
論理ゲートが所属する領域を設けるのみであり、存在す
る論理ゲート及び論理ゲート間の接続には一切手を加え
ない。従って、論理回路分割部12を計算機プログラム
で実現する場合、回路分割に要する計算時間は、論理回
路最適化部13が回路最適化に要する計算時間に比べて
十分に小さくなる得る。
The operation of the logic circuit dividing section 12 merely provides a region to which the logic gate belongs, and does not change the existing logic gate and the connection between the logic gates. Therefore, when the logic circuit division unit 12 is realized by a computer program, the calculation time required for circuit division may be sufficiently shorter than the calculation time required for the logic circuit optimization unit 13 for circuit optimization.

【0024】一般に、分割された領域を個々に最適化す
る手法は、全体をまとめて最適化する手法に比べて最適
化のための回路変形の候補の範囲が狭まるため、理論的
には最適化の能力が若干低下することになる。しかし、
実用上、後者の全体をまとめて最適化する方法では、全
く適用が不可能であったトランスダクション法等に基づ
く高度な回路最適化の適用が、分割した部分回路毎に可
能となる。全体として最適化能力は、ウィーク・ディビ
ジョン等の手法を用いて回路全体をまとめて最適化する
手法に比べて最適化の品質が向上する。
In general, the method of individually optimizing the divided areas has a narrower range of candidates for circuit deformation for optimization than the method of optimizing the whole area collectively, and therefore, theoretically, Will be slightly reduced. But,
In practice, in the latter method of optimizing the whole, the application of advanced circuit optimization based on the transduction method or the like, which could not be applied at all, becomes possible for each divided partial circuit. As a whole, the optimization ability improves the quality of optimization as compared with a method of optimizing the entire circuit collectively by using a method such as a weak division.

【0025】さらに、大規模論理回路の最適化処理に要
する時間短縮を図るために、論理回路最適化部13を複
数用いて並列処理を行うことは容易に実現し、本装置の
処理能力を段階的にグレードアップしていくことが可能
である。
Further, in order to reduce the time required for optimizing a large-scale logic circuit, parallel processing using a plurality of logic circuit optimizing units 13 can be easily realized, and the processing capability of the present apparatus can be reduced step by step. It is possible to gradually upgrade.

【0026】また、上記の例では、与えられた論理回路
の外部入力端子が32以下ならば、回路を分割すること
なく高度な最適化が起こることになり、既存の強力な最
適化手法をそのまま適用することと同一の作用をもたら
す。即ち、本発明による論理回路最適化装置はあらゆる
規模の論理回路に対して、トランスダクション法等に基
づく高度な最適化手法を効率的に適用させることが可能
となる。
In the above example, if the number of external input terminals of a given logic circuit is 32 or less, high-level optimization will occur without dividing the circuit, and the existing powerful optimization method will be used as it is. It has the same effect as applying. That is, the logic circuit optimizing apparatus according to the present invention can efficiently apply an advanced optimization technique based on the transduction method or the like to logic circuits of any scale.

【0027】[0027]

【発明の効果】上述のように本発明の論理回路最適化装
置は、大規模な論理回路を実用時間内で品質の高い最適
化を実現し、回路規模と最適化の能力とを両立できる効
率的な論理回路最適化が可能となる。
As described above, the logic circuit optimizing apparatus of the present invention realizes high-quality optimization of a large-scale logic circuit within a practical time, and achieves both the circuit scale and the optimization ability. Logic circuit optimization becomes possible.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例の全体構成図である。FIG. 1 is an overall configuration diagram of an embodiment of the present invention.

【図2】本発明の一実施例の大規模論理回路の例を示す
図である。
FIG. 2 is a diagram illustrating an example of a large-scale logic circuit according to one embodiment of the present invention.

【図3】本発明の一実施例の論理回路分割部の動作を説
明するための図である。
FIG. 3 is a diagram for explaining the operation of a logic circuit division unit according to one embodiment of the present invention.

【符号の説明】[Explanation of symbols]

11 論理回路入力部 12 論理回路分割部 13 論理回路最適化部 14 要求条件入力部 15 論理回路併合部 16 論理回路出力部 21 多段組み合わせ論理回路 22〜28 論理ゲート 31〜33 分割された多段論理回路 34〜40 論理ゲート 50 既に分割された多段論理回路 51 分割処理適用前の論理回路領域 52、53 分割処理適用中の領域 54〜59 論理ゲート DESCRIPTION OF SYMBOLS 11 Logic circuit input part 12 Logic circuit division part 13 Logic circuit optimization part 14 Requirement condition input part 15 Logic circuit merging part 16 Logic circuit output part 21 Multi-stage combination logic circuit 22-28 Logic gate 31-33 Divided multi-stage logic circuit 34-40 Logic gate 50 Multi-stage logic circuit 51 already divided Logic circuit area 52 before division processing is applied 52, 53 Area where division processing is applied 54-59 Logic gate

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) G06F 17/50 ──────────────────────────────────────────────────続 き Continued on front page (58) Field surveyed (Int.Cl. 7 , DB name) G06F 17/50

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 対象とする大規模論理回路を設計条件に
基づいて、最適化する論理回路最適化装置において、部分回路への入力端子数を前記設計条件とし、該入力端
子数を超えない入力数で可能な限り、前記大規模論理回
路内のゲートを多く含む 部分回路に繰り返し分割する回
路分割手段と、前記回路分割手段により分割された前記部分回路 を前記
設計条件に応じて最適化する一つまたは、複数の最適化
手段とを有することを特徴とする論理回路最適化装置。
In a logic circuit optimizing apparatus for optimizing a target large-scale logic circuit based on design conditions, the number of input terminals to a partial circuit is set as the design condition, and
As long as the number of inputs does not exceed the number of children,
Circuit dividing means for repeatedly dividing into partial circuits including a large number of gates in a path, and one or a plurality of optimizing means for optimizing the partial circuits divided by the circuit dividing means according to the design conditions A logic circuit optimizing device comprising:
JP03300708A 1991-11-15 1991-11-15 Logic circuit optimization device Expired - Lifetime JP3106374B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP03300708A JP3106374B2 (en) 1991-11-15 1991-11-15 Logic circuit optimization device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP03300708A JP3106374B2 (en) 1991-11-15 1991-11-15 Logic circuit optimization device

Publications (2)

Publication Number Publication Date
JPH05143675A JPH05143675A (en) 1993-06-11
JP3106374B2 true JP3106374B2 (en) 2000-11-06

Family

ID=17888137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP03300708A Expired - Lifetime JP3106374B2 (en) 1991-11-15 1991-11-15 Logic circuit optimization device

Country Status (1)

Country Link
JP (1) JP3106374B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7197505B2 (en) 2000-12-22 2007-03-27 Star Bridge Systems, Inc. Multi-dimensional recursive wavefront behavioral synthesis

Also Published As

Publication number Publication date
JPH05143675A (en) 1993-06-11

Similar Documents

Publication Publication Date Title
Walker et al. Behavioral transformation for algorithmic level IC design
Chen et al. A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths
US5596743A (en) Field programmable logic device with dynamic interconnections to a dynamic logic core
US5359537A (en) Automatic synthesis of integrated circuits employing controlled input dependency during a decomposition process
US6047115A (en) Method for configuring FPGA memory planes for virtual hardware computation
CN113168409A (en) Integrated circuit and method for speeding up data queries
Kent et al. Design, implementation, and analysis of high-speed single-stage N-sorters and N-filters
Paliouras et al. Multifunction architectures for RNS processors
Cherbaka Verification and configuration of a run-time reconfigurable custom computing integrated circuit for dsp applications
JP3106374B2 (en) Logic circuit optimization device
Solov’ev Synthesis of fast finite state machines on programmable logic integrated circuits by splitting internal states
Kumhom et al. Design, optimization, and implementation of a universal FFT processor
Caffarena et al. High-level synthesis of multiple word-length DSP algorithms using heterogeneous-resource FPGAs
US6298430B1 (en) User configurable ultra-scalar multiprocessor and method
Zilic et al. Designing for High Speed-Performance in cplds and fpgas
Pan et al. Power efficient partial product compression
JPH1196203A (en) Method for designing logical circuit
Gebotys An optimal methodology for synthesis of DSP multichip architectures
JPH07141148A (en) Pipeline parallel multiplier
JP2714015B2 (en) Logic circuit synthesizer
Nanthini et al. Synthesis of Low-Power Area Efficient Constant Multiplier Architecture for Reconfigurable Fir Filter Using Hybrid Form
KR950010451B1 (en) A flight speed multiplier using group tree structure algorithm
Hasan et al. Coefficient memory addressing scheme for high performance FFT processors
JP2835082B2 (en) Logic circuit synthesizer
JP3075543B2 (en) Scanning operation device in which propagation units for executing a scanning operation are arranged in a tree configuration

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070908

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080908

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080908

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090908

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090908

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100908

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100908

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110908

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120908

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120908

Year of fee payment: 12