JP2897737B2 - Logic synthesis device and logic synthesis method - Google Patents

Logic synthesis device and logic synthesis method

Info

Publication number
JP2897737B2
JP2897737B2 JP8271630A JP27163096A JP2897737B2 JP 2897737 B2 JP2897737 B2 JP 2897737B2 JP 8271630 A JP8271630 A JP 8271630A JP 27163096 A JP27163096 A JP 27163096A JP 2897737 B2 JP2897737 B2 JP 2897737B2
Authority
JP
Japan
Prior art keywords
logic
net
logic circuit
cell
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP8271630A
Other languages
Japanese (ja)
Other versions
JPH1097563A (en
Inventor
豪 白石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP8271630A priority Critical patent/JP2897737B2/en
Publication of JPH1097563A publication Critical patent/JPH1097563A/en
Application granted granted Critical
Publication of JP2897737B2 publication Critical patent/JP2897737B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明が属する技術分野】本発明は、ハードウェア記述
言語で記述された論理回路からゲートレベルで記述され
た論理回路を生成する論理合成装置及び論理合成方法に
関し、特に予備回路を合成できる論理合成装置及び予備
回路を合成する論理合成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic synthesis device and a logic synthesis method for generating a logic circuit described at a gate level from a logic circuit described in a hardware description language. The present invention relates to a logic synthesis method for synthesizing a device and a spare circuit.

【0002】[0002]

【従来の技術】ハードウェア記述言語で記述された論理
回路からゲートレベルで記述された論理回路を生成す
る、従来のこの種の論理合成装置の構成を図6に示す。
2. Description of the Related Art FIG. 6 shows a configuration of a conventional logic synthesizer of this type for generating a logic circuit described at a gate level from a logic circuit described in a hardware description language.

【0003】図6に示すように、論理合成装置110
は、ハードウェア記述ファイル100を入力し、論理合
成結果ファイル120を生成して出力する。ハードウェ
ア記述ファイル100には、論理回路がハードウェア記
述言語で記述されている。論理合成結果ファイル102
には、論理回路がゲートレベルで記述されている。論理
合成装置110は、ハードウェア記述ファイル100の
構造を解析する入力解析部111と、入力解析部111
による解析結果を論理合成する論理合成部113と、論
理合成部113による処理結果を最適化する論理最適化
部114と、論理最適化部114による処理結果をゲー
トレベルで記述された論理回路に変換してEDIF形式
の論理合成結果ファイル102を出力する出力フォーマ
ット変換部115とを備える。
[0003] As shown in FIG.
Inputs the hardware description file 100, generates and outputs a logic synthesis result file 120. In the hardware description file 100, a logic circuit is described in a hardware description language. Logical synthesis result file 102
Describes a logic circuit at a gate level. The logic synthesis device 110 includes an input analysis unit 111 for analyzing the structure of the hardware description file 100, and an input analysis unit 111
Synthesis unit 113 for logically synthesizing the analysis result by the logic, logic optimization unit 114 for optimizing the processing result by the logic synthesis unit 113, and converting the processing result by the logic optimization unit 114 into a logic circuit described at the gate level. And an output format conversion unit 115 for outputting a logic synthesis result file 102 in EDIF format.

【0004】ところで、LSIの回路設計において、正
規の論理回路(以下、正規回路と称す)とは別に、予備
の論理回路(以下、予備回路と称す)を設ける場合があ
る。予備回路は、当該LSIの製造後に故障が発見され
た場合に、配線を変更することのみにより、少ない工数
で短時間で修正するために設けられる。図4に、この種
の予備回路を設けた論理回路の例を示す。
In the LSI circuit design, a spare logic circuit (hereinafter, referred to as a spare circuit) may be provided separately from a regular logic circuit (hereinafter, referred to as a regular circuit). The spare circuit is provided so that when a failure is found after the manufacture of the LSI, it can be corrected in a short time with a small number of steps only by changing the wiring. FIG. 4 shows an example of a logic circuit provided with such a spare circuit.

【0005】図示の論理回路は、正規回路を構成する第
1のインバータゲートG01及び第2のインバータゲー
トG02と、予備回路を構成するインバータゲートG1
1、3入力ナンドゲートG12、及び3入力ノアゲート
G13とを備える。第1のインバータゲートG01は、
入力端子を配線n01に接続し、出力端子を配線n02
に接続する。第2のインバータゲートG02は、入力端
子を配線n02に接続し、出力端子を配線n03に接続
する。配線n01及び配線n03は、図示しない他の正
規回路のゲートに接続される。インバータゲートG11
は、入力端子を配線n02に接続し、出力端子を配線n
11に接続する。3入力ナンドゲートG12は、入力端
子を配線n11に接続し、出力端子を配線n12に接続
する。3入力ノアゲートG13は、入力端子を配線n0
2に接続し、出力端子を配線n13に接続する。ゲート
G12、G13に接続された配線n12、n13の他端
は、未接続状態である。予備回路のゲートG11、G1
2、G13は、故障発生時に、入力端子及び出力端子に
接続された配線を繋ぎ変えることにより修正用ゲートと
して使用できる。
The illustrated logic circuit comprises a first inverter gate G01 and a second inverter gate G02 forming a normal circuit, and an inverter gate G1 forming a spare circuit.
It has a one- and three-input NAND gate G12 and a three-input NOR gate G13. The first inverter gate G01 is
The input terminal is connected to the wiring n01, and the output terminal is connected to the wiring n02.
Connect to The second inverter gate G02 has an input terminal connected to the wiring n02 and an output terminal connected to the wiring n03. The wiring n01 and the wiring n03 are connected to the gate of another regular circuit (not shown). Inverter gate G11
Connects the input terminal to the wiring n02 and connects the output terminal to the wiring n02.
Connect to 11. The three-input NAND gate G12 has an input terminal connected to the wiring n11 and an output terminal connected to the wiring n12. The three-input NOR gate G13 connects the input terminal to the wiring n0.
2 and the output terminal is connected to the wiring n13. The other ends of the wirings n12 and n13 connected to the gates G12 and G13 are not connected. Spare circuit gates G11, G1
2. G13 can be used as a correction gate by changing the wiring connected to the input terminal and the output terminal when a failure occurs.

【0006】従来、上記のような予備回路が含まれる論
理回路を論理合成する場合、ハードウェア記述ファイル
100において、予備回路の各ゲートに対応する部分
に、論理合成時に他のゲートへの置換を禁止する制約
(dont−replace)を付加することが必要と
なる。このような配線使用禁止制約の付加されたハード
ウェア記述ファイル100が論理合成装置110に入力
されると、まず、入力解析部111が、ハードウェア記
述ファイル100の構造を解析する。次に、論理合成部
113が、ハードウェア記述ファイル100の予備回路
に対応する部分に記述された制約(dont−repl
ace)を解釈し、制約(dont−replace)
が付加されてる部分は他のゲートに置換せずに論理合成
する。次に、論理最適化部113が、論理合成された論
理回路を最適化する。次に、出力フォーマット変換部1
15が、最適化された論理回路をゲートレベルの記述に
変換してEDIF形式の論理合成結果ファイル102を
出力する。このようにして生成された論理合成結果ファ
イル102には予備回路のゲートが含まれている。
Conventionally, when a logic circuit including a spare circuit as described above is logically synthesized, the hardware description file 100 replaces a part corresponding to each gate of the spare circuit with another gate during logic synthesis. It is necessary to add a constraint (don-replace) to be prohibited. When the hardware description file 100 to which the wiring use prohibition constraint is added is input to the logic synthesis device 110, first, the input analysis unit 111 analyzes the structure of the hardware description file 100. Next, the logic synthesis unit 113 executes the constraint (don-repl) described in the portion of the hardware description file 100 corresponding to the spare circuit.
ace) is interpreted as a constraint (dont-replace).
The part where is added is logically synthesized without being replaced by another gate. Next, the logic optimizing unit 113 optimizes the logic circuit that has undergone the logic synthesis. Next, the output format converter 1
15 converts the optimized logic circuit into a gate-level description and outputs a logic synthesis result file 102 in EDIF format. The logic synthesis result file 102 generated in this way includes the gate of the spare circuit.

【0007】上述したように、従来の論理合成装置は、
一般に、論理回路の論理合成の際に上記のような予備回
路を合成する手段を有していなかった。したがって、ハ
ードウェア記述ファイルにおいて、予備回路部分のネッ
トに配線使用禁止制約が付加されず、論理合成処理によ
って、予備回路のゲート間のネットが他の正規回路のゲ
ートに接続されていた。
[0007] As described above, the conventional logic synthesizer includes:
In general, there is no means for synthesizing the above-described spare circuit when performing logic synthesis of a logic circuit. Therefore, in the hardware description file, the wiring use prohibition constraint is not added to the net of the spare circuit portion, and the net between the gates of the spare circuit is connected to the gate of another normal circuit by the logic synthesis process.

【0008】[0008]

【発明が解決しようとする課題】上述した従来の論理回
路の論理合成装置は、以下のような問題点があった。第
1の問題点は、論理合成の際に予備回路部分のネットが
ハードウェア記述ファイルで記述している接続ゲート以
外の他の正規回路のゲートに接続されるため、論理回路
の故障を修正するために予備回路のゲートを容易に使用
できないということである。その理由は、従来の論理合
成装置によれば、論理合成の際に、予備回路部の全ネッ
トあるいは一部のネットに配線使用禁止制約が付加され
ないため、予備回路部のゲート間のネットが他の正規回
路のゲートに接続されてしまうからである。
The above-described conventional logic circuit logic synthesizer has the following problems. The first problem is that the fault of the logic circuit is corrected because the net of the spare circuit part is connected to the gate of the regular circuit other than the connection gate described in the hardware description file at the time of logic synthesis. Therefore, the gate of the spare circuit cannot be easily used. The reason is that, according to the conventional logic synthesis device, the wiring use prohibition constraint is not added to all or some of the nets of the spare circuit unit during logic synthesis, so that the net between the gates of the spare circuit unit may be different. Is connected to the gate of the normal circuit.

【0009】第2の問題点は、論理回路が大規模な場
合、論理合成前にハードウェア記述ファイルにおいて予
備回路部分のネットを指定することが困難ということで
ある。その理由は、ハードウェア記述ファイルにおいて
予備回路部分のゲート間のネットを全て検出するのが容
易ではないからである。
A second problem is that when a logic circuit is large-scale, it is difficult to designate a net of a spare circuit portion in a hardware description file before logic synthesis. The reason is that it is not easy to detect all the nets between the gates of the spare circuit portion in the hardware description file.

【0010】本発明の目的は、予備回路のネットを設計
者の意図しない正規回路の論理と接続させることなく論
理回路の論理合成を実行する論理合成装置及び論理合成
方法を提供することである。
An object of the present invention is to provide a logic synthesis device and a logic synthesis method for executing logic synthesis of a logic circuit without connecting a net of a spare circuit to logic of a regular circuit not intended by a designer.

【0011】本発明の他の目的は、上記の目的に加え
て、論理合成を実行する際にハードウェア記述ファイル
における予備回路部分のゲート間のネットを全て自動的
に抽出する論理合成装置及び論理合成方法を提供するこ
とである。
Another object of the present invention is to provide, in addition to the above objects, a logic synthesizing apparatus and a logic synthesizing apparatus for automatically extracting all nets between gates of a spare circuit portion in a hardware description file when executing logic synthesis. The purpose is to provide a synthesis method.

【0012】[0012]

【課題を解決するための手段】上記の目的を達成する本
発明の論理合成装置は、ハードウェア記述言語で記述さ
れた論理回路のファイルを入力し、記述されている論理
回路の構造を解析する入力解析手段と、前記入力解析手
段の解析結果に基づいて、前記論理回路から置換禁止属
性が付加されているセルを検出し、該セルの出力端子に
接続されているネットを検出し、該ネットに自動的に置
換禁止属性を付加するゲート間ネット保護手段と、前記
ゲート間ネット保護手段により置換禁止属性の付加され
た論理回路について論理合成を行う論理合成手段と、前
記論理合成手段により論理合成された論理回路を最適化
する論理最適化手段と、前記論理最適化手段により最適
化された論理回路をゲートレベルで記述された論理回路
に変換し論理合成結果ファイルを出力する出力フォーマ
ット変換手段とを備えることを特徴とする。
A logic synthesizing apparatus according to the present invention for achieving the above object inputs a file of a logic circuit described in a hardware description language and analyzes the structure of the described logic circuit. An input analysis unit, based on the analysis result of the input analysis unit, detects a cell to which a replacement prohibition attribute is added from the logic circuit, and detects a net connected to an output terminal of the cell; Means for automatically adding a replacement prohibition attribute to the logic circuit, logic synthesis means for performing logic synthesis on a logic circuit to which the replacement prohibition attribute has been added by the inter-gate net protection means, and logic synthesis by the logic synthesis means. Optimizing means for optimizing the specified logic circuit, and converting the logic circuit optimized by the logic optimizing means into a logic circuit described at a gate level to perform logic synthesis And an outputting format conversion means for outputting the result file.

【0013】請求項2の本発明の論理合成装置は、前記
ゲート間ネット保護手段が、前記入力解析手段によって
解析された論理回路から置換禁止ゲートを検出してセル
名を抽出する置換禁止ゲート検出手段と、前記置換ゲー
ト検出手段によって抽出されたセル名を有するセルの出
力端子を検出してピン名を抽出する出力端子検出手段
と、前記出力端子検出手段によって抽出されたピン名を
有するピンの接続ネットを検出してネット名を抽出する
接続ネット検出手段と、前記接続ネット検出手段によっ
て抽出されたネット名を有するネットに置換禁止属性を
付加する置換禁止属性付加手段とを備えることを特徴と
する。
According to a second aspect of the present invention, in the logic synthesizing apparatus according to the present invention, the inter-gate net protection means detects a replacement prohibited gate from the logic circuit analyzed by the input analyzing means and extracts a cell name. Means, an output terminal detecting means for detecting an output terminal of a cell having a cell name extracted by the replacement gate detecting means and extracting a pin name, and a pin having a pin name extracted by the output terminal detecting means. A connection net detecting unit that detects a connection net and extracts a net name; and a replacement prohibition attribute adding unit that adds a replacement prohibition attribute to a net having the net name extracted by the connection net detection unit. I do.

【0014】請求項3の本発明の論理合成装置は、前記
論理合成手段が、置換禁止属性が付加されているセルを
他のセルへ置換せず、かつ置換禁止属性が付加されてい
るネットを、前記ハードウェア記述ファイル上で接続を
記述しているネット以外の他のネットへ接続せずに、前
記ゲート間ネット保護手段から出力された論理回路の論
理合成を行い、前記論理最適化手段が、前記論理合成手
段の出力に含まれる制約に反することなく論理回路の最
適化を行うことを特徴とする請求項1または請求項2に
記載の論理合成装置。
According to a third aspect of the present invention, the logic synthesizing unit does not replace the cell to which the replacement prohibition attribute is added with another cell, and outputs the net to which the replacement prohibition attribute is added. Performing logic synthesis of the logic circuit output from the gate-to-gate net protection unit without connecting to a net other than the net describing the connection on the hardware description file; 3. The logic synthesizing apparatus according to claim 1, wherein the logic circuit optimizes the logic circuit without violating restrictions included in an output of the logic synthesizing unit.

【0015】上記の目的を達成する本発明の論理合成方
法は、ハードウェア記述言語で記述された論理回路のフ
ァイルを入力し、記述されている論理回路の構造を解析
する第1のステップと、前記入力解析手段の解析結果に
基づいて、前記論理回路から置換禁止属性が付加されて
いるセルを検出し、該セルの出力端子に接続されている
ネットを検出し、該ネットに自動的に置換禁止属性を付
加する第2のステップと、前記ゲート間ネット保護手段
により置換禁止属性の付加された論理回路について論理
合成を行う第3のステップと、前記論理合成手段により
論理合成された論理回路を最適化する第4のステップ
と、前記論理最適化手段により最適化された論理回路を
ゲートレベルで記述された論理回路に変換し論理合成結
果ファイルを出力する第5のステップとを含むことを特
徴とする。
A logic synthesis method according to the present invention that achieves the above object includes a first step of inputting a file of a logic circuit described in a hardware description language and analyzing the structure of the described logic circuit; Based on the analysis result of the input analysis unit, a cell to which a replacement prohibition attribute is added is detected from the logic circuit, a net connected to an output terminal of the cell is detected, and the net is automatically replaced with the net. A second step of adding a prohibition attribute, a third step of performing logic synthesis on the logic circuit to which the replacement prohibition attribute has been added by the inter-gate net protection means, and a logic circuit synthesized by the logic synthesis means. A fourth step of optimizing, and converting the logic circuit optimized by the logic optimization means into a logic circuit described at a gate level and outputting a logic synthesis result file Characterized in that it comprises a fifth step.

【0016】請求項5の本発明の論理合成方法は、前記
所定のゲート間ネットに置換禁止属性を付加する第2の
ステップが、前記入力解析手段によって解析された論理
回路から置換禁止ゲートを検出してセル名を抽出するス
テップと、前記置換ゲート検出手段によって抽出された
セル名を有するセルの出力端子を検出してピン名を抽出
するステップと、前記出力端子検出手段によって抽出さ
れたピン名を有するピンの接続ネットを検出してネット
名を抽出するステップと、前記接続ネット検出手段によ
って抽出されたネット名を有するネットに置換禁止属性
を付加するステップとを含むことを特徴とする。
In the logic synthesis method according to the present invention, the second step of adding a replacement prohibition attribute to the predetermined gate-to-gate net includes detecting a replacement prohibition gate from the logic circuit analyzed by the input analysis means. Extracting the cell name by detecting the output terminal of the cell having the cell name extracted by the replacement gate detecting means, and extracting the pin name; and extracting the pin name by the output terminal detecting means. Detecting a connection net of a pin having a net name and extracting a net name; and adding a replacement prohibition attribute to the net having the net name extracted by the connection net detection means.

【0017】[0017]

【発明の実施の形態】以下、本発明の実施例について図
面を参照して詳細に説明する。
Embodiments of the present invention will be described below in detail with reference to the drawings.

【0018】図1は、本発明の1実施例にかかる論理合
成装置の構成を示すブロック図である。
FIG. 1 is a block diagram showing the configuration of a logic synthesis apparatus according to one embodiment of the present invention.

【0019】図1を参照すると、本実施例の論理合成装
置20は、入力解析部21と、ゲート間ネット保護部2
2と、論理合成部23と、論理最適化部23と、出力フ
ォーマット変換部25とを備える。図示のように、論理
合成装置20は、ハードウェア記述言語で記述された論
理回路からなるハードウェア記述ファイル10を入力
し、ゲートレベルで記述された論理回路からなる論理合
成結果ファイル30を生成して出力する。なお、図には
本実施例の特徴的な構成のみを記載し、他の一般的な構
成は記載を省略してある。実際には、種々の動作命令を
入力する入力装置や、入力したハードウェア記述ファイ
ル10及び所定の処理を施されたファイルを格納する記
憶装置等を備えることはいうまでもない。
Referring to FIG. 1, a logic synthesizer 20 according to the present embodiment includes an input analyzer 21 and a gate-to-gate net protector 2.
2, a logic synthesis unit 23, a logic optimization unit 23, and an output format conversion unit 25. As illustrated, the logic synthesis device 20 receives a hardware description file 10 including a logic circuit described in a hardware description language, and generates a logic synthesis result file 30 including a logic circuit described at a gate level. Output. In the drawing, only the characteristic configuration of the present embodiment is described, and other general configurations are omitted. Actually, it is needless to say that an input device for inputting various operation commands, a storage device for storing the input hardware description file 10 and a file subjected to predetermined processing are provided.

【0020】ハードウェア記述ファイル10は、ハード
ウェア記述言語で記述されており、正規回路部のハード
ウェア記述部分と予備回路部の記述部分とを含む。図3
は、設計対象論理回路をハードウェア記述言語で記述し
た一例を示す図であり、ハードウェア記述ファイル10
の一部である。予備回路には、論理合成時に他のセルに
変更されることを禁止する置換禁止属性を示す属性が付
加されている。図4は、図3に示すハードウェア記述言
語で記述した内容に対応する回路図の一例を示す図であ
る。
The hardware description file 10 is described in a hardware description language, and includes a hardware description portion of a regular circuit portion and a description portion of a spare circuit portion. FIG.
FIG. 3 is a diagram showing an example in which a logic circuit to be designed is described in a hardware description language.
Part of. The spare circuit is provided with an attribute indicating a replacement prohibition attribute that prohibits the cell from being changed to another cell during logic synthesis. FIG. 4 is a diagram showing an example of a circuit diagram corresponding to contents described in the hardware description language shown in FIG.

【0021】論理合成装置20において、入力解析部2
1は、プログラム制御されたCPU等で実現され、ハー
ドウェア記述ファイル10を入力して、記述されている
論理回路の構造を解析する。
In the logic synthesizer 20, the input analyzer 2
1 is realized by a CPU or the like controlled by a program, inputs a hardware description file 10, and analyzes the structure of the described logic circuit.

【0022】ゲート間ネット保護部22は、プログラム
制御されたCPU等で実現され、入力解析部21の解析
結果に基づいて予備回路部分の記述を検出し、当該予備
回路部分の配線を他のゲートに接続することを禁止する
制約(置換禁止属性)を付加する。図1に示すように、
ゲート間ネット保護部22は、置換禁止ゲート検出部2
21と、出力端子検出部222と、接続ネット検出部2
23と、置換禁止属性付加部224とを備える。
The gate-to-gate net protection unit 22 is realized by a CPU or the like controlled by a program, detects a description of a spare circuit part based on the analysis result of the input analysis unit 21, and changes the wiring of the spare circuit part to another gate. Add a constraint (replacement prohibition attribute) that prohibits connection to. As shown in FIG.
The inter-gate net protection unit 22 includes a replacement prohibition gate detection unit 2.
21, an output terminal detection unit 222, and a connection net detection unit 2
23 and a replacement prohibition attribute adding unit 224.

【0023】置換禁止ゲート検出部221は、入力解析
部21の出力に基づいて、セルに置換禁止属性が付加さ
れている予備回路のセルを検出する。出力端子検出部2
22は、置換禁止ゲート検出部221で検出されたセル
の出力端子を検出する。接続ネット検出部223は、出
力端子検出部222で検出された出力端子に接続された
配線を検出する。置換禁止属性付加部224は、接続ネ
ット検出部223で検出された配線に置換禁止属性を付
加する。
The replacement prohibition gate detecting section 221 detects a cell of the spare circuit in which the replacement prohibition attribute is added to the cell based on the output of the input analysis section 21. Output terminal detector 2
22 detects an output terminal of the cell detected by the replacement prohibition gate detection unit 221. The connection net detection unit 223 detects a wiring connected to the output terminal detected by the output terminal detection unit 222. The replacement prohibition attribute adding unit 224 adds a replacement prohibition attribute to the wiring detected by the connection net detection unit 223.

【0024】論理合成部23は、プログラム制御された
CPU等で実現され、ゲート間ネット保護部22の置換
禁止属性付加部224の出力を入力し、当該置換禁止属
性の付加された論理回路について論理合成を行う。
The logic synthesizing unit 23 is realized by a CPU or the like controlled by a program, inputs the output of the replacement prohibition attribute adding unit 224 of the inter-gate net protection unit 22, and performs logic on the logic circuit to which the replacement prohibition attribute is added. Perform synthesis.

【0025】論理最適化部24は、プログラム制御され
たCPU等で実現され、論理合成部23の出力を入力
し、当該論理合成された論理回路を最適化する。
The logic optimizing unit 24 is realized by a CPU or the like controlled by a program, inputs the output of the logic synthesizing unit 23, and optimizes the logic circuit that has been logically synthesized.

【0026】出力フォーマット変換部25は、プログラ
ム制御されたCPU等で実現され、論理最適化部24の
出力を入力し、当該最適化された論理回路をゲートレベ
ルで記述された論理回路に変換し、EDIF形式の論理
合成結果ファイル30を出力する。
The output format conversion unit 25 is realized by a program-controlled CPU or the like, receives an output of the logic optimization unit 24, and converts the optimized logic circuit into a logic circuit described at a gate level. , A logical synthesis result file 30 in EDIF format.

【0027】次に、本実施例の動作について、図1のブ
ロック図及び図2のフローチャートを参照して詳細に説
明する。
Next, the operation of this embodiment will be described in detail with reference to the block diagram of FIG. 1 and the flowchart of FIG.

【0028】論理合成装置20にハードウェア記述ファ
イル10が入力すると、まず、入力解析部21が、当該
ハードウェア記述ファイル10の記述を内部処理フォー
マットに変換して、論理回路の構造を解析する。
When the hardware description file 10 is input to the logic synthesis device 20, first, the input analysis unit 21 converts the description of the hardware description file 10 into an internal processing format and analyzes the structure of the logic circuit.

【0029】次に、ゲート間ネット保護部22が、入力
解析部21の出力から、予備回路を検出して予備回路部
の配線を他のゲートに接続することを禁止する制約を付
加する。ここで、図2を参照して、ゲート間ネット保護
部22を詳細に説明する。
Next, the inter-gate net protection unit 22 detects a spare circuit from the output of the input analysis unit 21 and adds a constraint that prohibits connection of the wiring of the spare circuit unit to another gate. Here, the inter-gate net protection unit 22 will be described in detail with reference to FIG.

【0030】まず、禁止ゲート検出部221が、入力さ
れた論理回路のゲートに置換禁止属性が付加されたゲー
トがあるか調べる。置換禁止属性が付加されたゲートが
あれば、そのセル名を抽出してセル名リストに登録する
(ステップ201)。セル名を検出しセル名リストに登
録した場合は、出力端子検出部222の処理に移行す
る。一方、セル名リストに登録するセル名を検出できな
かった場合は、処理を終了する(ステップ202)。
First, the prohibited gate detection unit 221 checks whether there is a gate to which a replacement prohibition attribute has been added to the gate of the input logic circuit. If there is a gate to which the replacement prohibition attribute is added, the cell name is extracted and registered in the cell name list (step 201). If the cell name has been detected and registered in the cell name list, the process proceeds to the output terminal detection unit 222. On the other hand, if the cell name registered in the cell name list cannot be detected, the processing is terminated (step 202).

【0031】セル名を検出した場合、次に、出力端子検
出部222が、セル名リスト内の一つのセルの出力端子
を検出する。最初の検出処理では、セル名リストの最初
のセル名を持つセルが出力端子検出の対象となる。2回
目以降の検出処理では、セル名リスト内において、最後
に検出処理を行ったセルの次のセル名を持つセルが出力
端子検出の対象となる。対象となるセルに出力端子ある
いは、入出力端子が存在する場合、その端子名をピン名
リストに抽出する(ステップ203)。ピン名を検出し
ピン名リストに登録した場合は、接続ネット検出部22
3の処理に移行する。
When the cell name is detected, the output terminal detecting section 222 detects the output terminal of one cell in the cell name list. In the first detection processing, the cell having the first cell name in the cell name list is a target of output terminal detection. In the second and subsequent detection processing, a cell having a cell name next to the cell that has been subjected to the last detection processing in the cell name list is a target of output terminal detection. If the target cell has an output terminal or an input / output terminal, the terminal name is extracted into a pin name list (step 203). If the pin name is detected and registered in the pin name list, the connection net detecting unit 22
The process moves to the process of No. 3.

【0032】一方、ピン名リストに登録するピン名を検
出できなかった場合は、セル名リストを調べ、処理を行
った当該セルの次のセル名があればステップ203に戻
り、当該次のセル名を持つセルを対象として出力端子の
検出を行う(ステップ204、210)。セル名リスト
に次のセル名がなければ、処理を終了する。
On the other hand, if the pin name registered in the pin name list cannot be detected, the cell name list is checked, and if there is a cell name next to the processed cell, the flow returns to step 203, and the next cell An output terminal is detected for a cell having a name (steps 204 and 210). If there is no next cell name in the cell name list, the process ends.

【0033】ピン名を検出した場合、次に、接続ネット
検出部223が、ピン名リスト内の一つのピンのネット
を検出する。最初の検出処理では、ピン名リストの最初
のピン名を持つピンがネット検出の対象となる。2回目
以降の検出処理では、ピン名リスト内において、最後に
検出処理を行ったピンの次のピン名を持つピンがネット
検出の対象となる。対象となるピンに配線が接続されて
いる場合、その配線名を抽出してネット名リストに登録
する(ステップ205)。配線名を検出しネット名リス
トに登録した場合は、置換禁止属性付加部224の処理
に移行する。
When the pin name is detected, next, the connection net detection unit 223 detects the net of one pin in the pin name list. In the first detection process, the pin having the first pin name in the pin name list is a target of the net detection. In the second and subsequent detection processes, a pin having a pin name next to the pin that has been subjected to the last detection process in the pin name list is subjected to net detection. If a wiring is connected to the target pin, the wiring name is extracted and registered in the net name list (step 205). When the wiring name is detected and registered in the net name list, the processing shifts to the processing of the replacement prohibition attribute adding unit 224.

【0034】一方、ネット名リストに登録する配線名を
検出できなかった場合は、ピン名リストを調べ、処理を
行った当該ピンの次のピン名があればステップ205に
戻り、当該次のピン名を持つピンを対象として接続ネッ
トの検出を行う(ステップ206、209)。ピン名リ
ストに次のピン名がなければ、セル名リストに当該処理
を行ったセルの次のセル名があるかどうかを調べ、次の
セル名があれば、ステップ203以降の処理を繰り返す
(ステップ209、210)。セル名リストに次のセル
名がなければ、処理を終了する。
On the other hand, if the wiring name registered in the net name list cannot be detected, the pin name list is checked, and if there is a pin name next to the processed pin, the process returns to step 205 and the next pin Connection nets are detected for pins having names (steps 206 and 209). If there is no next pin name in the pin name list, it is checked whether or not the cell name list has a cell name next to the cell subjected to the process. If there is a next cell name, the processing after step 203 is repeated ( Steps 209 and 210). If there is no next cell name in the cell name list, the process ends.

【0035】ネット名を検出した場合、次に、置換禁止
属性付加部224は、ネット名リスト内の1つのネット
を選択して置換禁止属性を付加する(ステップ20
7)。最初の処理においては、ネット名リストの最初の
ネット名を持つネットが置換禁止属性付加の対象とな
る。2回目以降の処理においては、ネット名リスト内に
おいて、最後に置換禁止属性の付加を行ったネットの次
のネット名を持つネットが置換禁止属性の付加の対象と
なる。そして、当該ネット名リスト中の全てのネットに
ついて置換禁止属性を付加するまでステップ207の処
理を繰り返す(ステップ208)。
When the net name is detected, the replacement prohibition attribute adding section 224 selects one net in the net name list and adds the replacement prohibition attribute (step 20).
7). In the first process, the net having the first net name in the net name list is to be subjected to the replacement prohibition attribute addition. In the second and subsequent processes, the net having the net name next to the net to which the replacement prohibition attribute has been added last in the net name list is to be added with the replacement prohibition attribute. Then, the process of step 207 is repeated until the replacement prohibition attribute is added to all nets in the net name list (step 208).

【0036】全てのネットについて置換禁止属性を付加
したならば、ピン名リストに当該処理を行ったピンの次
のピン名があるかどうかを調べ、次のピン名があれば、
ステップ205以降の処理を繰り返す(ステップ20
8、209)。ピン名リストに次のピン名がなければ、
さらに、セル名リストに当該処理を行ったセルの次のセ
ル名があるかどうかを調べ、次のセル名があれば、ステ
ップ203以降の処理を繰り返す(ステップ209、2
10)。セル名リストに次のセル名がなければ、処理を
終了する。
When the replacement prohibition attribute is added to all nets, it is checked whether or not the pin name list has a pin name next to the pin subjected to the processing.
The processing after step 205 is repeated (step 20
8, 209). If there is no next pin name in the pin name list,
Further, it is checked whether or not the cell name list has a cell name next to the cell on which the processing has been performed.
10). If there is no next cell name in the cell name list, the process ends.

【0037】論理合成部23は、ゲート間ネット保護部
22の出力に基づいて、当該論理回路を論理合成する。
このとき、置換禁止属性が付加されたセル及びネットに
ついては、論理合成の際に他のセルへの置換及び他のネ
ットへの接続をしない。
The logic synthesis section 23 performs logic synthesis of the logic circuit based on the output of the inter-gate net protection section 22.
At this time, the cells and nets to which the replacement prohibition attribute is added are not replaced with other cells or connected to other nets during logic synthesis.

【0038】論理最適化部23は、論理合成部22から
の出力に基づいて、論理合成された論理回路を最適化す
る。このとき、ゲート間ネット保護部22によって論理
回路のゲート及びネットに付加された制約に応じて最適
化を行う。
The logic optimizing unit 23 optimizes the logic circuit that has undergone logic synthesis based on the output from the logic synthesizing unit 22. At this time, optimization is performed by the inter-gate net protection unit 22 according to the constraints added to the gates and nets of the logic circuit.

【0039】出力フォーマット変換部24は、論理最適
化部23からの出力に基づいて、最適化された論理回路
をゲートレベルで記述された論理回路に変換してEDI
F形式の論理合成結果ファイル30を出力する。
The output format conversion unit 24 converts the optimized logic circuit into a logic circuit described at the gate level based on the output from the logic optimization unit 23, and
The logic synthesis result file 30 in the F format is output.

【0040】以上のように、本実施例によれば、置換禁
止属性が付加されたセルに基づいて他のネットへの接続
を禁止するネットの検出を行うので、予め対象となる全
てのネットに置換禁止属性を記述しておかなくても、ハ
ードウェア記述ファイル10においてセルに置換禁止属
性を記述するだけで、予備回路に対する配線使用の制限
を容易に設定できる。
As described above, according to the present embodiment, the detection of a net for which connection to another net is prohibited is performed based on the cell to which the replacement prohibition attribute is added. Even if the replacement prohibition attribute is not described, it is possible to easily set the restriction on the use of the wiring for the spare circuit only by describing the replacement prohibition attribute in the cell in the hardware description file 10.

【0041】次に、具体的な論理回路の記述例を参照し
て、本実施例の動作を詳細に説明する。
Next, the operation of this embodiment will be described in detail with reference to a specific description example of a logic circuit.

【0042】図3は、設計対象論理回路をハードウェア
記述言語で記述した例を示す図である。図示の記述は、
ハードウェア記述ファイル10の一部であり、正規回路
のハードウェア記述部分と予備回路の記述部分とを備え
ている。ハードウェア記述上の「//synthesi
s dont_replace G11」は、本記述例
において、ゲートG11に置換禁止属性が付加されてい
ることを示す。図示のように、予備回路を構成するセル
G11、G12、G13には、それぞれ置換禁止属性が
付加されている。また、正規回路を構成するのセルG0
1、G02には、置換禁止属性が付加されていない。
FIG. 3 is a diagram showing an example in which a logic circuit to be designed is described in a hardware description language. The description shown is
It is a part of the hardware description file 10 and includes a hardware description part of a regular circuit and a description part of a spare circuit. "// synthesi" on the hardware description
"s dont_replace G11" indicates that the replacement prohibition attribute is added to the gate G11 in the present description example. As shown in the drawing, the cells G11, G12, and G13 constituting the spare circuit are each provided with a replacement prohibition attribute. Also, the cell G0 constituting the normal circuit
1. No replacement prohibition attribute is added to G02.

【0043】図5は、本実施例におけるゲート間ネット
保護部22の動作をコマンドを用いて実施する例を示す
図である。以下、図5を参照してゲート間ネット保護部
22の動作を説明する。
FIG. 5 is a diagram showing an example in which the operation of the gate-to-gate net protection unit 22 in this embodiment is implemented using a command. Hereinafter, the operation of the inter-gate net protection unit 22 will be described with reference to FIG.

【0044】第1に、置換禁止ゲート検出部221によ
り置換禁止ゲートの検出を行う。まず、「cells_
list<select(″*″,cell,dont
_replace==true)」の行において、入力
された論理回路の全てのセルG01、G02、・・・、
G11、G12、G13、・・・を対象に、置換禁止属
性であるdont_replaceが付加されたセルが
あるか調べる。置換禁止属性dont_replace
が付加されたセルがあれば、そのセル名をセル名リスト
cells_listに登録する(図2、ステップ20
1参照)。予備回路のセルG11,G12,G13には
置換禁止属性が付加されているため、cells_li
stには、G11,G12,G13が登録される。
First, the replacement prohibited gate detection unit 221 detects the replacement prohibited gate. First, "cells_
list <select ("*", cell, dont
_Replace == true) ”, all the cells G01, G02,.
It is checked whether there is a cell to which G11, G12, G13,. Replacement prohibition attribute dont_place
Is added to the cell name list cells_list (FIG. 2, step 20).
1). Since the replacement prohibition attribute is added to the cells G11, G12, and G13 of the spare circuit, cells_li
In st, G11, G12, and G13 are registered.

【0045】次に、「if(cells_list !
=″″){}」の行において、cells_list内
のセル名の有無により条件分岐する(図2、ステップ2
02参照)。cells_listには、G11、G1
2及びG13が登録されているため、{}内のコマンド
が実行される。仮に、cells_listが空白の場
合、置換禁止ゲートの検出処理が終了し、続いて論理合
成部23による論理合成が実行される。
Next, "if (cells_list!
= “″)}”, A conditional branch is performed depending on the presence or absence of a cell name in cells_list (FIG. 2, step 2)
02). G11, G1 in cells_list
2 and G13 are registered, the command in the parentheses is executed. If the cells_list is blank, the replacement prohibition gate detection process ends, and the logic synthesis by the logic synthesis unit 23 is subsequently performed.

【0046】第2に、出力端子検出部222により出力
端子の検出を行う。まず、「foreach(acel
l,cells_list){}」の行において、最初
は、cells_list内の最初のセルG11を選択
して変数acellに代入する。
Second, an output terminal is detected by the output terminal detection section 222. First, "foreach (acel
(1, cells_list)} ”, first, the first cell G11 in the cells_list is selected and assigned to the variable acell.

【0047】次に、「pins_list<selec
t(acell,pin,pin_type==out
||pin_type==inout)」の行におい
て、acellに代入されたセルG11を対象として、
出力を示すoutの形式の端子または入出力を示すin
outの形式の端子があるか調べる。該当する端子があ
れば、そのピン名をピン名リストpins_listに
登録する(図2、ステップ203参照)。ここで、in
verterゲートの端子i1はin形式であり、o1
はout形式であるとする。同様に、nandゲートの
端子i1、i2及びi3はin形式であり、o1はou
t形式であるとする。また、norゲートの端子i1、
i2及びi3はin形式であり、o1はout形式であ
るとする。セルG11の端子o1は、出力を示すout
形式のため、pins_listには、o1が登録され
る。
Next, "pins_list <select"
t (acell, pin, pin_type == out
|| pin_type == inout)], for the cell G11 assigned to acell,
A terminal in the form of out indicating output or in indicating input / output
Check if there is a terminal of the form out. If there is a corresponding terminal, its pin name is registered in a pin name list pins_list (see step 203 in FIG. 2). Where in
The terminal i1 of the verter gate is in type, and o1
Is in the out format. Similarly, the terminals i1, i2 and i3 of the nand gate are in type, and o1 is ou
It is assumed that the format is t. Also, the terminal i1 of the nor gate,
It is assumed that i2 and i3 are in format and o1 is in out format. A terminal o1 of the cell G11 is connected to an output out indicating an output.
Because of the format, o1 is registered in pins_list.

【0048】次に、「if(pins_list!
=″″){}」の行において、pins_list内の
セル名の有無により条件分岐する(図2、ステップ20
4参照)。pins_listには、o1が登録されて
いるため、{}内のコマンドが実行される。仮に、pi
ns_listが空白の場合、次のセル名の検索に移行
する(図2、ステップ210参照)。
Next, "if (pins_list!
= “″)}”, A conditional branch is performed depending on the presence or absence of a cell name in pins_list (FIG. 2, step 20).
4). Since o1 is registered in the pins_list, the command in parentheses is executed. Suppose, pi
If ns_list is blank, the process proceeds to the search for the next cell name (see step 210 in FIG. 2).

【0049】第3に、接続ネット検出部223により接
続ネットの検出を行う。まず、「foreach(ap
in,pins_list){}」の行において、最初
は、pins_list内における最初のピンo1を選
択して変数apinに代入する。
Third, a connection net is detected by the connection net detection unit 223. First, "foreach (ap
(in, pins_list)} ”, first, the first pin o1 in the pins_list is selected and assigned to the variable apin.

【0050】次に、「nets_list<selec
t(apin,net)」の行において、apinに代
入されたピンo1を対象として、当該ピンo1に接続さ
れているネットがあるか調べる。接続されているネット
があれば、そのネット名をネット名リストnets_l
istに登録する(図2、ステップ205参照)。端子
o1には、ネットn11が接続されているため、net
s_listにはn11が登録される。
Next, “nets_list <select”
In the row of “t (apin, net)”, it is checked whether there is a net connected to the pin o1 with respect to the pin o1 assigned to apin. If there is a connected net, the net name is written in net name list nets_l
is registered (see FIG. 2, step 205). Since the net n11 is connected to the terminal o1,
n11 is registered in s_list.

【0051】次に、「if(nets_list!
=″″){}」の行において、nets_list内の
ネット名の有無により条件分岐する(図2、ステップ2
06参照)。nets_listには、n11が登録さ
れているため、{}内のコマンドが実行される。仮に、
nets_listが空白の場合、次のピン名の検索に
移行する(図2、ステップ209)。
Next, “if (nets_list!
= “″)}”, A conditional branch is performed depending on the presence or absence of a net name in nets_list (FIG. 2, step 2)
06). Since n11 is registered in nets_list, the commands in the parentheses are executed. what if,
If the nets_list is blank, the process moves to the search for the next pin name (FIG. 2, step 209).

【0052】第4に、置換禁止属性付加部224により
配線に置換禁止属性の付加を行う。まず、「forea
ch(anet,nets_list){}」の行にお
いて、最初は、nets_list内の最初の配線n1
1を選択して変数anetに代入する。
Fourth, the replacement prohibition attribute adding section 224 adds a replacement prohibition attribute to the wiring. First, "forea
ch (anet, nets_list)} ”, the first line n1 in the nets_list
Select 1 and substitute it for the variable anet.

【0053】次に、「set_dont_replac
e(net,anet)において、anetに代入され
たネットn11を対象として、論理合成時に配線の変更
を禁止する属性を付加する(図2、ステップ207参
照)。
Next, "set_dont_replac"
In e (net, anet), an attribute is added to the net n11 assigned to the anet for prohibiting the change of the wiring at the time of logic synthesis (see step 207 in FIG. 2).

【0054】第5に、次のネット名の検索に移行する
(図2、ステップ208参照)。「foreach(a
net,nets_list){}」の行において、n
ets_list内には、配綾n11しかないため、処
理は終了して、次のピン名の検索に移行する(図2、ス
テップ209参照)。仮に、nets_list内にネ
ット名が複数有る場合は、第4の動作に戻り、次のネッ
ト名を選択して変数anetに代入し、当該配線に置換
禁止属性を付加する。
Fifth, the process proceeds to the search for the next net name (see step 208 in FIG. 2). "Foreach (a
net, nets_list)}, n
Since there is only the distribution n11 in the ets_list, the process ends, and the process proceeds to the search for the next pin name (see step 209 in FIG. 2). If there are a plurality of net names in the nets_list, the process returns to the fourth operation, the next net name is selected and assigned to the variable anet, and a replacement prohibition attribute is added to the wiring.

【0055】第6に、次のピン名の検索に移行する。
「foreach(apin,pins_lis
t){}」の行において、pins_list内には、
配線o1しかないため、処理は終了して、次のセル名の
検索に移行する(図2、ステップ210参照)。仮に、
pins_list内にピン名が複数有る場合は、第3
の動作に戻り、次のピン名を選択して変数apinに代
入し、当該ピンについて接続ネットの検出を行う。
Sixth, the processing shifts to the search for the next pin name.
"Foreach (apin, pins_lis
t) {} ”, in pins_list,
Since there is only the wiring o1, the process ends, and the process proceeds to the search for the next cell name (see step 210 in FIG. 2). what if,
If there are multiple pin names in the pins_list, the third
Returning to the operation of (1), the next pin name is selected and assigned to the variable apin, and a connection net is detected for the pin.

【0056】第7に、次のセル名の検索に移行する。ま
ず、「foreach(acell,cell_lis
t){}」の行において、cells_list内に
は、セル名G11,G12,G13が代入されているた
め、処理の終了したセル名G11の次のセル名G12を
選択して変数acellに代入する。そして、セル名G
12を対象として上記第2から第7の動作を行い、セル
名G12の出力端子o1に接続されているネットn12
に対し、論理合成時に配線の変更を禁止する属性を付加
する。
Seventh, the process proceeds to the search for the next cell name. First, "foreach (acell, cell_lis
In the row “t) {}”, the cell names G11, G12, and G13 are substituted in the cells_list. Therefore, the cell name G12 next to the cell name G11 for which the processing has been completed is selected and substituted into the variable acell. . And cell name G
Perform the above-described second to seventh operations on the net n12 connected to the output terminal o1 of the cell name G12.
, An attribute that prohibits the change of the wiring at the time of logic synthesis is added.

【0057】次に、同様にして、セル名G13を対象と
して上記第2から第7の処理を行い、セル名G13の出
力端子o1に接続されているネットn13に対し、論理
合成時に配線の変更を禁止する属性を付加する。
Next, similarly, the above-described second to seventh processes are performed on the cell name G13 to change the wiring of the net n13 connected to the output terminal o1 of the cell name G13 at the time of logic synthesis. Add an attribute that prohibits.

【0058】最後に、「foreach(acell,
celllist){}」の行において、cells_
list内には、セル名G13の次のセル名が無いた
め、処理を終了する(図2、ステップ210参照)す
る。そして、論理合成部23による論理合成へ移行す
る。
Finally, "foreach (acell,
celllist)} "line, cells_
Since there is no cell name next to the cell name G13 in the list, the process ends (see step 210 in FIG. 2). Then, the process proceeds to logic synthesis by the logic synthesis unit 23.

【0059】論理合成部23は、ゲート間ネット保護部
22から出力された論理回路の論理合成を行う。この
際、置換禁止属性が付加されているセルG11、G1
2、G13は、他のセルへの置換をしない。また、置換
禁止属性が付加されているネットn11、n12、n1
3は、ハードウェア記述ファイル10上で接続を記述し
ているネット以外の他のネットへの接続をしない。論理
最適化部23は、論理合成部22の出力に含まれる制約
に応じて論理回路の最適化を行う。
The logic synthesis section 23 performs logic synthesis of the logic circuit output from the inter-gate net protection section 22. At this time, cells G11 and G1 to which the replacement prohibition attribute is added
2. G13 does not replace with another cell. Also, nets n11, n12, n1 to which the replacement prohibition attribute is added
No. 3 does not connect to a net other than the net describing the connection on the hardware description file 10. The logic optimization unit 23 optimizes the logic circuit according to the constraints included in the output of the logic synthesis unit 22.

【0060】以上のようにして、予備回路を構成するセ
ルG11、G12、G13がハードウェア記述ファイル
10上で接続を記述している以外の他のネットへ接続さ
れずに、論理回路が論理合成される。
As described above, the cells G11, G12, and G13 constituting the spare circuit are not connected to other nets other than those whose connection is described in the hardware description file 10, and the logic circuit is logically synthesized. Is done.

【0061】以上好ましい実施例をあげて本発明を説明
したが、本発明は必ずしも上記実施例に限定されるもの
ではない。
Although the present invention has been described with reference to the preferred embodiments, the present invention is not necessarily limited to the above embodiments.

【0062】[0062]

【発明の効果】以上説明したように、本発明の論理合成
装置によれば、論理合成前に所望のネットに対して論理
合成の際にネットの変更を禁止する制限を付加すること
が可能である。したがって、予備回路のネットをハード
ウェア記述ファイルで記述しているネット以外に正規回
路の論理と接続させることなく論理回路の論理合成を行
うことができる。これにより、本発明により論理合成さ
れた予備回路を含む論理回路では、回路設計後の回路の
修正を行う場合に予備回路を容易に使用でき、作業工数
を削減できるという効果がある。
As described above, according to the logic synthesizing apparatus of the present invention, it is possible to add a restriction for prohibiting a net from being changed during logic synthesis on a desired net before logic synthesis. is there. Therefore, logic synthesis of the logic circuit can be performed without connecting the net of the spare circuit to the logic of the normal circuit other than the net described in the hardware description file. As a result, in a logic circuit including a spare circuit logically synthesized according to the present invention, the spare circuit can be easily used when the circuit after circuit design is corrected, and the number of work steps can be reduced.

【0063】また、セル名に置換禁止属性が付加されて
いるセルを検出して、セルの出力端子に接続されている
ネットを検出し、自動的にネットに置換禁止属性を付加
するため、予め対象となる全てのネットに置換禁止属性
を記述しておかなくても、ハードウェア記述ファイルに
おいてセルに置換禁止属性を記述するだけで、予備回路
部分の配線使用の制限を容易に設定することできるとい
う効果がある。
In order to detect a cell in which the replacement prohibition attribute is added to the cell name, detect a net connected to the output terminal of the cell, and automatically add the replacement prohibition attribute to the net, Even if the replacement prohibition attribute is not described in all the target nets, it is possible to easily set the restriction on the use of the wiring of the spare circuit portion simply by describing the replacement prohibition attribute in the cell in the hardware description file. This has the effect.

【0064】さらに、予備回路部のネットに置換禁止属
性を追加する為に必要な工数の増加がないため、設計作
業の効率の向上を図ることができる。
Further, since there is no increase in the number of steps required for adding the replacement prohibition attribute to the net of the spare circuit section, the efficiency of the design work can be improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の1実施例による論理合成装置の構成
を示すブロック図である。
FIG. 1 is a block diagram showing a configuration of a logic synthesis device according to one embodiment of the present invention.

【図2】 ゲート間ネット保護部の動作を示すフローチ
ャートである。
FIG. 2 is a flowchart illustrating an operation of an inter-gate net protection unit.

【図3】 設計対象論理回路のハードウェア記述言語に
よる記述例を示す図である。
FIG. 3 is a diagram illustrating a description example of a design target logic circuit in a hardware description language.

【図4】 図3のハードウェア記述言語で記述した内容
に対応する回路図の構成例を示す図である。
FIG. 4 is a diagram showing a configuration example of a circuit diagram corresponding to contents described in the hardware description language of FIG. 3;

【図5】 ゲート間ネット保護部の動作をコマンドを用
いて実施する例を示す図である。
FIG. 5 is a diagram illustrating an example in which the operation of the inter-gate net protection unit is performed using a command.

【図6】 従来の論理合成装置の構成を示すブロック図
である。
FIG. 6 is a block diagram illustrating a configuration of a conventional logic synthesis device.

【符号の説明】[Explanation of symbols]

10 ハードウェア記述ファイル 20 論理合成装置 21 入力解析部 22 ゲート間ネット保護部 23 論理合成部 24 論理最適化部 25 出力フォーマット変換部 30 論理合成結果ファイル 221 置換禁止ゲート検出部 222 出力端子検出部 223 接続ネット検出部 224 置換禁止属性付加部 DESCRIPTION OF SYMBOLS 10 Hardware description file 20 Logic synthesizer 21 Input analysis part 22 Net protection part between gates 23 Logic synthesis part 24 Logic optimization part 25 Output format conversion part 30 Logic synthesis result file 221 Replacement prohibition gate detection part 222 Output terminal detection part 223 Connection net detection unit 224 Replacement prohibition attribute addition unit

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.6,DB名) G06F 17/50 H01L 21/82 JICSTファイル(JOIS) 特許ファイル(PATOLIS)──────────────────────────────────────────────────続 き Continued on the front page (58) Fields investigated (Int. Cl. 6 , DB name) G06F 17/50 H01L 21/82 JICST file (JOIS) Patent file (PATOLIS)

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 ハードウェア記述言語で記述された論理
回路のファイルを入力し、ゲートレベルで記述された論
理回路に変換して論理合成結果ファイルを出力する論理
合成装置において、 ハードウェア記述言語で記述された論理回路のファイル
を入力し、記述されている論理回路の構造を解析する入
力解析手段と、 前記入力解析手段の解析結果に基づいて、前記論理回路
から置換禁止属性が付加されているセルを検出し、該セ
ルの出力端子に接続されているネットを検出し、該ネッ
トに自動的に置換禁止属性を付加するゲート間ネット保
護手段と、 前記ゲート間ネット保護手段により置換禁止属性の付加
された論理回路について論理合成を行う論理合成手段
と、 前記論理合成手段により論理合成された論理回路を最適
化する論理最適化手段と、 前記論理最適化手段によって最適化された論理回路をゲ
ートレベルで記述された論理回路に変換し論理合成結果
ファイルを出力する出力フォーマット変換手段とを備え
ることを特徴とする論理合成装置。
1. A logic synthesis device that inputs a file of a logic circuit described in a hardware description language, converts the file into a logic circuit described at a gate level, and outputs a logic synthesis result file. An input analysis unit for inputting a file of the described logic circuit and analyzing the structure of the described logic circuit; and a replacement prohibition attribute is added from the logic circuit based on an analysis result of the input analysis unit. Detecting a cell, detecting a net connected to an output terminal of the cell, and automatically adding a replacement prohibition attribute to the net; an inter-gate net protection unit; Logic synthesis means for performing logic synthesis on the added logic circuit, and logic optimization means for optimizing the logic circuit synthesized by the logic synthesis means , Logic synthesis device characterized by comprising an output format converting means for outputting the converted logic circuit optimized by the logic optimization means to the logic circuit described in the gate-level logic synthesis result file.
【請求項2】 前記ゲート間ネット保護手段が、 前記入力解析手段によって解析された論理回路から置換
禁止ゲートを検出してセル名を抽出する置換禁止ゲート
検出手段と、 前記置換ゲート検出手段によって抽出されたセル名を有
するセルの出力端子を検出してピン名を抽出する出力端
子検出手段と、 前記出力端子検出手段によって抽出されたピン名を有す
るピンの接続ネットを検出してネット名を抽出する接続
ネット検出手段と、 前記接続ネット検出手段によって抽出されたネット名を
有するネットに置換禁止属性を付加する置換禁止属性付
加手段とを備えることを特徴とする請求項1に記載の論
理合成装置。
2. The replacement gate detection means for detecting a replacement inhibition gate from a logic circuit analyzed by the input analysis means and extracting a cell name, wherein the replacement gate detection means extracts the cell name. Output terminal detecting means for detecting an output terminal of a cell having the selected cell name and extracting a pin name, and detecting a connection net of the pin having the pin name extracted by the output terminal detecting means to extract a net name 2. The logic synthesizing apparatus according to claim 1, further comprising: a connection net detecting unit that performs a replacement prohibition attribute on the net having the net name extracted by the connection net detection unit. .
【請求項3】 前記論理合成手段が、置換禁止属性が付
加されているセルを他のセルへ置換せず、かつ置換禁止
属性が付加されているネットを、前記ハードウェア記述
ファイル上で接続を記述しているネット以外の他のネッ
トへ接続せずに、前記ゲート間ネット保護手段から出力
された論理回路の論理合成を行い、 前記論理最適化手段が、前記論理合成手段の出力に含ま
れる制約に反することなく論理回路の最適化を行うこと
を特徴とする請求項1または請求項2に記載の論理合成
装置。
3. The logic synthesizing unit does not replace a cell to which a replacement prohibition attribute is added with another cell and connects a net to which the replacement prohibition attribute is added on the hardware description file. Perform logic synthesis of the logic circuit output from the inter-gate net protection means without connecting to other nets other than the described net; and the logic optimization means is included in the output of the logic synthesis means. 3. The logic synthesis apparatus according to claim 1, wherein the logic circuit is optimized without violating restrictions.
【請求項4】 ハードウェア記述言語で記述された論理
回路のファイルを入力し、ゲートレベルで記述された論
理回路に変換して論理合成結果ファイルを出力する論理
合成方法において、 ハードウェア記述言語で記述された論理回路のファイル
を入力し、記述されている論理回路の構造を解析する第
1のステップと、 前記入力解析手段の解析結果に基づいて、前記論理回路
から置換禁止属性が付加されているセルを検出し、該セ
ルの出力端子に接続されているネットを検出し、該ネッ
トに自動的に置換禁止属性を付加する第2のステップ
と、 前記ゲート間ネット保護手段により置換禁止属性の付加
された論理回路について論理合成を行う第3のステップ
と、 前記論理合成手段により論理合成された論理回路を最適
化する第4のステップと、 前記論理最適化手段により最適化された論理回路をゲー
トレベルで記述された論理回路に変換し論理合成結果フ
ァイルを出力する第5のステップとを含むことを特徴と
する論理合成方法。
4. A logic synthesis method for inputting a file of a logic circuit described in a hardware description language, converting the file into a logic circuit described at a gate level, and outputting a logic synthesis result file, comprising the steps of: A first step of inputting a file of the described logic circuit and analyzing the structure of the described logic circuit; and adding a replacement prohibition attribute from the logic circuit based on an analysis result of the input analysis means. A second step of detecting a cell connected, detecting a net connected to an output terminal of the cell, and automatically adding a replacement prohibition attribute to the net; A third step of performing logic synthesis on the added logic circuit; a fourth step of optimizing the logic circuit synthesized by the logic synthesis unit; Serial logic synthesis method characterized in that it comprises a fifth step of outputting the optimized converts a logic circuit to the logic circuit described in the gate-level logic synthesis result file by the logic optimization means.
【請求項5】 前記所定のゲート間ネットに置換禁止属
性を付加する第2のステップが、 前記入力解析手段によって解析された論理回路から置換
禁止ゲートを検出してセル名を抽出するステップと、 前記置換ゲート検出手段によって抽出されたセル名を有
するセルの出力端子を検出してピン名を抽出するステッ
プと、 前記出力端子検出手段によって抽出されたピン名を有す
るピンの接続ネットを検出してネット名を抽出するステ
ップと、 前記接続ネット検出手段によって抽出されたネット名を
有するネットに置換禁止属性を付加するステップとを含
むことを特徴とする請求項4に記載の論理合成方法装
置。
5. A second step of adding a replacement prohibition attribute to the predetermined inter-gate net, comprising: detecting a replacement prohibition gate from a logic circuit analyzed by the input analysis means and extracting a cell name; Detecting the output terminal of the cell having the cell name extracted by the replacement gate detecting means to extract the pin name; and detecting the connection net of the pin having the pin name extracted by the output terminal detecting means. 5. The logic synthesis method apparatus according to claim 4, further comprising the steps of: extracting a net name; and adding a replacement prohibition attribute to the net having the net name extracted by the connected net detection unit.
JP8271630A 1996-09-20 1996-09-20 Logic synthesis device and logic synthesis method Expired - Fee Related JP2897737B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8271630A JP2897737B2 (en) 1996-09-20 1996-09-20 Logic synthesis device and logic synthesis method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8271630A JP2897737B2 (en) 1996-09-20 1996-09-20 Logic synthesis device and logic synthesis method

Publications (2)

Publication Number Publication Date
JPH1097563A JPH1097563A (en) 1998-04-14
JP2897737B2 true JP2897737B2 (en) 1999-05-31

Family

ID=17502757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8271630A Expired - Fee Related JP2897737B2 (en) 1996-09-20 1996-09-20 Logic synthesis device and logic synthesis method

Country Status (1)

Country Link
JP (1) JP2897737B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009039909A1 (en) 2008-09-05 2010-04-22 Nec Electronics Corp., Kawasaki A method for generating a layout pattern of a semiconductor device and apparatus for generating a layout pattern

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021157723A (en) * 2020-03-30 2021-10-07 日本電気株式会社 Data processing device, processing system, data processing method, and program

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009039909A1 (en) 2008-09-05 2010-04-22 Nec Electronics Corp., Kawasaki A method for generating a layout pattern of a semiconductor device and apparatus for generating a layout pattern
US8312397B2 (en) 2008-09-05 2012-11-13 Renesas Electronics Corporation Method for generating layout pattern of semiconductor device and layout pattern generating apparatus

Also Published As

Publication number Publication date
JPH1097563A (en) 1998-04-14

Similar Documents

Publication Publication Date Title
US5333032A (en) Logic design system and method in the same
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US7523029B2 (en) Logic verification and logic cone extraction technique
Tang et al. Multi-patch generation for multi-error logic rectification by interpolation with cofactor reduction
JP2004013720A (en) Method and program for generating timing constraint model for logic circuit and timing-driven layout method using timing constraint model
US6964027B2 (en) System and method for optimizing exceptions
JP2000207440A (en) Device and method for verifying design of semiconductor integrated circuit and storage medium
JP5041882B2 (en) Semiconductor integrated circuit design method and design support apparatus
US6463576B1 (en) Method for designing an ASIC and ASIC designing apparatus
JP2897737B2 (en) Logic synthesis device and logic synthesis method
JP4541196B2 (en) Failure detection improvement device, failure detection improvement program, failure detection improvement method
US6317861B1 (en) Delay verification device for logic circuit and delay verification method therefor
US10460060B2 (en) Checking equivalence between changes made in a circuit definition language and changes in post-synthesis nets
JP4831375B2 (en) Verification device, verification method, and program
JP2967761B2 (en) Logic synthesis method and apparatus
JP3130810B2 (en) Automatic placement and routing method
CN111695321B (en) Circuit design method and related computer program product
JP4779908B2 (en) Circuit design support system, circuit design support method, and program
JP2877528B2 (en) Layout method of semiconductor integrated circuit
JP2842334B2 (en) Layout method
Espejo et al. Logic restructuring for MUX-based FPGAs
Gharehbaghi et al. A new approach for constructing logic functions after ECO
JP2576355B2 (en) Delay optimization method
JP2980761B2 (en) Logic circuit optimization device
CN117634379A (en) Optimization method and system for IC design

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080312

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090312

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100312

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100312

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110312

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110312

Year of fee payment: 12

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110312

Year of fee payment: 12

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110312

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120312

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140312

Year of fee payment: 15

LAPS Cancellation because of no payment of annual fees