JP2757767B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP2757767B2
JP2757767B2 JP6061685A JP6168594A JP2757767B2 JP 2757767 B2 JP2757767 B2 JP 2757767B2 JP 6061685 A JP6061685 A JP 6061685A JP 6168594 A JP6168594 A JP 6168594A JP 2757767 B2 JP2757767 B2 JP 2757767B2
Authority
JP
Japan
Prior art keywords
gas
film
silicon oxide
oxide film
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP6061685A
Other languages
Japanese (ja)
Other versions
JPH07273194A (en
Inventor
亨 久保
哲哉 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP6061685A priority Critical patent/JP2757767B2/en
Publication of JPH07273194A publication Critical patent/JPH07273194A/en
Application granted granted Critical
Publication of JP2757767B2 publication Critical patent/JP2757767B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に半導体装置の層間絶縁膜の形成方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for forming an interlayer insulating film of a semiconductor device.

【0002】[0002]

【従来の技術】半導体装置の高集積化は、これを構成す
る配線の微細化,多層化および配線間隔の縮小化などに
より実現している。層間絶縁膜に要求される特性も、こ
れらに伴なって進展している。層間絶縁膜に要求される
特性は、主に層間絶縁膜の上面に形成される上層配線の
加工性に関わる特性であり、層間絶縁膜自体にクラック
等の発生が無いことと、下地に対する段差被覆性が優れ
て層間絶縁膜の上面が少なくとも滑らかであることと、
隣接する2本の下層配線の空隙部分に対する埋め込み性
が優れていることなどである。下層配線がゲート電極お
よび拡散層からなる場合、上記要求を満足する層間絶縁
膜として、リフローされたPSG膜やBPSG膜があ
る。例えばBPSG膜のリフローには800℃以上の温
度での熱処理が必要であるため、下層配線の主材料がア
ルミ系金属,銅あるいは金である場合には層間絶縁膜と
してBPSG膜やPSG膜は不適当である。
2. Description of the Related Art High integration of a semiconductor device has been realized by miniaturization of wiring constituting the semiconductor device, multi-layering, reduction of wiring intervals, and the like. The characteristics required for the interlayer insulating film are also evolving along with these. The characteristics required for the interlayer insulating film are mainly related to the workability of the upper wiring formed on the upper surface of the interlayer insulating film. That the upper surface of the interlayer insulating film is excellent at least and is smooth,
This is because the embedding property of the gap between two adjacent lower wiring layers is excellent. When the lower wiring is composed of a gate electrode and a diffusion layer, a reflowed PSG film or BPSG film is used as an interlayer insulating film satisfying the above requirements. For example, since the reflow of the BPSG film requires a heat treatment at a temperature of 800 ° C. or more, when the main material of the lower wiring is an aluminum-based metal, copper, or gold, the BPSG film or the PSG film is not used as an interlayer insulating film. Appropriate.

【0003】このようにアルミ系金属,銅あるいは金が
主材料である下層配線の場合、上記要求の一部を満足す
る層間絶縁膜としては、テトラエトキシシラン(Si
(OC2 5 4 ;TEOS)溶液を不活性ガス(例え
ば窒素ガス)でバブリングしたガスとオゾンガスとによ
る熱化学気相成長法(熱CVD)で形成する酸化シリコ
ン膜(以後オゾンTEOS酸化膜と記す)がある。これ
らの熱CVDは、500℃より低い温度で使用できる。
この場合の熱CVDは、常圧化学気相成長法(APCV
D)もしくは減圧化学気相成長法(LPCVD)であ
る。下地基板表面の凹凸が激しい場合でも、この基板表
面に堆積されたオゾンTEOS酸化膜の下地に対する段
差被覆性は優れてその上面は滑らかである。通常のモノ
シラン(SiH4 )ガスと酸素ガスとによるAPCVD
のような無機シランガスを原料ガスの一部に用いるもの
に比べて、この熱CVDのように有機シランガスを原料
ガスの一部に用いる場合には、下地基板表面に吸着され
たTEOS等の反応ガス分子の基板表面における泳動
(マイグレーション)(この泳動は酸化シリコンになる
までの間に行なわれる泳動)が盛んなためである。
As described above, in the case of the lower wiring in which aluminum-based metal, copper or gold is a main material, tetraethoxysilane (Si) is used as an interlayer insulating film satisfying a part of the above requirements.
(OC 2 H 5 ) 4 ; TEOS) A silicon oxide film (hereinafter referred to as an ozone TEOS oxide film) formed by a thermal chemical vapor deposition method (thermal CVD) using a gas obtained by bubbling a solution with an inert gas (for example, nitrogen gas) and an ozone gas. There is). These thermal CVDs can be used at temperatures below 500 ° C.
The thermal CVD in this case is performed by an atmospheric pressure chemical vapor deposition (APCV) method.
D) or low pressure chemical vapor deposition (LPCVD). Even when the surface of the underlying substrate has severe irregularities, the ozone TEOS oxide film deposited on the surface of the substrate has excellent step coverage with respect to the underlying surface and its upper surface is smooth. APCVD using ordinary monosilane (SiH 4 ) gas and oxygen gas
When an organic silane gas is used as a part of the source gas as in this thermal CVD, as compared with the case where an inorganic silane gas is used as a part of the source gas, a reactive gas such as TEOS adsorbed on the base substrate surface is used. This is because electrophoresis (migration) of molecules on the substrate surface (this electrophoresis is performed until silicon oxide is formed) is active.

【0004】半導体基板がシリコン基板からなる場合、
上記オゾンTEOS酸化膜はシリコン基板に対して10
8 Pa台の引張り応力を有することが知られている。こ
のため、層間絶縁膜をオゾンTEOS酸化膜のみから形
成すると、クラックが発生しやすくなる。これの対策法
の一つが例えば特開平3−29345号公報に開示され
ている。半導体装置の模式断面図である図9を参照し
て、上記公開公報記載の構成の要旨を模式的に説明する
と、次のようになっている。
When the semiconductor substrate is made of a silicon substrate,
The above-mentioned ozone TEOS oxide film has a thickness of 10
It is known to have a tensile stress on the order of 8 Pa. Therefore, if the interlayer insulating film is formed only of the ozone TEOS oxide film, cracks are likely to occur. One of the countermeasures against this is disclosed in, for example, JP-A-3-29345. With reference to FIG. 9 which is a schematic cross-sectional view of a semiconductor device, the gist of the configuration described in the above publication is schematically described as follows.

【0005】下地絶縁膜311を介して半導体基板30
1上には、所望の幅と所望の間隔とを有した複数の下層
配線321が設けられている。これら下層配線321は
層間絶縁膜により覆われ、層間絶縁膜表面上には上層配
線361が設けられている。この層間絶縁膜は、TEO
S溶液を不活性ガスでバブリングしたガスと酸素ガスと
を原料ガスとするプラズマ励起化学気相成長法(PEC
VD)による所定膜厚の酸化シリコン膜(以後プラズマ
TEOS酸化膜と記す)と、所定膜厚のオゾンTEOS
酸化膜とが交互に積層されて成る。このPECVDも5
00℃より低い温度で使用できる。この層間絶縁膜の構
造をさらに模式的に説明すると、下層配線321の上面
および側面を直接に覆う酸化シリコン膜331と上層配
線361の底面が直接に接触する酸化シリコン膜333
とはプラズマTEOS酸化膜であり、酸化シリコン膜3
33と酸化シリコン膜331とに挟まれた酸化シリコン
膜332はオゾンTEOS酸化膜である。酸化シリコン
膜331,333は、例えばシリコン基板に対しては圧
縮応力を有している。このため、酸化シリコン膜33
1,酸化膜シリコン332および酸化シリコン膜333
が積層してなる層間絶縁膜では応力の緩和がなされて、
クラックの発生は抑制される。
The semiconductor substrate 30 is interposed via a base insulating film 311.
A plurality of lower layer wirings 321 having a desired width and a desired interval are provided on 1. These lower wirings 321 are covered with an interlayer insulating film, and an upper wiring 361 is provided on the surface of the interlayer insulating film. This interlayer insulating film is made of TEO
Plasma excited chemical vapor deposition (PEC) using a gas obtained by bubbling an S solution with an inert gas and an oxygen gas as source gases.
VD), a silicon oxide film having a predetermined thickness (hereinafter referred to as a plasma TEOS oxide film) and an ozone TEOS having a predetermined thickness.
Oxide films are alternately stacked. This PECVD is also 5
Can be used at temperatures below 00 ° C. The structure of the interlayer insulating film will be described more schematically. The silicon oxide film 331 that directly covers the upper surface and the side surface of the lower wiring 321 and the silicon oxide film 333 in which the bottom surface of the upper wiring 361 is in direct contact
Is a plasma TEOS oxide film, and a silicon oxide film 3
The silicon oxide film 332 sandwiched between the silicon oxide film 33 and the silicon oxide film 331 is an ozone TEOS oxide film. The silicon oxide films 331 and 333 have a compressive stress on a silicon substrate, for example. Therefore, the silicon oxide film 33
1, silicon oxide film 332 and silicon oxide film 333
In the interlayer insulating film formed by laminating, the stress is relaxed,
The generation of cracks is suppressed.

【0006】[0006]

【発明が解決しようとする課題】オゾンTEOS酸化膜
は、膜中に残留する水分の量が無視できないことと、水
蒸気の吸着性が高いという特性がある。本発明者らの測
定によると、例えば400℃で成膜した直後のオゾンT
EOS酸化膜の場合、−OH基(波数3400cm-1
の赤外吸収(FT−IR)スペクトルの吸収係数は18
0cm-1程度(熱酸化による酸化シリコン膜,プラズマ
TEOS酸化膜および無機シランガスを原料ガスの一部
に用いた熱CVDによる酸化シリコン膜等では、このF
T−IRの吸収係数は測定限界より小さい)である。水
分の存在のため、この成膜直後のオゾンTEOS酸化膜
の1MHzでの比誘電率εr (但し、間接的な測定であ
る)は4.3程度となり、通常の熱酸化による酸化シリ
コン膜の比誘電率(εr =3.9〜4.0)より大きな
値となる。
The ozone TEOS oxide film has characteristics that the amount of water remaining in the film cannot be ignored and that it has a high water vapor adsorption property. According to the measurement by the present inventors, for example, ozone T immediately after forming a film at 400 ° C.
In the case of an EOS oxide film, an —OH group (wave number 3400 cm −1 )
Has an infrared absorption (FT-IR) spectrum absorption coefficient of 18
0 cm -1 (for a silicon oxide film by thermal oxidation, a plasma TEOS oxide film, a silicon oxide film by thermal CVD using an inorganic silane gas as a part of the source gas, or the like, this F
The absorption coefficient of T-IR is smaller than the measurement limit). Due to the presence of moisture, the relative dielectric constant ε r at 1 MHz (however, indirect measurement) of the ozone TEOS oxide film immediately after the film formation is about 4.3, and the silicon oxide film formed by ordinary thermal oxidation The value is larger than the relative dielectric constant (ε r = 3.9 to 4.0).

【0007】下層配線がアルミ系金属,銅あるいは金か
らなる場合、クラック発生の抑制という点で上記公開公
報記載の層間絶縁膜は確かに好ましい。しかしながら、
オゾンTEOS酸化膜には上記特性があるために、この
構造の層間絶縁膜をもってしても、この層間絶縁膜に設
けられるスルーホールを介しての上層配線と下層配線と
の間のコンタクト抵抗は低くならないという問題点があ
る。さらに、(水分の存在により)オゾンTEOS酸化
膜の比誘電率が高いことから、上層配線と下層配線との
間の浮遊容量が増大して、半導体装置の高速化に対する
支障となる。さらにまた、MOSトランジスタを含んだ
半導体装置においては、オゾンTEOS酸化膜中の水分
の影響により、MOSトランジスタのしきい値電圧が高
温バイアステストでシフトするという問題点もある。
When the lower wiring is made of an aluminum-based metal, copper or gold, the interlayer insulating film described in the above-mentioned publication is certainly preferable from the viewpoint of suppressing cracks. However,
Due to the above characteristics of the ozone TEOS oxide film, even with the interlayer insulating film having this structure, the contact resistance between the upper wiring and the lower wiring via the through hole provided in the interlayer insulating film is low. There is a problem that it does not. Further, since the relative dielectric constant of the ozone TEOS oxide film is high (due to the presence of moisture), the stray capacitance between the upper wiring and the lower wiring increases, which hinders the speeding up of the semiconductor device. Furthermore, in a semiconductor device including a MOS transistor, there is a problem that the threshold voltage of the MOS transistor shifts in a high-temperature bias test due to the influence of moisture in the ozone TEOS oxide film.

【0008】MOSトランジスタを含んだ半導体装置
(下層配線はゲート電極とソース・ドレイン領域等の拡
散層とからなる)において、ゲート電極等と上層配線と
の間の層間絶縁膜としては、上述したように一般的には
BPSG膜等をリフローして形成することができる。こ
のMOSトランジスタがサリサイド構造のソース・ドレ
イン領域を有する場合、下層配線がアルミ系金属,銅あ
るいは金からなる場合と同様の問題点がある。チタンシ
リサイドを用いたサリサイド構造のソース・ドレイン領
域を例にとると、650℃程度以上に温度を上昇させる
とチタンシリサイドの結晶構造が転移して比抵抗が上昇
する。BPSG膜のリフロー温度は、低くても750℃
程度である。また、BPSG膜の吸水性を除去するに
は、少なくともBPSG膜のガラス転移点である700
℃前後での高温熱処理が必要である。このため、この半
導体装置ではゲート電極等を直接に覆う層間絶縁膜とし
てはBPSG膜やPSG膜を用いることが好ましくな
い。
In a semiconductor device including a MOS transistor (a lower wiring is composed of a gate electrode and a diffusion layer such as a source / drain region), an interlayer insulating film between the gate electrode and the upper wiring is as described above. Generally, it can be formed by reflowing a BPSG film or the like. When this MOS transistor has a source / drain region having a salicide structure, there is a problem similar to the case where the lower wiring is made of aluminum-based metal, copper or gold. Taking a source / drain region of a salicide structure using titanium silicide as an example, when the temperature is increased to about 650 ° C. or more, the crystal structure of titanium silicide is changed and the specific resistance is increased. The reflow temperature of the BPSG film is at least 750 ° C
It is about. In order to remove the water absorption of the BPSG film, at least the glass transition point of the BPSG film, 700
High-temperature heat treatment at about ° C is required. Therefore, in this semiconductor device, it is not preferable to use a BPSG film or a PSG film as the interlayer insulating film that directly covers the gate electrode and the like.

【0009】したがって本発明の目的は、サリサイド構
造のソース・ドレイン領域を下層配線とする場合を含め
て、下層配線と上層配線との間の層間絶縁膜の形成方法
を提供することにあり、下層配線に対する段差被覆性に
優れてクラック発生が抑止されて含水量の少ない層間絶
縁膜の形成方法を提供することにある。さらに本発明の
目的は、コンタクト抵抗の上昇および上層配線と下層配
線との間の浮遊容量の上昇を低減し、MOSトランジス
タを含む半導体装置においてはMOSトランジスタのし
きい値電圧の変動を抑制する層間絶縁膜の形成方法を提
供することにある。
Accordingly, an object of the present invention is to provide a method of forming an interlayer insulating film between a lower wiring and an upper wiring, including a case where a source / drain region having a salicide structure is used as a lower wiring. It is an object of the present invention to provide a method for forming an interlayer insulating film having excellent water step coverage on wiring and suppressing generation of cracks and having a low water content. It is still another object of the present invention to reduce an increase in contact resistance and an increase in stray capacitance between an upper layer wiring and a lower layer wiring, and in a semiconductor device including a MOS transistor, an interlayer for suppressing a variation in a threshold voltage of the MOS transistor. An object of the present invention is to provide a method for forming an insulating film.

【0010】[0010]

【課題を解決するための手段】本発明の半導体装置の製
造方法の第1の態様は、表面に所定の半導体素子が設け
られたシリコン基板表面を覆う上面が滑らかな下層絶縁
膜を形成する工程と、上記下層絶縁膜の表面上に複数の
下層配線を形成する工程と、第1のPECVDにより、
上記下層配線の露出面および上記下層絶縁膜の露出面を
直接に覆う第1の酸化シリコン膜を形成する工程と、
が4以上10以下の整数からなる水素化シルセスキオキ
サン((HSiO3/22m)溶液を不活性ガスによりバ
ブリングしたガスとオゾンガスとによる熱CVDによ
り、上記第1の酸化シリコン膜の表面を直接に覆う第2
の酸化シリコン膜を形成する工程と、上記第2の酸化シ
リコン膜上に有機系のSOG膜を形成し、反応性イオン
エッチングによるエッチバックを行ない、この第2の酸
化シリコン膜の上面を平坦化する工程と、第2のPEC
VDにより、平坦化された上記第2の酸化シリコン膜の
上面を直接に覆う第3の酸化シリコン膜を形成する工程
と、フォトレジスト膜をマスクにして上記第3,第2お
よび第1の酸化シリコン膜を順次エッチングすることに
より、上記下層配線の所定位置に達する複数のスルーホ
ールを形成する工程と、上記第3の酸化シリコン膜の表
面上に、複数の上層配線を形成する工程とを有する。
According to a first aspect of the method of manufacturing a semiconductor device of the present invention, a step of forming a lower insulating film having a smooth upper surface covering a surface of a silicon substrate provided with a predetermined semiconductor element on the surface is provided. Forming a plurality of lower wirings on the surface of the lower insulating film;
Forming a first silicon oxide film covering the exposed surface directly exposed surfaces and the lower insulating film of the lower layer wiring, m
The surface of the first silicon oxide film is formed by thermal CVD using an ozone gas and a gas obtained by bubbling a hydrogenated silsesquioxane ((HSiO 3/2 ) 2m ) solution containing an integer of 4 or more and 10 or less. The second that covers directly
Forming an organic SOG film on the second silicon oxide film, performing etch-back by reactive ion etching, and planarizing the upper surface of the second silicon oxide film. And a second PEC
Forming a third silicon oxide film directly covering the upper surface of the planarized second silicon oxide film by VD; and forming the third, second and first oxide films using a photoresist film as a mask. A step of forming a plurality of through holes reaching predetermined positions of the lower wiring by sequentially etching the silicon film; and a step of forming a plurality of upper wirings on the surface of the third silicon oxide film. .

【0011】好ましくは、上記下層絶縁膜が平坦化され
た上面を有し、上記第1および第2のPECVDがそれ
ぞれシランガスおよび亜酸化窒素ガス,TEOS溶液を
不活性ガスによりバブリングしたガスおよび酸素ガス,
トリアルコキシシラン溶液を不活性ガスによりバブリン
グしたガスおよび酸素ガス,あるいはmが4以上10以
下の整数からなる水素化シルセスキオキサン溶液を不活
性ガスによりバブリングしたガスおよび酸素ガスのいず
れかの混合ガスを原料ガスとするPECVDのいずれか
であり、上記第1あるいは第2のPECVDに用いる
記トリアルコキシシランがトリメトキシシラン,トリエ
トキシシラン,トリノルマルプロポキシシランおよびト
リノルマルブトキシシランのいずれかである。
[0011] Preferably, the lower insulating film has a flattened top surface, the first and silane gas second PECVD respectively and nitrous oxide gas, bubbling gas and oxygen gas by the TEOS solution inert gas ,
A gas obtained by bubbling a trialkoxysilane solution with an inert gas and an oxygen gas, or m is 4 or more and 10 or more
A gas obtained by bubbling a hydrogenated silsesquioxane solution consisting of the following integer with an inert gas and a mixed gas of oxygen gas as a source gas, and the first or second PECVD The trialkoxysilane used is any of trimethoxysilane, triethoxysilane, trinormalpropoxysilane and trinormalbutoxysilane .

【0012】本発明の半導体装置の製造方法の第2の態
様は、一導電型シリコン基板の表面にフィールド絶縁膜
とゲート絶縁膜とからなる表面が滑らかな下層絶縁膜を
形成し、この下層絶縁膜の表面上に複数のゲート電極を
形成し、このシリコン基板の表面に逆導電型でサリサイ
ド構造の複数の拡散層を形成する工程と、第1のPEC
VDにより、上記ゲート電極および拡散層を含んで上記
下層絶縁膜を覆う第1の酸化シリコン膜を形成する工程
と、mが4以上10以下の整数からなる水素化シルセス
キオキサン((HSiO3/22m)溶液を不活性ガスに
よりバブリングしたガスとオゾンガスとによる熱CVD
により、上記第1の酸化シリコン膜の表面を直接に覆う
第2の酸化シリコン膜を形成する工程と、上記第2の酸
化シリコン膜上に有機系のSOG膜を形成し、反応性イ
オンエッチングによるエッチバックを行ない、この第2
の酸化シリコン膜の上面を平坦化する工程と、第2のP
ECVDにより、平坦化された上記第2の酸化シリコン
膜の上面を覆う直接に第3の酸化シリコン膜を形成する
工程と、フォトレジスト膜をマスクにして上記第3,第
2および第1の酸化シリコン膜を順次エッチングするこ
とにより、上記ゲート電極の所定位置および上記拡散層
の所定位置にそれぞれ達する複数のコンタクトホールを
形成する工程と、上記第3の酸化シリコン膜の表面上
に、複数の上層配線を形成する工程とを有する。
According to a second aspect of the method of manufacturing a semiconductor device of the present invention, a smooth lower surface insulating film comprising a field insulating film and a gate insulating film is formed on a surface of a one-conductivity type silicon substrate. Forming a plurality of gate electrodes on the surface of the film, forming a plurality of diffusion layers having a reverse conductivity type and a salicide structure on the surface of the silicon substrate;
Forming a first silicon oxide film covering the lower insulating film including the gate electrode and the diffusion layer by VD; and hydrogenating silsesquioxane ((HSiO 3) wherein m is an integer of 4 or more and 10 or less. / 2 ) 2m ) Thermal CVD using ozone gas and a gas obtained by bubbling a solution with an inert gas
Forming a second silicon oxide film directly covering the surface of the first silicon oxide film, and forming an organic SOG film on the second silicon oxide film by reactive ion etching. Perform etch back, this second
Flattening the upper surface of the silicon oxide film of
Forming a third silicon oxide film directly over the planarized upper surface of the second silicon oxide film by ECVD; and forming the third, second and first oxide films using a photoresist film as a mask. Forming a plurality of contact holes respectively reaching a predetermined position of the gate electrode and a predetermined position of the diffusion layer by sequentially etching the silicon film; and forming a plurality of upper layers on the surface of the third silicon oxide film. Forming a wiring.

【0013】好ましくは、上記下地絶縁膜が概ね平坦化
された上面を有し、上記第1のPECVDがシランガス
および亜酸化窒素ガスを原料ガスとするプラズマ励起化
学気相成長法あるいはmが4以上10以下の整数からな
水素化シルセスキオキサン((HSiO 3/2 2m
液を不活性ガスによりバブリングしたガスおよび酸素ガ
スを原料ガスとするプラズマ励起化学気相成長法のいず
れかであり、上記第2のPECVDがシランガスおよび
亜酸化窒素ガスを原料ガスとするプラズマ励起化学気相
成長法,TEOS溶液を不活性ガスによりバブリングし
たガスおよび酸素ガスを原料ガスとするプラズマ励起化
学気相成長法,トリアルコキシシラン溶液を不活性ガス
によりバブリングしたガスおよび酸素ガスを原料ガスと
するプラズマ励起化学気相成長法あるいはmが4以上1
0以下の整数からなる水素化シルセスキオキサン((H
SiO 3/2 2m 溶液を不活性ガスによりバブリングし
たガスおよび酸素ガスを原料ガスとするプラズマ励起化
学気相成長法のいずれかであり、上記第2のPECVD
に用いる上記トリアルコキシシランが、トリメトキシシ
ラン,トリエトキシシラン,トリノルマルプロポキシシ
ランおよびトリノルマルブトキシシランのいずれかで
る。
Preferably, the base insulating film has a substantially planarized upper surface, and the first PECVD is a plasma-enhanced chemical vapor deposition method using silane gas and nitrous oxide gas as source gases, or m is 4 or more. Must be an integer of 10 or less
A gas obtained by bubbling a hydrogenated silsesquioxane ((HSiO 3/2 ) 2m ) solution with an inert gas and a plasma-excited chemical vapor deposition method using an oxygen gas as a source gas. PECVD is a plasma-enhanced chemical vapor deposition method using silane gas and nitrous oxide gas as source gases, a plasma-enhanced chemical vapor deposition method using a gas obtained by bubbling a TEOS solution with an inert gas and an oxygen gas as a source gas, trialkoxysilane A plasma-enhanced chemical vapor deposition method using a gas obtained by bubbling a solution with an inert gas and an oxygen gas as a source gas, or m is 4 or more and 1
Hydrogenated silsesquioxane consisting of an integer of 0 or less ((H
SiO 3/2 ) 2m ) The plasma- enhanced chemical vapor deposition method using a gas obtained by bubbling a solution with an inert gas or an oxygen gas as a source gas, and the second PECVD method
The trialkoxysilane used in the, trimethoxysilane, triethoxysilane, either tri-n-propoxy silane and tri-n-butoxy silane Oh
You.

【0014】[0014]

【実施例】まず、本発明の実施例の説明に先だって、本
発明に到った過程を説明する。酸化シリコン膜を500
℃以下の温度で形成できるCVDとしては、PECVD
と熱CVDとがある。PECVDによる酸化シリコン膜
はシリコン基板に対して圧縮応力を有し、熱CVDによ
る酸化シリコン膜はシリコン基板に対して引張り応力を
有し、拡散層を除く下層配線と上層配線とは一般にシリ
コン基板に対して引張り応力を有する。シリコン基板に
対する応力が緩和され、かつ、段差被覆性が優れて上面
が少なくとも滑らかな構造の層間絶縁膜としては、PE
CVDによる酸化シリコン膜と熱CVDによる酸化シリ
コン膜とが交互に積層され、最下層と最上層とがPEC
VDによる酸化シリコン膜からなる構造が優れている。
したがって、段差被覆性に優れかつ含水量の少ない酸化
シリコン膜を形成する熱CVDが重要であり、これを可
能にする原料ガスの追求がさらに重要となる。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Prior to the description of the embodiments of the present invention, the steps leading to the present invention will be described. 500 silicon oxide films
PECVD can be formed at a temperature lower than or equal to
And thermal CVD. The silicon oxide film formed by PECVD has a compressive stress on the silicon substrate, the silicon oxide film formed by thermal CVD has a tensile stress on the silicon substrate, and the lower wiring and the upper wiring excluding the diffusion layer are generally formed on the silicon substrate. On the other hand, it has tensile stress. As an interlayer insulating film having a structure in which stress applied to a silicon substrate is relieved, the step coverage is excellent, and the upper surface is at least smooth, PE is used.
A silicon oxide film formed by CVD and a silicon oxide film formed by thermal CVD are alternately laminated, and the lowermost layer and the uppermost layer are formed of PEC.
The structure composed of a silicon oxide film by VD is excellent.
Therefore, thermal CVD for forming a silicon oxide film having excellent step coverage and low water content is important, and the pursuit of a raw material gas that enables this is more important.

【0015】オゾンTEOS酸化膜とモノシランガスお
よび酸素ガスによる熱CVDから得られた酸化シリコン
膜との段差被覆性の相違に着目すると、オゾンTEOS
酸化膜が優れているのは、被酸化原料ガスの分子(もし
くはクラスター)が下地に付着してから酸化されるまで
に可能な表面泳動の距離に関連する。TEOSガスの蒸
気圧がシランガスより低く、かつ、TEOSは常圧下で
ガス化が可能であり、TEOS分子はシラン分子より分
子量が大きい。含水量に関しては、TEOS分子はシラ
ンの水素が全てエトキシ基(−OC2 5 )に置換され
ている。このため、酸化が完全に行なわれにくい。この
ような考察のもとに、以下の予測を立てた。TEOSの
ように全ての水素がアルコキシ基に置換されたアルコキ
シシラン(テトラアルコキシシラン(Si(OR)4
から一部のアルコキシ基が水素に置換されたアルコキシ
シラン(例えば、トリアルコキシシラン(HSi(O
R)3 )))の方が化学構造がシランに近い。これらの
アルコキシシランの方がTEOSより酸化されやすく、
このためこのようなアルコキシシランガスとオゾンガス
とを原料ガスとした熱CVDにより、オゾンTEOS酸
化膜より含水量の少ない酸化シリコン膜が得られるもの
と考えられる。
Focusing on the difference in step coverage between the ozone TEOS oxide film and the silicon oxide film obtained by thermal CVD using monosilane gas and oxygen gas, the ozone TEOS
The superiority of the oxide film relates to the distance of surface migration that can occur from the time when molecules (or clusters) of the material gas to be oxidized adhere to the base and are oxidized. The vapor pressure of TEOS gas is lower than that of silane gas, TEOS can be gasified under normal pressure, and TEOS molecules have a larger molecular weight than silane molecules. With regard to water content, TEOS molecules hydrogen silane is replaced with all ethoxy (-OC 2 H 5). For this reason, oxidation is difficult to be performed completely. Based on such considerations, the following predictions were made. Alkoxysilane in which all hydrogens are substituted with an alkoxy group such as TEOS (tetraalkoxysilane (Si (OR) 4 )
And an alkoxysilane in which some of the alkoxy groups are substituted with hydrogen (for example, trialkoxysilane (HSi (O
R) 3 ))) is closer to silane in chemical structure. These alkoxysilanes are more easily oxidized than TEOS,
Therefore, it is considered that a silicon oxide film having a smaller water content than an ozone TEOS oxide film can be obtained by such thermal CVD using an alkoxysilane gas and an ozone gas as source gases.

【0016】上記仮説のもとにテトラアルコキシシラン
を除くアルコキシシランに対する検討を行なった。モノ
アルコキシシラン(H3 Si(OR))およびジアルコ
キシシラン(H2 Si(OR)2 )は不安定であり、化
学合成による収率も少なく不適当である。これに対し
て、トリアルコキシシランのうち、トリメトキシシラン
(HSi(OCH3 3 ),トリエトキシシラン(HS
i(OC2 5 3 ),トリノルマルプロポキシシラン
(HSi(n−OC3 7 3 )およびトリノルマルブ
トキシシラン(HSi(n−OC4 9 3 )は、(常
温,常圧での蒸気圧が高いため)バブリングによるガス
化(気化)が容易である。これ以上の分子量のトリアル
コキシシランは、(常温,常圧での蒸気圧が低すぎるた
め)ガス化が容易ではない。これらトリメトキシシラ
ン,トリエトキシシラン,トリノルマルプロポキシシラ
ンおよびトリノルマルブトキシシランは常温,常圧で液
相を成し、これら溶液をそれぞれ所定の温度の恒温槽に
入れて窒素ガスをバブリングし、400℃程度の温度で
オゾンガスと反応させる熱CVDにより、それぞれ酸化
シリコン膜が得られた。
Based on the above hypothesis, investigations were made on alkoxysilanes other than tetraalkoxysilane. Monoalkoxysilane (H 3 Si (OR) 2) and dialkoxysilane (H 2 Si (OR) 2 ) are unstable, and the yields by chemical synthesis are small and unsuitable. On the other hand, among the trialkoxysilanes, trimethoxysilane (HSi (OCH 3 ) 3 ) and triethoxysilane (HS
i (OC 2 H 5) 3 ), tri-n-propoxy silane (HSi (n-OC 3 H 7) 3) and tri-n-butoxy silane (HSi (n-OC 4 H 9) 3) is (room temperature, normal pressure Gasification (evaporation) by bubbling is easy. A trialkoxysilane having a molecular weight higher than this is not easy to gasify (since the vapor pressure at normal temperature and normal pressure is too low). These trimethoxysilane, triethoxysilane, trinormalpropoxysilane and trinormalbutoxysilane form a liquid phase at normal temperature and normal pressure. Each of these solutions is placed in a constant temperature bath at a predetermined temperature, and nitrogen gas is bubbled thereinto. Each silicon oxide film was obtained by thermal CVD in which the ozone gas was reacted at a temperature of about ° C.

【0017】例えばトリエトキシシランを用いて得られ
た酸化シリコン膜の場合、次のような特性である。成膜
直後のこの酸化シリコン膜は、−OH基(波数3400
cm-1)のFT−IRスペクトルの吸収係数が110c
-1程度となり、オゾンTEOS膜の吸収係数の60%
程度である。オゾンTEOS膜のこの吸収係数は基板温
度の変化に対してあまり変化しない。これに対して、ト
リエトキシシランを用いた場合の酸化シリコン膜の吸収
係数は基板温度に大きく依存し、例えば基板温度が45
0℃に上昇すると、この吸収係数は95cm-1程度に減
少する。成膜後、大気中に放置すれば、この酸化シリコ
ン膜もオゾンTEOS酸化膜と同様に大気中の水蒸気を
吸着するが、その度合はオゾンTEOS酸化膜より低
い。なお、ここでいう吸着は水蒸気に対するものであ
り、液相としての水(および有機溶剤等)に対する吸着
は両者とも極めて少ない。また、間接的な測定ではある
が、成膜直後のこの酸化シリコン膜の1MHzでの比誘
電率εr は4.0〜4.1となり、成膜直後のオゾンT
EOS酸化膜の比誘電率(εr ≒4.3)より小さな値
となる。アルコキシシランガスとオゾンガスとの熱CV
Dで−OH基が形成されるのは、オゾンからの・Oラジ
カルと−OCn 2n+1との反応の一部が、 (−OCn 2n+1)+3n(・O)→(−OH)+nC
2 ↑+nH2 O↑ となるためと考えられる。TEOSガスを用いた酸化シ
リコン膜に対するトリエトキシシランガスを用いた酸化
シリコン膜における−OH基の量およびこの量の基板温
度依存性に関する相違は、1分子中のエトキシ基の比率
のみでは説明できず、水素の存在が大きく影響している
ものと推測される。
For example, a silicon oxide film obtained by using triethoxysilane has the following characteristics. This silicon oxide film immediately after film formation has an —OH group (wave number 3400).
cm -1 ), the absorption coefficient of the FT-IR spectrum is 110c.
m -1 which is about 60% of the absorption coefficient of the ozone TEOS film.
It is about. This absorption coefficient of the ozone TEOS film does not change much with changes in the substrate temperature. On the other hand, when triethoxysilane is used, the absorption coefficient of the silicon oxide film greatly depends on the substrate temperature.
As the temperature rises to 0 ° C., the absorption coefficient decreases to about 95 cm −1 . If the silicon oxide film is left in the air after the film formation, this silicon oxide film also adsorbs water vapor in the air similarly to the ozone TEOS oxide film, but the degree is lower than that of the ozone TEOS oxide film. Note that the adsorption here is for water vapor, and the adsorption for water (and an organic solvent or the like) as a liquid phase is extremely small. Although it is an indirect measurement, the relative dielectric constant ε r at 1 MHz of this silicon oxide film immediately after film formation is 4.0 to 4.1, and the ozone T
The value is smaller than the relative dielectric constant (ε r ≒ 4.3) of the EOS oxide film. Thermal CV of alkoxysilane gas and ozone gas
Is the -OH group is formed by D, a portion of the reaction with · O radicals and -OC n H 2n + 1 from the ozone, (-OC n H 2n + 1 ) + 3n (· O) → ( -OH) + nC
It is considered that O 2 ↑ + nH 2 O ↑. The difference in the amount of -OH groups in the silicon oxide film using the triethoxysilane gas with respect to the silicon oxide film using the TEOS gas and the substrate temperature dependence of this amount cannot be explained only by the ratio of the ethoxy groups in one molecule. It is presumed that the presence of hydrogen has a large effect.

【0018】上記のトリアルコキシシランは有機オキシ
シランであるが、アルコキシ基との結合のない無機オキ
シシランの系列ではさらに−OH基が少なくなるものと
予測される。無機オキシシランの系列として、水素化シ
ルセスキオキサン((HSiO3/2 2m:mは正整数)
に着目し、原料ガスとしての使用の可能性の検討を行っ
た。常圧下でガス化が可能であり、その分子量がモノシ
ラン分子より十分に大きいことが必要である。これらの
条件を満たすものとして、水素化シルセスキオキサン
((HSiO3/2 2m)のうち、m≦10のものが常圧
下でガス化が可能である。これらm≦10の水素化シル
セスキオキサン溶液に窒素ガスをバブリングし、400
℃程度の温度でオゾンガスと反応させる熱CVDによ
り、それぞれ酸化シリコン膜が得られた。これらの成膜
直後の酸化シリコン膜の−OH基(波数3400c
-1)のFT−IRスペクトルの吸収係数は、m≧4の
場合の吸収係数は測定限界以下である。それに対して、
m〈4の場合、得られた酸化シリコン膜中の−OH基の
量がオゾンTEOS膜より多い。m=4の水素化シルセ
スキオキサンを用いて得られた酸化シリコン膜では、成
膜直後のこの酸化シリコン膜の1MHzでの比誘電率ε
r (但し、間接的な測定である)は4.0程度である。
さらにこの酸化シリコン膜は、オゾンTEOS酸化膜や
上記のトリエトキシシランガスを用いた酸化シリコン膜
と異なり、水蒸気の吸着性が少ないものと考えられ、成
膜後に大気中に放置してもこの膜の−OH基の吸収係数
の増加は観測されない。これは、この膜にSi−H結合
が形成されているためと考えられる。
The above trialkoxysilanes are organic oxysilanes, but it is expected that in the series of inorganic oxysilanes having no bond to an alkoxy group, the number of -OH groups will be further reduced. As a series of inorganic oxysilanes, hydrogenated silsesquioxane ((HSiO 3/2 ) 2m : m is a positive integer)
We examined the possibility of using it as a source gas. It must be possible to gasify under normal pressure and its molecular weight must be sufficiently larger than monosilane molecules. As a material satisfying these conditions, among hydrogenated silsesquioxanes ((HSiO 3/2 ) 2m ), those having m ≦ 10 can be gasified under normal pressure. Nitrogen gas is bubbled through the hydrogenated silsesquioxane solution of m ≦ 10,
Each silicon oxide film was obtained by thermal CVD in which the ozone gas was reacted at a temperature of about ° C. The -OH groups (wave number 3400c) of these silicon oxide films immediately after film formation
The absorption coefficient of the FT-IR spectrum of m -1 ) is less than the measurement limit when m ≧ 4. On the other hand,
When m <4, the amount of -OH groups in the obtained silicon oxide film is larger than that in the ozone TEOS film. In a silicon oxide film obtained by using hydrogenated silsesquioxane with m = 4, the relative dielectric constant ε at 1 MHz of this silicon oxide film immediately after film formation is obtained.
r (however, indirect measurement) is about 4.0.
Further, unlike the ozone TEOS oxide film or the silicon oxide film using triethoxysilane gas described above, this silicon oxide film is considered to have a low water vapor adsorption property. No increase in the absorption coefficient of the -OH group is observed. This is presumably because Si-H bonds are formed in this film.

【0019】次に、本発明に関連する半導体装置の製造
方法の参考例と本発明の実施例とについて図面を参照し
て説明する。
Next, manufacture of a semiconductor device related to the present invention.
A method reference example and an embodiment of the present invention will be described with reference to the drawings.

【0020】半導体装置の製造工程の模式断面図である
図1および図2と熱CVD装置の模式図である図3とを
参照すると、本発明に関連する半導体装置の製造方法の
参考例(以下、単に参考例と記す)は、次のようになっ
ている。
Referring to FIGS. 1 and 2 which are schematic cross-sectional views of a semiconductor device manufacturing process and FIG. 3 which is a schematic view of a thermal CVD apparatus, a method of manufacturing a semiconductor device according to the present invention will be described.
A reference example (hereinafter simply referred to as a reference example) is as follows.

【0021】まず、所定の半導体素子(図示せず)が形
成されたシリコン基板101表面に、シランガスおよび
亜酸化窒素(N2 O)ガスを用いた700℃のLPCV
Dによる膜厚0.1μm程度の酸化シリコン膜(HTO
膜)と、オゾンガス,TEOSガス,トリメトキシボレ
イト(B(OCH3 3 ;TMB)ガスおよび燐酸トリ
メチル(PO(CH3 3 ;TMP)ガスを用いた40
0℃の熱CVDによる膜厚0.5μm程度のBPSG膜
とを形成する。窒素ガス雰囲気で800℃,30分間の
熱処理を行い、上記BPSG膜をリフローして下層絶縁
膜111を形成する。この下層絶縁膜111の上面は、
滑らかではあるが凹凸があり平坦ではない。この下層絶
縁膜111の上面における最高位の位置と最低位の位置
との段差は0.6μm程度である。
First, a 700 ° C. LPCV using silane gas and nitrous oxide (N 2 O) gas is applied on the surface of a silicon substrate 101 on which a predetermined semiconductor element (not shown) is formed.
D silicon oxide film (HTO
40) using ozone gas, TEOS gas, trimethoxyborate (B (OCH 3 ) 3 ; TMB) gas and trimethyl phosphate (PO (CH 3 ) 3 ; TMP) gas.
A BPSG film having a thickness of about 0.5 μm is formed by thermal CVD at 0 ° C. A heat treatment is performed at 800 ° C. for 30 minutes in a nitrogen gas atmosphere, and the BPSG film is reflowed to form a lower insulating film 111. The upper surface of the lower insulating film 111 is
It is smooth but uneven and not flat. The step between the highest position and the lowest position on the upper surface of the lower insulating film 111 is about 0.6 μm.

【0022】次に、下層絶縁膜111の所定の個所に半
導体素子に達するコンタクトホール(図示せず)を形成
し、スパッタリングもしくは反応性スパッタリングによ
り膜厚0.06μm程度のチタン膜121a,膜厚0.
1μm程度の窒化チタン膜122a,膜厚0.6μm程
度のアルミ−銅−シリコン合金膜123aおよび膜厚
0.05μm程度の窒化チタン膜124aを順次堆積す
る。これら4層の積層金属膜をパターニングして、下層
配線125A,下層配線125B,下層配線125Cお
よび下層配線125D等を形成する〔図1(a)〕。こ
れら下層配線の膜厚,最小線幅(例えば下層配線125
B)および最小線間隔(例えば下層配線125Cと下層
配線125Dとの間)は、それぞれ0.8μm,0.6
μmおよび0.6μm程度である。
Next, a contact hole (not shown) reaching the semiconductor element is formed at a predetermined portion of the lower insulating film 111, and a titanium film 121a having a thickness of about 0.06 μm and a thickness of 0 is formed by sputtering or reactive sputtering. .
A titanium nitride film 122a of about 1 μm, an aluminum-copper-silicon alloy film 123a of about 0.6 μm and a titanium nitride film 124a of about 0.05 μm are sequentially deposited. By patterning these four-layered metal films, a lower wiring 125A, a lower wiring 125B, a lower wiring 125C, a lower wiring 125D, and the like are formed (FIG. 1A). The film thickness and minimum line width of these lower wirings (for example, lower wiring 125
B) and the minimum line spacing (for example, between the lower wiring 125C and the lower wiring 125D) are 0.8 μm and 0.6 μm, respectively.
μm and about 0.6 μm.

【0023】続いて、TEOS溶液を窒素ガスでバブリ
ングにより得られたTEOSガスと酸素ガスとを用いた
第1のPECVDにより、プラズマTEOS膜からなる
膜厚0.2m程度(下地が平坦な部分での膜厚)の第1
の酸化シリコン膜131を、全面に堆積する〔図1
(b)〕。このPECVDの成膜条件は、基板温度40
0℃,圧力1200Pa,バブリング用の窒素ガス流量
450sccm(standard cm3 per
min.の略),周波数13.56MHzおよびパワー
400Wである。この酸化シリコン膜131は、シリコ
ン基板111に対して108 Pa台の圧縮応力を有し、
狭義の段差被覆性(段部近傍のみの被覆性であり、狭い
空隙部分に対する充填性は含まない)は優れている。下
層配線125A等の側面における酸化シリコン膜131
の膜厚は、1.0〜1.5μm程度である。
Subsequently, by a first PECVD using a TEOS gas obtained by bubbling the TEOS solution with a nitrogen gas and an oxygen gas, a film thickness of about 0.2 m made of a plasma TEOS film (in a portion where the base is flat). First)
A silicon oxide film 131 is deposited on the entire surface [FIG.
(B)]. The film forming conditions of this PECVD are as follows:
0 ° C., pressure 1200 Pa, nitrogen gas flow rate for bubbling 450 sccm (standard cm 3 per
min. , A frequency of 13.56 MHz and a power of 400 W. This silicon oxide film 131 has a compressive stress of the order of 10 8 Pa with respect to the silicon substrate 111,
The step coverage in a narrow sense (coverability only in the vicinity of the step portion, not including filling property in a narrow void portion) is excellent. Silicon oxide film 131 on side surfaces of lower layer wiring 125A and the like
Has a thickness of about 1.0 to 1.5 μm.

【0024】次に、トリエトキシシラン(HSi(OC
2 5 3 )溶液を窒素ガスでバブリングして気化させ
たガスとオゾンガスとを用いた熱CVDにより、第2の
酸化シリコン膜132を形成する。この酸化シリコン膜
132は、シリコン基板111に対して108 Pa台の
引張り応力を有する。下地が広い範囲で平坦な部分にお
けるこの酸化シリコン膜132の膜厚は、1.7μm程
度である。この酸化シリコン膜132は、膜厚2.5μ
m程度まではクラックを発生させずに成膜できる。ま
た、この酸化シリコン膜132の上面における最高位の
位置と最低位の位置との(最高)段差は1.4μm程度
である。この酸化シリコン膜132の(広義の)段差被
覆性は、オゾンTEOS膜ほどではないが、優れてい
る。例えば下層配線125A上面での酸化シリコン膜1
32の膜厚が0.2μm程度のとき、下層配線125A
側面での酸化シリコン膜132の膜厚は0.2μm程度
である。またこのとき、下層配線125Bと下層配線1
25Cとの間の空隙部分での膜厚は1.0μm程度とな
り、この空隙部分は完全に酸化シリコン膜132で充填
され、ボイド等の発生は回避される。しかしながらこの
酸化シリコン膜132の上面の形状は、リフローされた
BPSG膜の上面とは異なり、次のようになっている。
下地の1つの段部を覆う曲面は概ね滑らかではあるが、
隣接した2つの段部をそれぞれ覆う2つの曲面の交線の
近傍はあまり滑らかではない。
Next, triethoxysilane (HSi (OC
By thermal CVD using 2 H 5) 3) solution was vaporized by bubbling with nitrogen gas gas and ozone gas, to form a second silicon oxide film 132. The silicon oxide film 132 has a tensile stress on the order of 10 8 Pa with respect to the silicon substrate 111. The thickness of the silicon oxide film 132 in a flat portion of the base over a wide range is about 1.7 μm. This silicon oxide film 132 has a thickness of 2.5 μm.
Up to about m, a film can be formed without generating cracks. The (highest) step between the highest position and the lowest position on the upper surface of the silicon oxide film 132 is about 1.4 μm. The step coverage (in a broad sense) of the silicon oxide film 132 is excellent, though not as large as that of the ozone TEOS film. For example, the silicon oxide film 1 on the upper surface of the lower wiring 125A
32 is about 0.2 μm, the lower wiring 125A
The thickness of the silicon oxide film 132 on the side surface is about 0.2 μm. At this time, the lower wiring 125B and the lower wiring 1
The film thickness in the gap between 25C is about 1.0 μm, and the gap is completely filled with the silicon oxide film 132, thereby avoiding generation of voids and the like. However, the shape of the upper surface of the silicon oxide film 132 is different from the upper surface of the reflowed BPSG film, and is as follows.
The curved surface that covers one step of the base is generally smooth,
The vicinity of the intersection of the two curved surfaces respectively covering the two adjacent steps is not very smooth.

【0025】この酸化シリコン膜132の使用装置およ
び成膜条件の一例について説明する。この使用装置は、
APCVD装置であり、半導体基体189はサセプタ1
87にフェイスダウン方式で載置されている。サセプタ
187に内蔵させれたヒータ188により、半導体基体
189は400℃程度に加熱されている。反応ガスはデ
スパージョンヘッド186から反応室185に流入した
後、排気されている。まず、流量コントローラ181
a,181cを閉じ、流量コントローラ181bのみを
開いて、デスパージョンヘッド186を介して反応室1
85内を窒素ガスにより充分にパージしておく。トリエ
キシシラン溶液184の入った恒温槽183は、40℃
に保たれている。このとき、トリエトキシシランの蒸気
圧は、3300Pa(TEOSの場合は400Pa)で
ある。なお、トリエトキシシラン溶液184との反応を
避けるため、この恒温槽183内の水分および酸素ガス
は充分にパージされ、窒素ガスは充分に脱水,脱酸素さ
れているこのが必要である。流量コントローラ181b
を開いた状態で、流量コントローラ181aおよび流量
コントローラ181bが開かれる。流量コントローラ1
81a,181b,181cにはそれぞれ流量7.5S
LM(Std. Liter per Min.の略)
の酸素ガス,流量17.0SLMの窒素ガス(キャリア
ガス)および流量1.0SLMの窒素ガス(バブリング
ガス)が流される。流量コントローラ181aを流れる
酸素ガスは、オゾン発生器182により5vol%程度
がオゾンガスになる。流量コントローラ181cを流れ
る窒素ガスにより、エトキシシラン溶液184がバブリ
ングされ、トリエトキシシランガスが得られる。このオ
ゾンガスとトリエトキシシランガスとは、反応室185
に導入され、半導体基体189の近傍で初めて混合(p
ost−mix方式)される〔図3〕。なお、このCV
D装置はフェイスダウン方式のAPCVD装置である
が、フェイストップ方式でもよい。キャリアガスおよび
バブリングガスとして窒素ガスを用いたが、ヘリウムガ
スあるいはアルゴンガスを用いてもよい。また、LPC
VD装置を用いてもよい。
An example of a device for using the silicon oxide film 132 and film forming conditions will be described. This equipment is
The semiconductor substrate 189 is an APCVD apparatus.
It is placed on the face 87 in a face-down manner. The semiconductor substrate 189 is heated to about 400 ° C. by the heater 188 incorporated in the susceptor 187. The reaction gas is exhausted after flowing into the reaction chamber 185 from the dispersion head 186. First, the flow controller 181
a, 181c is closed, and only the flow controller 181b is opened, and the reaction chamber 1 is connected via the dispersion head 186.
85 is sufficiently purged with nitrogen gas. The constant temperature bath 183 containing the triethoxysilane solution 184
It is kept in. At this time, the vapor pressure of triethoxysilane is 3300 Pa (400 Pa in the case of TEOS). In order to avoid a reaction with the triethoxysilane solution 184, it is necessary that the water and oxygen gas in the thermostat 183 be sufficiently purged and the nitrogen gas be sufficiently dehydrated and deoxygenated. Flow controller 181b
Is opened, the flow controller 181a and the flow controller 181b are opened. Flow controller 1
Each of 81a, 181b and 181c has a flow rate of 7.5S.
LM (abbreviation of Std. Liter per Min.)
, A nitrogen gas (carrier gas) at a flow rate of 17.0 SLM, and a nitrogen gas (bubbling gas) at a flow rate of 1.0 SLM. About 5 vol% of the oxygen gas flowing through the flow rate controller 181 a is converted into ozone gas by the ozone generator 182. The ethoxysilane solution 184 is bubbled by the nitrogen gas flowing through the flow rate controller 181c to obtain a triethoxysilane gas. The ozone gas and the triethoxysilane gas are supplied to the reaction chamber 185
And mixed for the first time near the semiconductor substrate 189 (p
ost-mix method) (FIG. 3). Note that this CV
The D apparatus is a face down type APCVD apparatus, but may be a face top type. Although a nitrogen gas is used as the carrier gas and the bubbling gas, a helium gas or an argon gas may be used. Also, LPC
A VD device may be used.

【0026】上述のように、酸化シリコン膜132の上
面は滑らかといえない部分が存在するため、このままの
状態でこの酸化シリコン膜132の上に上層配線を形成
すると、その上層配線は断線および短絡が生じやすくな
る。そのため、この酸化シリコン膜132の上面を平坦
化しておくことが好ましくなる。酸化シリコン膜132
を形成した後、回転塗布法等により酸化シリコン膜13
2の上面全面を覆う有機系のSOG膜139aを形成す
る〔図1(c)〕。
As described above, since the upper surface of the silicon oxide film 132 has a portion which cannot be said to be smooth, if an upper layer wiring is formed on the silicon oxide film 132 in this state, the upper layer wiring is disconnected and short-circuited. Is more likely to occur. Therefore, it is preferable that the upper surface of the silicon oxide film 132 be flattened. Silicon oxide film 132
Is formed, the silicon oxide film 13 is formed by a spin coating method or the like.
An organic SOG film 139a is formed to cover the entire upper surface of the substrate 2 (FIG. 1C).

【0027】続いて、SOG膜139aが完全に除去さ
れるまで、反応性イオンエッチング(RIE)によりS
OG膜139aおよび酸化シリコン膜132がエッチバ
ックされ、平坦な上面を有する酸化シリコン膜132a
が形成される。酸化シリコン膜132aの最小膜厚は
0.2μm程度である。このRIEの条件は、次のよう
になっている。エッチングガスは流量100sccm程
度の4弗化炭素(CF4 )ガスと流量15sccm程度
の酸素ガスとからなり、圧力13Pa,パワー密度0.
3W/cm2 である。次に、上記第1のPECVDと同
様の条件による第2のPECVDにより、酸化シリコン
膜132aの表面にプラズマTEOS酸化膜からなる膜
厚0.2μm程度の第3の酸化シリコン膜133を形成
する。これにより、酸化シリコン膜131,酸化シリコ
ン膜132aおよび酸化シリコン膜133からなる層間
絶縁膜の形成が終了する。この層間絶縁膜は、引張り応
力を有する酸化シリコン膜132aが圧縮応力を有する
酸化シリコン膜131,133に挟まれているため、応
力の緩和がなされる〔図2(a)〕。
Subsequently, the SOG film 139a is completely removed.
Until reactive ion etching (RIE)
The OG film 139a and the silicon oxide film 132 are
Oxidized silicon oxide film 132a having a flat top surface.
Is formed. The minimum thickness of the silicon oxide film 132a is
It is about 0.2 μm. The conditions for this RIE are as follows:
It has become. Etching gas flow rate is about 100sccm
Degree of carbon tetrafluoride (CFFour ) Gas and flow rate about 15sccm
At a pressure of 13 Pa and a power density of 0.
3W / cmTwoIt is. Next, the same as the first PECVD
Silicon oxide by the second PECVD under similar conditions
A film made of a plasma TEOS oxide film on the surface of the film 132a
A third silicon oxide film 133 having a thickness of about 0.2 μm is formed.
I do. As a result, the silicon oxide film 131 and the silicon oxide
Between the insulating film 132a and the silicon oxide film 133
The formation of the insulating film is completed. This interlayer insulating film is
The silicon oxide film 132a having a force has a compressive stress
Since it is sandwiched between the silicon oxide films 131 and 133,
The force is reduced [FIG. 2 (a)].

【0028】有機系のSOG膜139aと上記条件のR
IEとを用いて平坦化を行なう理由は、次の2つにあ
る。厚い膜厚のSOG膜を得るには、有機系のSOG膜
の方が容易である。このRIEでは、SOG膜139a
のエッチングレートと酸化シリコン膜132のエッチン
グレートとがほぼ等しい。それに対して、無機系のSO
G膜を用いてこのRIEでエッチバックする場合、その
SOG膜と酸化シリコン膜132とのエッチングレート
には差が在り、酸化シリコン膜132の上面を平坦化す
ることが容易ではなくなる。SOG膜139aもしくは
無機系のSOG膜を用いて化学的機械研磨法により平坦
化する場合にも、それらのSOG膜と酸化シリコン膜1
32とのエッチングレートの差が大きい。
The organic SOG film 139a and R under the above conditions
There are the following two reasons why the flattening is performed using the IE. To obtain a thick SOG film, an organic SOG film is easier. In this RIE, the SOG film 139a
Is almost equal to the etching rate of the silicon oxide film 132. In contrast, inorganic SO
When etching back by RIE using a G film, there is a difference in the etching rate between the SOG film and the silicon oxide film 132, and it is not easy to flatten the upper surface of the silicon oxide film 132. Even when the SOG film 139a or the inorganic SOG film is used for planarization by a chemical mechanical polishing method, the SOG film and the silicon oxide film 1 are used.
The difference between the etching rate and the etching rate is large.

【0029】次に、フォトレジスト膜(図示せず)をマ
スクにして、酸化シリコン膜133,酸化シリコン膜1
32aおよび酸化シリコン膜131を順次エッチングし
て、下層配線125A等に達する複数のスルーホール1
41aを形成する。スルーホール141aの大きさは、
0.5μm□程度である。このエッチングは、例えばト
リフルオロメタン(CHF3 )ガスと酸素ガスとをエッ
チングガスとし,10Paの圧力,1200Wのパワー
による異方性プラズマエッチングである。このエッチン
グでは窒化チタン膜124aのエッチングレートも高い
ため、スルーホール141aの底面にはアルミ−銅−シ
リコン合金膜123aが露出する〔図2(b)〕。
Next, using the photoresist film (not shown) as a mask, the silicon oxide film 133, the silicon oxide film 1
32a and the silicon oxide film 131 are sequentially etched to form a plurality of through holes 1 reaching the lower wiring 125A and the like.
41a is formed. The size of the through hole 141a is
It is about 0.5 μm □. This etching is, for example, anisotropic plasma etching using a trifluoromethane (CHF 3 ) gas and an oxygen gas as an etching gas at a pressure of 10 Pa and a power of 1200 W. In this etching, since the etching rate of the titanium nitride film 124a is also high, the aluminum-copper-silicon alloy film 123a is exposed on the bottom surface of the through hole 141a (FIG. 2B).

【0030】次に、スパッタリングおよび反応性スパッ
タリングにより、全面に膜厚0.01μm程度のチタン
膜151aおよび膜厚0.05μm程度の窒化チタン膜
152aを形成する。続いて、基板温度400℃,圧力
5000Paのもとでの6弗化タングステン(WF6
ガスと水素ガスとを用いたブランケットCVDにより、
膜厚0.2μm程度のタングステン膜153aを形成す
る。次に、6弗化硫黄(SF6 )ガスがエッチングガ
ス,アルゴンガスがキャリアガス,圧力30Pa,パワ
ー400Wのプラズマエッチングにより、酸化シリコン
膜133の上面が露出するまでタングステン膜153a
等をエッチバックする。これにより、スルーホール14
1a内を充填する姿態を有して、タングステン膜153
a,窒化チタン膜152aおよびチタン膜151aが残
置される。続いて、スパッタリングもしくは反応性スパ
ッタリングにより膜厚0.06μm程度のチタン膜16
1a,膜厚0.1μm程度の窒化チタン膜162a,膜
厚0.6μm程度のアルミ−銅−シリコン合金膜163
aおよび膜厚0.05μm程度の窒化チタン膜164a
を順次堆積する。これら4層の積層金属膜をパターニン
グして、上層配線165a等の複数の上層配線を形成
し、本参考例による半導体装置の製造が完了する〔図2
(c)〕。
Next, a titanium film 151a having a thickness of about 0.01 μm and a titanium nitride film 152a having a thickness of about 0.05 μm are formed on the entire surface by sputtering and reactive sputtering. Subsequently, tungsten hexafluoride (WF 6 ) at a substrate temperature of 400 ° C. and a pressure of 5000 Pa
By blanket CVD using gas and hydrogen gas,
A tungsten film 153a having a thickness of about 0.2 μm is formed. Next, the tungsten film 153a is etched by plasma etching using sulfur hexafluoride (SF 6 ) gas as an etching gas, argon gas as a carrier gas, a pressure of 30 Pa and a power of 400 W until the upper surface of the silicon oxide film 133 is exposed.
Etch back etc. Thereby, the through hole 14
The tungsten film 153 has a state of filling the inside of the tungsten film 153.
a, the titanium nitride film 152a and the titanium film 151a are left. Subsequently, a titanium film 16 having a thickness of about 0.06 μm is formed by sputtering or reactive sputtering.
1a, a titanium nitride film 162a having a thickness of about 0.1 μm, and an aluminum-copper-silicon alloy film 163 having a thickness of about 0.6 μm
a and a titanium nitride film 164a having a thickness of about 0.05 μm
Are sequentially deposited. These four-layered metal films are patterned to form a plurality of upper-layer wirings such as an upper-layer wiring 165a, thereby completing the manufacture of the semiconductor device according to the present reference example [FIG.
(C)].

【0031】上記参考例は、プラズマTEOS酸化膜と
オゾンTEOS酸化膜とが交互に積層された上記特開平
3−29345号公報記載の層間絶縁膜と同様に、下層
配線に対する段差被覆性に優れ,クラックの発生が抑止
され,狭い空隙部分に対する充填性も優れている。
The above reference example is excellent in step coverage with respect to the lower wiring as in the case of the interlayer insulating film described in JP-A-3-29345 in which a plasma TEOS oxide film and an ozone TEOS oxide film are alternately laminated. The generation of cracks is suppressed, and the filling of narrow voids is excellent.

【0032】さらに本参考例によれば、上記公開公報記
載の層間絶縁膜の形成方法に比べて、層間絶縁膜中の含
水量が60%程度に低減される。また、本参考例におけ
る第2の酸化シリコン膜の比誘電率は、オゾンTEOS
酸化膜の比誘電率より低い。その結果、コンタクト抵抗
の上昇,浮遊容量の上昇およびMOSトランジスタを含
む半導体装置におけるMOSトランジスタのしきい値電
圧の高温バイアステストによる変動も、上記公開公報記
載の半導体装置より抑制される。
Further, according to the present embodiment , the water content in the interlayer insulating film is reduced to about 60% as compared with the method of forming the interlayer insulating film described in the above-mentioned publication. The relative dielectric constant of the second silicon oxide film in the present reference example is ozone TEOS.
Lower than the relative dielectric constant of the oxide film. As a result, an increase in the contact resistance, an increase in the stray capacitance, and a change in the threshold voltage of the MOS transistor in the semiconductor device including the MOS transistor due to the high-temperature bias test are suppressed as compared with the semiconductor device described in the above-mentioned publication.

【0033】例えば、0.5μm□の5万個のスルーホ
ールを用いて上層配線と下層配線とを交互に直列に接続
した半導体装置を本参考例並びに上記公開公報記載の方
法により形成し、これらの半導体装置から得られたコン
タクト抵抗を比較すると、次のような結果となる。本参
考例による場合には約0.75Ω/個であり、上記公開
公報記載による場合は約1.25Ω/個である。また、
NチャネルMOSトランジスタを含んだ半導体装置にお
いて、層間絶縁膜が上記公開公報記載によるものと本参
考例によるものとの高温バイアステスト(BT)による
しきい値電圧(VT )の変動(ΔVT )の比較を行っ
た。これらのMOSトランジスタのディメンジョンは、
ゲート酸化膜の膜厚が15nm,ゲート長(L)が0.
5μm,ゲート幅(W)が100μm等である。これら
の半導体装置は、MOSトランジスタがBPSG膜から
なる下地絶縁膜により覆われ、上層配線が表面保護膜に
より覆われ、樹脂封止されている。BTテストの条件
は、印加電圧が5V,保管温度が150℃である。BT
での変動に着目すると、1000時間のテストでの結果
は次のとおりである。上記公開公報記載によるものでは
ΔVT /VT −7%であるが、本参考例によるもので
はΔVT /VT −5%である。
For example, a semiconductor device in which upper wirings and lower wirings are alternately connected in series using 50,000 through holes of 0.5 μm square is formed by the method described in the present reference example and the above-mentioned publication, and Comparing the contact resistances obtained from the above semiconductor devices, the following results are obtained. Ginseng
If by Reference Example is about 0.75Omu / number, if by the Publication described about 1.25Omu / Pieces. Also,
In the semiconductor device including the N-channel MOS transistor, and the participants as an interlayer insulating film according to the above publication, wherein
Variation in the threshold voltage (V T) due to high temperature bias test (BT) and by Reference Example comparisons ([Delta] V T) was performed. The dimensions of these MOS transistors are
The thickness of the gate oxide film is 15 nm, and the gate length (L) is 0.
5 μm, the gate width (W) is 100 μm or the like. In these semiconductor devices, the MOS transistor is covered with a base insulating film made of a BPSG film, the upper wiring is covered with a surface protective film, and is sealed with a resin. The conditions for the BT test are an applied voltage of 5 V and a storage temperature of 150 ° C. BT
Focusing on the fluctuations in the above, the results of the 1000 hour test are as follows. It is due to the publication, wherein the -7% ΔV T / V T, but due to the present reference example is -5% ΔV T / V T.

【0034】また、上記参考例の第2の酸化シリコン膜
がオゾンTEOS酸化膜より含水量並びに比誘電率が低
いことから明らかなように、第2の酸化シリコン膜の抵
抗率(ρ 1×1016Ω・cm)の方がオゾンTEOS
酸化膜の抵抗率(ρ 0.9×1016Ω・cm)より高
くなり、本参考例を採用した半導体装置の方が上記公開
公報記載を採用した半導体装置より上層配線と下層配線
との間の絶縁特性が高くなる。
Further, as is clear from the fact that the second silicon oxide film of the above reference example has a lower water content and lower relative dielectric constant than the ozone TEOS oxide film, the resistivity (ρ 1 × 10 16 Ω · cm) ozone TEOS
The resistivity of the oxide film (ρ 0.9 × 10 16 Ω · cm) is higher, and the semiconductor device employing this reference example has a higher gap between the upper layer wiring and the lower layer wiring than the semiconductor device described in the above publication. The insulation properties of the metal are increased.

【0035】なお、上記参考例では、下層配線等の主材
料がアルミであったが、銅あるいは金が主材料でもよ
い。また、第2の酸化シリコン膜を形成するための原料
ガスの一部であるトリエトキシシランガスは40℃に保
たれた恒温槽のトリエトキシシラン溶液をバブリングす
ることにより得られていたが、この温度に限定されるも
のではなく、0〜45℃の温度範囲であればよい。さら
にまた、トリエトキシシランガスの代りに、トリメトキ
シシラン(HSi(OCH33 )ガス,トリノルマル
プロポキシシラン(HSi(n−OC373 )ガス
あるいはトリノルマルブトキシシラン(HSi(n−O
493 )ガスを原料ガスの一部として用いること
ができる。これらのガスにより得られる酸化シリコン膜
は、上記参考例と同様の効果を有する。これらを用いる
場合、それぞれの溶液をガス化するための恒温槽の温度
の範囲がトリエトキシシランの場合と異なる。トリメト
キシシラン溶液,トリノルマルプロポキシシラン溶液お
よびトリノルマルブトキシシラン溶液に対する好ましい
恒温槽の温度は、それぞれ0〜10℃,35〜80℃お
よび80〜120℃の範囲である。
In the above reference example , the main material of the lower wiring and the like is aluminum, but copper or gold may be the main material. The triethoxysilane gas, which is a part of the source gas for forming the second silicon oxide film, was obtained by bubbling a triethoxysilane solution in a thermostat kept at 40 ° C. The temperature is not limited to the above, and may be any temperature within a range of 0 to 45 ° C. Furthermore, in place of the triethoxysilane gas, trimethoxysilane (HSi (OCH 3) 3) gas, tri-n-propoxy silane (HSi (n-OC 3 H 7) 3) gas or tri-n-butoxy silane (HSi (n- O
C 4 H 9 ) 3 ) gas can be used as a part of the source gas. The silicon oxide film obtained with these gases has the same effect as the above reference example . When these are used, the temperature range of the thermostat for gasifying each solution is different from that of triethoxysilane. Preferred thermostatic bath temperatures for the trimethoxysilane solution, the trinormalpropoxysilane solution and the trinormalbutoxysilane solution are in the ranges of 0 to 10 ° C, 35 to 80 ° C, and 80 to 120 ° C, respectively.

【0036】さらになお、上記参考例では、第1および
第3の酸化シリコン膜がプラズマTEOS酸化膜であっ
たが、トリメトキシシラン,トリエトキシシラン,トリ
ノルマルプロポキシシランあるいはトリノルマルブトキ
シシランを気化したガスと酸素ガスとを用いたPECV
Dによる酸化シリコン膜でもよい。これらの酸化シリコ
ン膜も、シリコン基板に対しては圧縮応力を有する。2
次イオン質量分析法(SIMS)によると、プラズマT
EOS酸化膜中の炭素は1021個/cm3 台であるが、
例えばトリエトキシシランガスを用いたPECVDによ
る酸化シリコン膜中の炭素は1020個/cm3 台とな
る。この結果、プラズマTEOS酸化膜に比べて、トリ
アルコキシシランガスを用いたPECVDによる酸化シ
リコン膜は、抵抗率が上昇し、トラップ順位密度が低下
する。このため、本参考例において、これらのトリアル
コキシシランガスを用いたPECVDにより第1,第3
の酸化シリコン膜を形成するならば、上層配線と下層配
線との間の絶縁特性の向上と、寄生MOSトランジスタ
効果の低減とが成される。
Furthermore, in the above reference example , the first and third silicon oxide films were plasma TEOS oxide films, but trimethoxysilane, triethoxysilane, trinormal propoxysilane or trinormal butoxysilane was vaporized. PECV using gas and oxygen gas
D may be a silicon oxide film. These silicon oxide films also have a compressive stress on the silicon substrate. 2
According to secondary ion mass spectrometry (SIMS), plasma T
Although the carbon in the EOS oxide film is of the order of 10 21 / cm 3 ,
For example, the number of carbon atoms in a silicon oxide film formed by PECVD using triethoxysilane gas is on the order of 10 20 atoms / cm 3 . As a result, as compared with the plasma TEOS oxide film, the silicon oxide film formed by PECVD using the trialkoxysilane gas has an increased resistivity and a reduced trap order density. For this reason, in the present reference example , the first and third samples are formed by PECVD using these trialkoxysilane gases.
When the silicon oxide film is formed, the insulation characteristics between the upper layer wiring and the lower layer wiring are improved, and the effect of the parasitic MOS transistor is reduced.

【0037】半導体装置の製造工程の模式断面図である
図4および図5を参照すると、本発明の第1の実施例
は、下層絶縁膜の形状と第1,第2および第3の酸化シ
リコン膜の形成方法とにおいて、上記参考例と異なって
いる。
FIG. 3 is a schematic cross-sectional view of a manufacturing process of a semiconductor device.
Referring to FIG. 4 and FIG.First embodiment
Indicates the shape of the lower insulating film and the first, second and third oxide films.
And a method of forming a recon film.Reference example aboveDifferent from
I have.

【0038】まず、上記参考例と同様の方法により、シ
リコン基板101表面に下層絶縁膜112を形成する。
この下層絶縁膜112の膜厚は上記参考例の下層絶縁膜
111の膜厚より0.8μm程度(BPSG膜が)厚く
なっている。回転塗布法等により、下地絶縁膜112表
面を完全に覆う有機系のSOG膜119を形成する〔図
4(a)〕。
First, a lower insulating film 112 is formed on the surface of the silicon substrate 101 in the same manner as in the above-described reference example .
The thickness of the lower insulating film 112 is about 0.8 μm (of the BPSG film) thicker than the thickness of the lower insulating film 111 of the reference example . An organic SOG film 119 that completely covers the surface of the base insulating film 112 is formed by a spin coating method or the like (FIG. 4A).

【0039】次に、上記参考例と同様のRIEにより、
SOG膜119が完全に除去されるまでエッチバックを
行い、上面が平坦化された膜厚が0.6μm程度の層間
絶縁膜112bを形成する。続いて、上記参考例と同様
に、膜厚0.06μm程度のチタン膜121b,膜厚
0.1μm程度の窒化チタン膜122b,膜厚0.6μ
m程度のアルミ−銅−シリコン合金膜123bおよび膜
厚0.05μm程度の窒化チタン膜124bを順次堆積
し、これら4層の積層金属膜をパターニングして、下層
配線126A,下層配線126B,下層配線126Cお
よび下層配線126D等を形成する〔図4(b)〕。
Next, by the same RIE as in the above reference example ,
Etchback is performed until the SOG film 119 is completely removed, and an interlayer insulating film 112b having a flattened upper surface and a thickness of about 0.6 μm is formed. Subsequently, similarly to the above reference example , a titanium film 121b having a thickness of about 0.06 μm, a titanium nitride film 122b having a thickness of about 0.1 μm, and a thickness of 0.6 μm.
m, an aluminum-copper-silicon alloy film 123b of about m and a titanium nitride film 124b of about 0.05 μm in thickness are sequentially deposited, and the four-layered metal film is patterned to form lower wiring 126A, lower wiring 126B, lower wiring. 126C and the lower wiring 126D are formed (FIG. 4B).

【0040】次に、シラン(SH4 )ガスと亜酸化窒素
(N2 O)ガスとを用いた第1のPECVDにより、下
層配線126A等の上面および下層絶縁膜112bの露
出表面上での膜厚が0.2μm程度の第1の酸化シリコ
ン膜134を形成する。酸化シリコン膜134は、シリ
コン基板101に対して、108 Pa台の圧縮応力を有
している。下層配線126A等の側面におけるこの酸化
シリコン膜134の膜厚は、高々1.0μm程度であ
る。このように、この酸化シリコン膜134の狭義の段
差被覆性は上記参考例の酸化シリコン膜131より多少
劣るが、この酸化シリコン膜134はカーボン・フリー
である。
Next, the first PECVD using silane (SH 4 ) gas and nitrous oxide (N 2 O) gas is performed to form a film on the upper surface of the lower wiring 126A and the like and on the exposed surface of the lower insulating film 112b. A first silicon oxide film 134 having a thickness of about 0.2 μm is formed. The silicon oxide film 134 has a compressive stress on the order of 10 8 Pa with respect to the silicon substrate 101. The thickness of the silicon oxide film 134 on the side surface of the lower wiring 126A and the like is at most about 1.0 μm. As described above , the step coverage in the narrow sense of the silicon oxide film 134 is somewhat inferior to the silicon oxide film 131 of the above-described reference example , but the silicon oxide film 134 is carbon-free.

【0041】その後、図3に示したAPCVD装置を用
いて、恒温槽183を30℃の温度に保持し、恒温槽1
83内にはトリエトキシシラン溶液184の代りに分子
式が(HSiO3/28 からなる水素化シルセスキオキ
サン溶液を入れ、基板温度を400℃にして、水素化シ
ルセスキオキサンガスおよびオゾンガスを用いた熱CV
Dにより第2の酸化シリコン膜135を形成する。この
酸化シリコン膜135の平均膜厚は1.2μm程度であ
り、この膜の上面の滑らかさ,この膜の(狭い空隙部分
への充填性を含む)広義の段差被覆性は上記参考例の第
1の酸化シリコン膜131と同程度である。この酸化シ
リコン膜135の上面における最高位の位置と最低位の
位置との段差は0.8μm程度(下層配線の膜厚に等し
い)である。また、この酸化シリコン膜135も、膜厚
2.5μm程度まで、クラックを発生させずに成膜でき
る。続いて、上記参考例と同様の方法により、酸化シリ
コン膜135表面を完全に覆う有機系のSOG膜139
bを形成する〔図4(c)〕。
Thereafter, using the APCVD apparatus shown in FIG. 3, the thermostat 183 was maintained at a temperature of 30 ° C.
A hydrogenated silsesquioxane solution having a molecular formula of (HSiO 3/2 ) 8 is put in the 83 in place of the triethoxysilane solution 184, the substrate temperature is set to 400 ° C., and the hydrogenated silsesquioxane gas and the ozone gas Heat CV using
D is used to form a second silicon oxide film 135. The average film thickness of the silicon oxide film 135 is about 1.2 μm. The smoothness of the upper surface of the film and the step coverage of the film in a broad sense (including the filling of narrow gaps) are the same as those of the above reference example . One silicon oxide film 131 is substantially the same. The step between the highest position and the lowest position on the upper surface of the silicon oxide film 135 is about 0.8 μm (equal to the thickness of the lower wiring). The silicon oxide film 135 can be formed up to a thickness of about 2.5 μm without generating cracks. Subsequently, an organic SOG film 139 that completely covers the surface of the silicon oxide film 135 is formed in the same manner as in the above-described reference example.
b is formed (FIG. 4C).

【0042】次に、上記参考例と同様に、RIEを用い
たエッチバックにより、上記SOG膜139bを完全に
除去し、平坦化された上面を有する酸化シリコン膜13
5bが形成される。この酸化シリコン膜135bの最小
膜厚は、0.2μm程度である。続いて、上記酸化シリ
コン膜134の形成に用いたと同様の第2のPECVD
により、膜厚0.2μm程度の第3の酸化シリコン膜1
36を形成する。これにより、本実施例による層間絶縁
膜の形成が終了する。さらに上記参考例と同様の方法に
より、下層配線126A等に達する複数のスルーホール
141bを形成する〔図5(a)〕。
Next, similarly to the above-described reference example , the SOG film 139b is completely removed by etch-back using RIE, and the silicon oxide film 13 having a planarized upper surface is removed.
5b is formed. The minimum thickness of the silicon oxide film 135b is about 0.2 μm. Subsequently, a second PECVD similar to that used for forming the silicon oxide film 134 is performed.
As a result, the third silicon oxide film 1 having a thickness of about 0.2 μm
36 is formed. Thus, the formation of the interlayer insulating film according to the present embodiment is completed. Further, a plurality of through-holes 141b reaching the lower layer wiring 126A and the like are formed by the same method as in the above-described reference example (FIG. 5A).

【0043】次に、上記参考例と同様の方法により、全
面に膜厚0.01μm程度のチタン膜151b,膜厚
0.05μm程度の窒化チタン膜152bおよび膜厚
0.2μm程度のタングステン膜153bを形成し、エ
ッチバックによりスルーホール141b内を充填する姿
態を有したタングステン膜153b,窒化チタン膜15
2bおよびチタン膜151bを残置する。さらに上記参
考例と同様の方法により、膜厚0.06μm程度のチタ
ン膜161b,膜厚0.1μm程度の窒化チタン膜16
2b,膜厚0.6μm程度のアルミ−銅−シリコン合金
膜163bおよび膜厚0.05μm程度の窒化チタン膜
164bを順次堆積し、これら4層の積層金属膜をパタ
ーニングして、上層配線165b等の複数の上層配線を
形成し、本実施例による半導体装置の製造が完了する
〔図5(b)〕。
Next, a titanium film 151b having a thickness of about 0.01 μm, a titanium nitride film 152b having a thickness of about 0.05 μm, and a tungsten film 153b having a thickness of about 0.2 μm are formed on the entire surface in the same manner as in the above-described reference example. And a tungsten film 153b and a titanium nitride film 15 having a form of filling the through holes 141b by etch back.
2b and the titanium film 151b are left. In addition the participants
The titanium film 161b having a thickness of about 0.06 μm and the titanium nitride film 16 having a thickness of about 0.1 μm are formed in the same manner as in the example.
2b, an aluminum-copper-silicon alloy film 163b having a thickness of about 0.6 μm and a titanium nitride film 164b having a thickness of about 0.05 μm are sequentially deposited, and the four-layered metal film is patterned to form an upper wiring 165b and the like. Are formed, and the manufacture of the semiconductor device according to the present embodiment is completed (FIG. 5B).

【0044】本実施例は、上記参考例の有する効果を有
する。さらに本実施例の第2の酸化シリコン膜の含水
量,水分の吸着性,比誘電率および抵抗率等が、上記参
考例の第2の酸化シリコン膜のそれらより、より好まし
い。このため、コンタクト抵抗の上昇,浮遊容量の上昇
およびMOSトランジスタを含む半導体装置におけるM
OSトランジスタのしきい値電圧の高温バイアステスト
による変動等に関しては、本実施例は上記参考例よりさ
らに効果がある。コンタクト抵抗を例にとると、本実施
例の採用により、0.5μm□のスルーホールでは約
0.5Ω/個となる。また、5V,1000時間のBT
による変動は、ΔVT /VT −2%となる。
This embodiment has the effects of the above-mentioned reference example . Further, the water content, water adsorbability, relative dielectric constant, resistivity, and the like of the second silicon oxide film of this embodiment are the same as those described above.
It is more preferable than those of the second silicon oxide film of the example. Therefore, the contact resistance increases, the stray capacitance increases, and the M
The present embodiment is more effective than the above-mentioned reference example in terms of fluctuation of the threshold voltage of the OS transistor due to the high-temperature bias test. Taking the contact resistance as an example, the adoption of this embodiment results in about 0.5 Ω / piece for a 0.5 μm square through hole. 5V, 1000 hours BT
Is ΔV T / V T -2%.

【0045】また、本実施例の第1(および第3)の酸
化シリコン膜がカーボン・フリーであることと、本実施
例の第2の酸化シリコン膜が含水量および水分の吸着性
が低いこととから、上層配線と下層配線との間の絶縁特
性の向上および寄生MOSトランジスタ効果の低減に関
しては上記参考例よりさらに有効である。さらに、本実
施例では下地絶縁膜の上面が平坦であるため、上記参考
より下層配線の加工精度が向上し、下層配線の微細化
が容易になる。
Further, the first (and third) silicon oxide film of this embodiment is free of carbon, and the second silicon oxide film of this embodiment has low water content and low water adsorbability. Thus, the improvement of the insulation characteristics between the upper layer wiring and the lower layer wiring and the reduction of the parasitic MOS transistor effect are more effective than the above reference example . Furthermore, in the present embodiment is a flat upper surface of the underlying insulating film, the reference
Processing accuracy of the lower wiring is improved as compared with the example , and miniaturization of the lower wiring is facilitated.

【0046】なお、上記第1の実施例でも下層配線等の
主材料がアルミであったが、銅あるいは金が主材料でも
よい。また、第2の酸化シリコン膜を形成するための原
料ガスの一部として用いる水素化シルセスキオキサンガ
スを、分子式が(HSiO3/28 からなる水素化シル
セスキオキサン溶液のバブリングにより得ていたが、分
子式が(HSiO3/210,(HSiO3/212,(H
SiO3/214,(HSiO3/216,(HSiO
3/218あるいは(HSiO3/220からなる水素化シ
ルセスキオキサン溶液のバブリングによる水素化シルセ
スキオキサンガスを用いることもできる。
[0046] Note that the main material of the lower layer wiring and the like even on SL first embodiment was aluminum, copper or gold may be the main material. Further, a hydrogenated silsesquioxane gas used as a part of a source gas for forming the second silicon oxide film is formed by bubbling a hydrogenated silsesquioxane solution having a molecular formula of (HSiO 3/2 ) 8. The molecular formulas were (HSiO 3/2 ) 10 , (HSiO 3/2 ) 12 , (H
SiO 3/2 ) 14 , (HSiO 3/2 ) 16 , (HSiO
A hydrogenated silsesquioxane gas obtained by bubbling a hydrogenated silsesquioxane solution comprising 3/2 ) 18 or (HSiO 3/2 ) 20 can also be used.

【0047】さらになお、上記第1の実施例では、第1
および第3の酸化シリコン膜がシランガスの亜酸化窒素
ガスとを用いたPECVDにより形成されていたが、水
素化シルセスキオキサン(ここで、(HSiO
3/22m)のmは4〜10の範囲の整数)溶液を不活性
ガスによりバブリングしたガスおよび酸素ガスを原料ガ
スに用いたPECVDによる酸化シリコン膜でもよい。
この酸化シリコン膜の狭義の段差被覆性はプラズマTE
OS酸化膜と同程度であり、この酸化シリコン膜はシリ
コン基板に対しては108 Pa台の圧縮応力を有する。
SIMS測定によると、このPECVDによる酸化シリ
コン膜の膜中の炭素は、SIMSの測定限界(1016
/cm3 程度)以下の値である。すなわち、この酸化シ
リコン膜もカーボン・フリーとみなせる。
Further, in the first embodiment , the first
And the third silicon oxide film was formed by PECVD using silane gas and nitrous oxide gas. However, hydrogenated silsesquioxane (here, (HSiO
3/2 ) 2m ) m is an integer in the range of 4 to 10) A silicon oxide film by PECVD using a gas obtained by bubbling a solution with an inert gas and an oxygen gas as a source gas may be used.
The narrow step coverage of this silicon oxide film is plasma TE
This is about the same as the OS oxide film, and this silicon oxide film has a compressive stress of the order of 10 8 Pa against the silicon substrate.
According to the SIMS measurement, the carbon in the silicon oxide film formed by PECVD has a value less than the SIMS measurement limit (about 10 16 / cm 3 ). That is, this silicon oxide film can also be regarded as carbon-free.

【0048】半導体装置の製造工程の模式断面図である
図6および図7を参照すると、本発明の第2の実施例
は、サリサイド構造のソース・ドレイン領域を有するN
チャネルMOSトランジスタを含んだ半導体装置の製造
方法に関するものであり、次のようになっている。
FIG. 5 is a schematic cross-sectional view of a semiconductor device manufacturing process.
Referring to FIG. 6 and FIG.Second embodiment
Represents N having a salicide structure source / drain region.
Manufacturing of semiconductor device including channel MOS transistor
It is about the method and it is as follows.

【0049】まず、P型シリコン基板201表面の素子
分離領域に、膜厚0.6μm程度のLOCOS型のフィ
ールド酸化膜211が形成される。P型シリコン基板2
01表面の素子形成領域に、膜厚15nm程度のゲート
酸化膜212が形成される。さらに、ゲート電極221
A,ゲート電極221B,ゲート電極221Cおよびゲ
ート電極221D等が形成され、N+ 型拡散層202
A,N+ 型拡散層202BおよびN+ 型拡散層202C
等が形成される。これらゲート電極221A等の膜厚は
0.3μm程度であり、これらゲート電極221A等の
側面は膜厚0.1μm程度の絶縁膜スペーサ(図示せ
ず)により覆われている。これらゲート電極221A等
は、N+ 型の多結晶シリコン膜,高融点金属膜,高融点
金属シリサイド膜あるいは高融点金属ポリサイド膜から
なる。絶縁膜スペーサの形成に際して、N+ 型拡散層2
02B等の表面のゲート酸化膜212は除去される。ス
パッタリングによるチタン膜の全面への堆積,高々60
0℃程度の熱処理および未反応チタン膜の除去により、
少なくともN+ 型拡散層202A,N+ 型拡散層202
BおよびN+ 型拡散層202C等の表面には膜厚0.1
μm程度のチタンシリサイド膜204が形成される。こ
れにより、サリサイド構造のソース・ドレイン領域を有
するNチャネルMOSトランジスタが完成する〔図6
(a)〕。この段階でのN+ 型拡散層202A等のP−
N接合の深さは0.1μm程度である。
First, a LOCOS type field oxide film 211 having a thickness of about 0.6 μm is formed in an element isolation region on the surface of a P-type silicon substrate 201. P-type silicon substrate 2
A gate oxide film 212 having a thickness of about 15 nm is formed in the element formation region on the surface of the substrate 01. Further, the gate electrode 221
A, the gate electrode 221B, such as the gate electrode 221C and the gate electrode 221D are formed, N + -type diffusion layer 202
A, N + type diffusion layer 202B and N + type diffusion layer 202C
Are formed. The gate electrodes 221A and the like have a thickness of about 0.3 μm, and the side surfaces of the gate electrodes 221A and the like are covered with an insulating film spacer (not shown) having a thickness of about 0.1 μm. These gate electrodes 221A and the like are made of an N + -type polycrystalline silicon film, a high melting point metal film, a high melting point metal silicide film, or a high melting point metal polycide film. When forming the insulating film spacer, the N + type diffusion layer 2
The gate oxide film 212 on the surface such as 02B is removed. Deposition of titanium film on the entire surface by sputtering, at most 60
By heat treatment of about 0 ° C and removal of unreacted titanium film,
At least N + type diffusion layer 202A, N + type diffusion layer 202
The surface of the B and N + type diffusion layers 202C and the like have a thickness of 0.1
A titanium silicide film 204 of about μm is formed. Thus, an N-channel MOS transistor having a source / drain region having a salicide structure is completed [FIG.
(A)]. At this stage N + -type diffusion layer 202A and the like of the P-
The depth of the N junction is about 0.1 μm.

【0050】次に、上記第1の実施例と同様の方法によ
り、シランガスおよび亜酸化窒素ガスを用いた第1のP
ECVDにより、(下地が平坦な部分での)膜厚0.2
μm程度の第1の酸化シリコン膜234を形成する。続
いて、(分子式が(HSiO3/28 からなる)水素化
シルセスキオキサンガスおよびオゾンガスを用いたAP
CVD(熱CVD)により、平均膜厚1.0μmの第2
の酸化シリコン膜235を形成する。さらに、酸化シリ
コン膜235表面を完全に覆う有機系のSOG膜239
を形成する〔図6(b)〕。
Next, in the same manner as in the first embodiment , a first P using silane gas and nitrous oxide gas is used.
By ECVD, a film thickness of 0.2 (at a flat base portion)
A first silicon oxide film 234 having a thickness of about μm is formed. Subsequently, AP using hydrogenated silsesquioxane gas (having a molecular formula of (HSiO 3/2 ) 8 ) and ozone gas
The second film having an average film thickness of 1.0 μm is formed by CVD (thermal CVD).
Of silicon oxide film 235 is formed. Further, an organic SOG film 239 that completely covers the surface of the silicon oxide film 235
Is formed (FIG. 6B).

【0051】次に、上記参考例と同様に、RIEを用い
たエッチバックにより、上記SOG膜239を完全に除
去し、平坦化された上面を有する酸化シリコン膜235
aを形成する。この酸化シリコン膜235aの最小膜厚
は、0.2μm程度である。続いて、上記酸化シリコン
膜234の形成に用いたと同様の第2のPECVDによ
り、膜厚0.2μm程度の第3の酸化シリコン膜236
を形成する。これにより、本実施例による層間絶縁膜の
形成が終了する。さらに上記参考例と同様の方法によ
り、ソース・ドレイン領域を成すチタンシリサイド膜2
04に達する複数のコンタクトホール241とゲート電
極221D等に達する複数のコンタクトホール242と
を形成する〔図6(c)〕。
Next, as in the above-described reference example , the SOG film 239 is completely removed by etch-back using RIE, and the silicon oxide film 235 having a flattened upper surface is formed.
a is formed. The minimum thickness of the silicon oxide film 235a is about 0.2 μm. Subsequently, a third silicon oxide film 236 having a thickness of about 0.2 μm is formed by second PECVD similar to that used for forming the silicon oxide film 234.
To form Thus, the formation of the interlayer insulating film according to the present embodiment is completed. Further, the titanium silicide film 2 forming the source / drain region is formed in the same manner as in the above reference example.
A plurality of contact holes 241 reaching the gate electrode 221D and the like are formed [FIG. 6 (c)].

【0052】次に、上記参考例と同様の方法により、全
面に膜厚0.01μm程度のチタン膜251a,膜厚
0.05μm程度の窒化チタン膜252aおよび膜厚
0.2μm程度のタングステン膜253aを形成し、エ
ッチバックによりコンタクトホール241,242内を
充填する姿態を有したタングステン膜253a,窒化チ
タン膜252aおよびチタン膜251aを残置する。さ
らに上記参考例と同様の方法により、膜厚0.06μm
程度のチタン膜261a,膜厚0.1μm程度の窒化チ
タン膜262a,膜厚0.6μm程度のアルミ−銅−シ
リコン合金膜263aおよび膜厚0.05μm程度の窒
化チタン膜264aを順次堆積し、これら4層の積層金
属膜をパターニングして、上層配線265A,265B
等の複数の上層配線を形成し、本実施例による半導体装
置の製造が完了する〔図7〕。
Next, a titanium film 251a having a thickness of about 0.01 μm, a titanium nitride film 252a having a thickness of about 0.05 μm, and a tungsten film 253a having a thickness of about 0.2 μm are formed on the entire surface by the same method as in the above-mentioned reference example. Is formed, and the tungsten film 253a, the titanium nitride film 252a, and the titanium film 251a having a form of filling the contact holes 241 and 242 by etch back are left. Further, by the same method as in the above reference example , a film thickness of 0.06 μm
, A titanium nitride film 262a having a thickness of about 0.1 μm, an aluminum-copper-silicon alloy film 263a having a thickness of about 0.6 μm, and a titanium nitride film 264a having a thickness of about 0.05 μm are sequentially deposited. These four-layered metal films are patterned to form upper layer wirings 265A and 265B.
Are formed, and the manufacture of the semiconductor device according to the present embodiment is completed [FIG. 7].

【0053】段差被覆性,充填性,表面の平坦性および
ボイドの発生の抑止などの層間絶縁膜の膜質に直接に依
存する効果と、コンタクト抵抗並びに浮遊容量の上昇の
抑制および上層配線と下層配線(ゲート電極およびソー
ス・ドレイン領域)の間の絶縁性の向上とに関しては、
上記第2の実施例は、上記第1の実施例と同等の効果を
有する。
The effects that directly depend on the film quality of the interlayer insulating film, such as step coverage, filling, surface flatness, and suppression of void generation, suppression of increase in contact resistance and stray capacitance, and upper and lower wiring (Gate electrode and source / drain regions)
The second embodiment has the same effect as the first embodiment .

【0054】MOSトランジスタのしきい値の変動に関
しては、上記第2の実施例は特有の効果を有している。
上記参考例第1の実施例での層間絶縁膜は半導体素子
から隔たった位置に設けられていたが、本実施例の層間
絶縁膜はサリサイド構造のソース・ドレイン領域を有す
るNチャネルMOSトランジスタに隣接する位置に設け
られており、層間絶縁膜の有する特性がトランジスタ特
性に直接に影響を及ぼすことになる。このトランジスタ
を(直接に)覆う層間絶縁膜にはBPSG膜を用いるこ
とができないため、従来の技術では、オゾンTEOS酸
化膜を含んで層間絶縁膜を形成しなければならなかっ
た。その結果、BT等によるしきい値の変動が極めて大
きかった。トランジスタのディメンジョンとBTの条件
とを上記参考例第1の実施例と同じにして比較する
と、従来の半導体装置では、ΔVT /VT の絶対値が2
0%程度を中心にして10%〜60%程度の範囲で大き
くばらついていた。これに対して、本実施例を採用すれ
ば、ΔVT /VT の絶対値は、2%〜4%程度となる。
The second embodiment has a specific effect on the fluctuation of the threshold value of the MOS transistor.
Although the interlayer insulating film in the above reference example and the first embodiment is provided at a position separated from the semiconductor element, the interlayer insulating film of the present embodiment is used for an N-channel MOS transistor having a source / drain region having a salicide structure. The transistor is provided at an adjacent position, and the characteristics of the interlayer insulating film directly affect the transistor characteristics. Since an BPSG film cannot be used as an interlayer insulating film that covers this transistor (directly), an interlayer insulating film including an ozone TEOS oxide film has to be formed in the related art. As a result, the fluctuation of the threshold value due to BT or the like was extremely large. Comparing the transistor dimensions and BT conditions with the same reference example and the first embodiment , the absolute value of ΔV T / V T is 2 in the conventional semiconductor device.
There was a large variation in the range of about 10% to 60% centering on about 0%. On the other hand, if this embodiment is adopted, the absolute value of ΔV T / V T is about 2% to 4%.

【0055】なお、上記第2の実施例においても、上記
第1の実施例と同様に、上層配線の主材料が銅あるいは
金でもよい。また、第2の酸化シリコン膜を形成するた
めの原料ガスの一部として用いる水素化シルセスキオキ
サンガスを、分子式が(HSiO3/210,(HSiO
3/212,(HSiO3/214,(HSiO3/216
(HSiO3/218あるいは(HSiO3/220からな
る水素化シルセスキオキサン溶液のバブリングによる水
素化シルセスキオキサンガスを用いることもできる。さ
らになお、第1および第3の酸化シリコン膜が、水素化
シルセスキオキサン(ここで、(HSiO3/22m)の
mは4〜10の範囲の整数)溶液を不活性ガスによりバ
ブリングしたガスおよび酸素ガスを原料ガスに用いたP
ECVDによる酸化シリコン膜でもよい。
Incidentally, also in the second embodiment described above,
As in the first embodiment , the main material of the upper wiring may be copper or gold. A hydrogen silsesquioxane hydride gas used as a part of a source gas for forming the second silicon oxide film may be a compound having a molecular formula of (HSiO 3/2 ) 10 or (HSiO
3/2 ) 12 , (HSiO 3/2 ) 14 , (HSiO 3/2 ) 16 ,
A hydrogenated silsesquioxane gas obtained by bubbling a hydrogenated silsesquioxane solution composed of (HSiO 3/2 ) 18 or (HSiO 3/2 ) 20 can also be used. Furthermore, the first and third silicon oxide films are formed by bubbling a solution of hydrogenated silsesquioxane (here, m of (HSiO 3/2 ) 2m is an integer of 4 to 10) with an inert gas. P using hydrogen and oxygen gas as source gas
A silicon oxide film formed by ECVD may be used.

【0056】半導体装置の製造工程の模式断面図である
図8を参照すると、本発明の第3の実施例は、フィール
ド酸化膜の形状とその形成方法とが上記第2の実施例
異なっており、次のようになっている。
Referring to FIG. 8, which is a schematic cross-sectional view of a manufacturing process of a semiconductor device, the third embodiment of the present invention differs from the second embodiment in the shape of the field oxide film and the method of forming the same. And it looks like this:

【0057】まず、P型シリコン基板201表面の素子
分離領域に、膜厚0.3μm程度のLOCOS型の第1
のフィールド酸化膜(図示せず)を形成し、この第1の
フィールド酸化膜を除去した後、再び同じ場所に膜厚
0.6μm程度の(改良された)LOCOS型のフィー
ルド酸化膜213を形成する。続いて、P型シリコン基
板201表面の素子形成領域に、膜厚15nm程度のゲ
ート酸化膜214を形成する。フィールド酸化膜213
の上面およびゲート酸化膜214の上面は、概ね一致し
て概ね平坦な一つの平面を形成することになる。
First, a first LOCOS type film having a thickness of about 0.3 μm is formed in the element isolation region on the surface of the P-type silicon substrate 201.
After the first field oxide film is removed, an (improved) LOCOS type field oxide film 213 having a thickness of about 0.6 μm is formed again at the same place. I do. Subsequently, a gate oxide film 214 having a thickness of about 15 nm is formed in the element formation region on the surface of the P-type silicon substrate 201. Field oxide film 213
And the upper surface of the gate oxide film 214 substantially coincide with each other to form one substantially flat plane.

【0058】さらに、ゲート電極222A,ゲート電極
222B,ゲート電極222Cおよびゲート電極222
D等を形成し、N+ 型拡散層203A,N+ 型拡散層2
03BおよびN+ 型拡散層203C等を形成する。これ
らゲート電極222A等の膜厚等の姿態は上記第2の実
施例のゲート電極と同じある。ゲート電極222A等の
側面は膜厚0.1μm程度の絶縁膜スペーサ(図示せ
ず)により覆われている。続いて、少なくともN+ 型拡
散層203A,N+ 型拡散層203BおよびN+型拡散
層203C等の表面にはチタンシリサイド膜204が形
成される。次に、上記第1の実施例と同様の方法によ
り、第1の酸化シリコン膜234,膜厚0.7μm程度
の第2の酸化シリコン膜235を形成する〔図8
(a)〕。
Further, the gate electrode 222A, the gate electrode 222B, the gate electrode 222C and the gate electrode 222
D + and the like, and the N + type diffusion layer 203A, the N + type diffusion layer 2
03B and an N + type diffusion layer 203C are formed. The appearance of the gate electrode 222A and the like such as the film thickness is determined by the second embodiment.
This is the same as the gate electrode of the embodiment. Side surfaces of the gate electrode 222A and the like are covered with an insulating film spacer (not shown) having a thickness of about 0.1 μm. Subsequently, a titanium silicide film 204 is formed on at least the surfaces of the N + -type diffusion layers 203A, the N + -type diffusion layers 203B, the N + -type diffusion layers 203C, and the like. Next, a first silicon oxide film 234 and a second silicon oxide film 235 having a thickness of about 0.7 μm are formed in the same manner as in the first embodiment (FIG. 8).
(A)].

【0059】次に、上記参考例と同様の方法により、酸
化シリコン膜235を平坦の上面を有する酸化シリコン
膜235bに加工する。その後、上記第1の実施例と同
様の方法により、第2のPECVDを用いて第3の酸化
シリコン膜236を形成し、本実施例による層間絶縁膜
の形成が終了する。続いて、上記第2の実施例と同様
に、ソース・ドレイン領域を成すチタンシリサイド膜2
04に達する複数のコンタクトホール243とゲート電
極222D等に達する複数のコンタクトホール244と
を形成する〔図8(b)〕。
Next, the silicon oxide film 235 is processed into a silicon oxide film 235b having a flat upper surface by the same method as in the above-described reference example . After that, a third silicon oxide film 236 is formed using the second PECVD by the same method as in the first embodiment, and the formation of the interlayer insulating film according to the present embodiment is completed. Subsequently, similarly to the second embodiment , the titanium silicide film 2 forming the source / drain region is formed.
A plurality of contact holes 243 reaching the gate electrode 222D and the like are formed [FIG. 8 (b)].

【0060】次に、上記参考例と同様の方法により、全
面にチタン膜251b,窒化チタン膜252bおよびタ
ングステン膜253bを形成し、エッチバックによりコ
ンタクトホール241,242内を充填する姿態を有し
たタングステン膜253b,窒化チタン膜252bおよ
びチタン膜251bを残置する。さらに上記参考例と同
様の方法により、チタン膜261b,窒化チタン膜26
2b,アルミ−銅−シリコン合金膜263bおよび窒化
チタン膜264bを順次堆積し、これら4層の積層金属
膜をパターニングして、上層配線266A,266B等
の複数の上層配線を形成し、本実施例による半導体装置
の製造が完了する〔図8(c)〕。
Next, a titanium film 251b, a titanium nitride film 252b, and a tungsten film 253b are formed on the entire surface by the same method as that of the above-described reference example , and the tungsten having the appearance of filling the contact holes 241 and 242 by etch back is formed. The film 253b, the titanium nitride film 252b, and the titanium film 251b are left. Further, the titanium film 261b and the titanium nitride film 26 are formed in the same manner as in the above reference example.
2b, an aluminum-copper-silicon alloy film 263b and a titanium nitride film 264b are sequentially deposited, and the four-layered metal film is patterned to form a plurality of upper wirings such as upper wirings 266A and 266B. (FIG. 8 (c)).

【0061】上記第3の実施例は、上記第2の実施例
有する効果を有する。さらに本実施例では、フィールド
酸化膜の上面およびゲート酸化膜の上面が概ね一致して
概ね平坦な一つの平面を成すことから、上記第2の実施
に比べて、ゲート電極の加工精度が向上してゲート電
極の微細化が容易になり、ゲート電極に達するコンタク
トホールとソース・ドレイン領域に達するコンタクトホ
ールのアスペクト比の差が少なくなることからコンタク
トホールの加工精度およびこれらへの導電体膜の充填が
容易になる。
The third embodiment has the same effects as the second embodiment . Further, in this embodiment, since the top surfaces and the gate oxide film of the field oxide film forms a generally consistent with generally flat one plane, the second embodiment
Compared to the example , the processing accuracy of the gate electrode is improved and the miniaturization of the gate electrode is facilitated, and the difference in aspect ratio between the contact hole reaching the gate electrode and the contact hole reaching the source / drain region is reduced. The processing accuracy of the holes and the filling of the conductive film into these holes are facilitated.

【0062】なお、上記第3の実施例では、フィールド
酸化膜の上面およびゲート酸化膜の上面が概ね一致して
概ね平坦な一つの平面となすために、改良LOCOS型
のフィールド酸化膜を採用したが、これの代りに溝分離
型のフィールド絶縁膜を用いてもよく、あるいは溝分離
型のフィールド絶縁膜と改良LOCOS型のフィールド
酸化膜とを併用してもよい。
In the third embodiment , an improved LOCOS type field oxide film is employed in order that the upper surface of the field oxide film and the upper surface of the gate oxide film are substantially coincident with each other to form one substantially flat plane. However, a trench isolation type field insulating film may be used instead, or a trench isolation type field insulating film and an improved LOCOS type field oxide film may be used in combination.

【0063】[0063]

【発明の効果】以上説明したように本発明の半導体装置
の製造方法によると、第1のPECVDによる第1の酸
化シリコン膜,熱CVDによる第2の酸化シリコン膜お
よび第2のPECVDによる第3の酸化シリコン膜から
層間絶縁膜を形成するに際して、水素化シルセスキオキ
サン溶液を不活性ガスによりバブリングしたガスとオゾ
ンガスとを用いた熱CVDにより第2の酸化シリコン膜
が形成される。この第2の酸化シリコン膜は、オゾンT
EOS酸化膜より含水量が少ないため、サリサイド構造
のソース・ドレイン領域を下層配線とする場合を含め
て、下層配線に対する段差被覆性に優れてクラック発生
が抑止され、さらに、コンタクト抵抗の上昇および上層
配線と下層配線との間の浮遊容量の上昇を低減し、MO
Sトランジスタを含む半導体装置においてはMOSトラ
ンジスタのしきい値電圧の変動を抑制することが容易に
なる。
As described above, according to the semiconductor device manufacturing method of the present invention, the first silicon oxide film formed by the first PECVD, the second silicon oxide film formed by the thermal CVD, and the third silicon oxide film formed by the second PECVD. in forming an interlayer insulating film of a silicon oxide film, hydrogenated Shirusesukioki
A second silicon oxide film is formed by thermal CVD using a gas obtained by bubbling a sun solution with an inert gas and an ozone gas. This second silicon oxide film is made of ozone T
Since the water content is lower than that of the EOS oxide film, cracks are suppressed due to excellent step coverage of the lower wiring, including the case where the source / drain regions of the salicide structure are used as the lower wiring. The rise in stray capacitance between the wiring and the lower wiring is reduced,
In a semiconductor device including an S transistor, it becomes easy to suppress a change in threshold voltage of a MOS transistor.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に関連する半導体装置の製造方法の参考
の製造工程の断面模式図である。
FIG. 1 is a reference of a method of manufacturing a semiconductor device according to the present invention.
It is a cross-sectional schematic diagram of the manufacturing process of an example .

【図2】上記参考例の製造工程の模式断面図である。FIG. 2 is a schematic cross-sectional view of a manufacturing process of the reference example .

【図3】上記参考例に使用する化学気相成長装置の模式
図である。
FIG. 3 is a schematic diagram of a chemical vapor deposition apparatus used in the reference example .

【図4】本発明の第1の実施例の製造工程の模式断面図
である。
FIG. 4 is a schematic sectional view of a manufacturing process according to the first embodiment of the present invention.

【図5】上記第1の実施例の製造工程の模式断面図であ
る。
FIG. 5 is a schematic sectional view of a manufacturing process of the first embodiment .

【図6】本発明の第2の実施例の製造工程の模式断面図
である。
FIG. 6 is a schematic sectional view of a manufacturing process according to a second embodiment of the present invention.

【図7】上記第2の実施例の製造工程の模式断面図であ
る。
FIG. 7 is a schematic sectional view of a manufacturing process of the second embodiment .

【図8】本発明の第3の実施例の製造工程の模式断面図
である。
FIG. 8 is a schematic sectional view of a manufacturing process according to a third embodiment of the present invention.

【図9】従来の半導体装置の製造方法を説明するための
模式断面図である。
FIG. 9 is a schematic cross-sectional view for explaining a conventional method for manufacturing a semiconductor device.

【符号の説明】[Explanation of symbols]

101 シリコン基板 111,112,112b,311 下地絶縁膜 119,139a,139b,239 SOG膜 121a,121b,151a,151b,161a,
161b,251a,251b,261a,261b
チタン膜 122a,122b,124a,124b,152a,
152b,162a,162b,164a,164b,
252a,252b,262a,262b,264a,
264b 窒化チタン膜 123a,123b,163a,163b,263a,
263b アルミ−銅−シリコン合金膜 125A〜125D,126A〜126D,321
下層配線 131,132,132a,133,134,135,
135b,136,234,235,235a,235
b,236,331,332,333 酸化シリコン
膜 141a,141b スルーホール 153a,153b,253a,253b タングス
テン膜 165a,165b,265A,265B,266A,
266B,361上層配線 181a〜181c 流量コントローラ 182 オゾン発生器 183 恒温槽 184 トリエトキシシラン溶液 185 反応室 186 デスパージョンヘッド 187 サセプタ 188 ヒータ 189 半導体基体 201 P型シリコン基板 202A〜202C,203A〜203C N+ 型拡
散層 204 チタンシリサイド膜 211,213 フィールド酸化膜 212,214 ゲート酸化膜 221A〜221D,222A〜222D ゲート電
極 241,242,243,244 コンタクトホール 301 半導体基板
101 silicon substrate 111, 112, 112b, 311 base insulating film 119, 139a, 139b, 239 SOG film 121a, 121b, 151a, 151b, 161a,
161b, 251a, 251b, 261a, 261b
The titanium films 122a, 122b, 124a, 124b, 152a,
152b, 162a, 162b, 164a, 164b,
252a, 252b, 262a, 262b, 264a,
264b titanium nitride films 123a, 123b, 163a, 163b, 263a,
263b Aluminum-copper-silicon alloy film 125A-125D, 126A-126D, 321
Lower layer wiring 131, 132, 132a, 133, 134, 135,
135b, 136, 234, 235, 235a, 235
b, 236, 331, 332, 333 Silicon oxide films 141a, 141b Through holes 153a, 153b, 253a, 253b Tungsten films 165a, 165b, 265A, 265B, 266A,
266B, 361 Upper wiring 181a-181c Flow controller 182 Ozone generator 183 Constant temperature bath 184 Triethoxysilane solution 185 Reaction chamber 186 Dispersion head 187 Susceptor 188 Heater 189 Semiconductor base 201 P-type silicon substrate 202A-202C, 203A-203C N + Diffusion layer 204 Titanium silicide film 211, 213 Field oxide film 212, 214 Gate oxide film 221A-221D, 222A-222D Gate electrode 241, 242, 243, 244 Contact hole 301 Semiconductor substrate

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.6,DB名) H01L 21/31 H01L 21/312 - 21/3205 H01L 21/3213 H01L 21/768──────────────────────────────────────────────────続 き Continued on the front page (58) Field surveyed (Int.Cl. 6 , DB name) H01L 21/31 H01L 21/312-21/3205 H01L 21/3213 H01L 21/768

Claims (10)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 表面に所定の半導体素子が設けられたシ
リコン基板表面を覆う上面が滑らかな下層絶縁膜を形成
する工程と、 前記下層絶縁膜の表面上に複数の下層配線を形成する工
程と、 第1のプラズマ励起化学気相成長法により、前記下層配
線の露出面および前記下層絶縁膜の露出面を直接に覆う
第1の酸化シリコン膜を形成する工程と、mが4以上10以下の整数からなる 水素化シルセスキオ
キサン((HSiO3/22m)溶液を不活性ガスにより
バブリングしたガスとオゾンガスとによる熱化学気相成
長法により、前記第1の酸化シリコン膜の表面を直接に
覆う第2の酸化シリコン膜を形成する工程と、 前記第2の酸化シリコン膜上に有機系のSOG膜を形成
し、反応性イオンエッチングによるエッチバックを行な
い、該第2の酸化シリコン膜の上面を平坦化する工程
と、 第2のプラズマ励起化学気相成長法により、平坦化され
た前記第2の酸化シリコン膜の上面を直接に覆う第3の
酸化シリコン膜を形成する工程と、 フォトレジスト膜をマスクにして前記第3,第2および
第1の酸化シリコン膜を順次エッチングすることによ
り、前記下層配線の所定位置に達する複数のスルーホー
ルを形成する工程と、 前記第3の酸化シリコン膜の表面上に、複数の上層配線
を形成する工程とを有することを特徴とする半導体装置
の製造方法。
A step of forming a lower insulating film having a smooth upper surface covering a surface of a silicon substrate on which a predetermined semiconductor element is provided; and a step of forming a plurality of lower wirings on the surface of the lower insulating film. , the first plasma enhanced chemical vapor deposition, and forming a first silicon oxide film covering the exposed surface of the exposed surface and the lower insulating film of the lower layer wiring directly, m is 4 to 10 The surface of the first silicon oxide film is directly formed by a thermochemical vapor deposition method using an ozone gas and a gas obtained by bubbling a hydrogenated silsesquioxane ((HSiO 3/2 ) 2m ) solution of an integer with an inert gas. Forming an organic SOG film on the second silicon oxide film and performing etch-back by reactive ion etching to form a second silicon oxide film on the second silicon oxide film; A step of planarizing the upper surface of the silicon film, and a step of forming a third silicon oxide film directly covering the planarized upper surface of the second silicon oxide film by a second plasma-enhanced chemical vapor deposition method Forming a plurality of through holes reaching predetermined positions of the lower wiring by sequentially etching the third, second, and first silicon oxide films using a photoresist film as a mask; Forming a plurality of upper wirings on the surface of the silicon oxide film.
【請求項2】 前記下層絶縁膜が、平坦化された上面を
有することを特徴とする請求項1記載の半導体装置の製
造方法。
2. The method according to claim 1, wherein the lower insulating film has a flattened upper surface.
【請求項3】 前記第1のプラズマ励起化学気相成長法
が、シランガスおよび亜酸化窒素ガス,テトラエトキシ
シラン(Si(OC254 )溶液を不活性ガスによ
りバブリングしたガスおよび酸素ガス,トリアルコキシ
シラン溶液を不活性ガスによりバブリングしたガスおよ
び酸素ガス,あるいはmが4以上10以下の整数からな
水素化シルセスキオキサン((HSiO 3/2 2m
液を不活性ガスによりバブリングしたガスおよび酸素ガ
スのいずれかの混合ガスを原料ガスとするプラズマ励起
化学気相成長法であることを特徴とする請求項1あるい
は請求項2記載の半導体装置の製造方法。
3. The method of claim 1, wherein the first plasma-enhanced chemical vapor deposition method comprises a step of bubbling a silane gas, a nitrous oxide gas, and a solution of tetraethoxysilane (Si (OC 2 H 5 ) 4 ) with an inert gas and an oxygen gas. A gas obtained by bubbling a trialkoxysilane solution with an inert gas and an oxygen gas, or m is an integer of 4 or more and 10 or less.
That hydrogenated silsesquioxane that is ((HSiO 3/2) 2m) solution of either a mixed gas of the bubbled gas and oxygen gas with an inert gas and raw material gas plasma enhanced chemical vapor deposition 3. The method for manufacturing a semiconductor device according to claim 1, wherein
【請求項4】 前記第2のプラズマ励起化学気相成長法
が、シランガスおよび亜酸化窒素ガス,テトラエトキシ
シラン溶液を不活性ガスによりバブリングしたガスおよ
び酸素ガス,トリアルコキシシラン溶液を不活性ガスに
よりバブリングしたガスおよび酸素ガス,あるいはmが
4以上10以下の整数からなる水素化シルセスキオキサ
((HSiO 3/2 2m )溶液を不活性ガスによりバブ
リングしたガスおよび酸素ガスのいずれかの混合ガスを
原料ガスとするプラズマ励起化学気相成長法であること
を特徴とする請求項1,請求項2あるいは請求項3記載
の半導体装置の製造方法。
4. The second plasma-excited chemical vapor deposition method comprises the steps of: bubbling a silane gas, a nitrous oxide gas, a tetraethoxysilane solution with an inert gas, an oxygen gas, and a trialkoxysilane solution with an inert gas. Bubbling gas and oxygen gas or m
Plasma-excited chemical gas using a mixed gas of a gas obtained by bubbling a hydrogenated silsesquioxane ((HSiO 3/2 ) 2m ) solution consisting of an integer of 4 or more and 10 or less with an inert gas or an oxygen gas as a source gas. 4. The method for manufacturing a semiconductor device according to claim 1, wherein the method is a phase growth method.
【請求項5】 前記第1もしくは第2のプラズマ励起化
学気相成長法に用いる前記トリアルコキシシラン(HS
i(OR)3 )が、トリメトキシシラン(HSi(OC
33 ),トリエトキシシラン(HSi(OC2
53 ),トリノルマルプロポキシシラン(HSi(n
−OC373 )およびトリノルマルブトキシシラン
(HSi(n−OC493 )のいずれかであること
を特徴とする請求項3あるいは請求項4記載の半導体装
置の製造方法。
5. The first or second plasma excitation
The trialkoxysilane (HS) used for chemical vapor deposition
i (OR) 3 ) is trimethoxysilane (HSi (OC
H 3 ) 3 ), triethoxysilane (HSi (OC 2 H
5 ) 3 ), trinormal propoxysilane (HSi (n
5. The method for manufacturing a semiconductor device according to claim 3 , wherein the semiconductor device is any one of —OC 3 H 7 ) 3 ) and trinormal butoxysilane (HSi (n-OC 4 H 9 ) 3 ). 6.
【請求項6】 一導電型シリコン基板の表面にフィール
ド絶縁膜とゲート絶縁膜とからなる表面が滑らかな下層
絶縁膜を形成し、該下層絶縁膜の表面上に複数のゲート
電極を形成し、該シリコン基板の表面に逆導電型でサリ
サイド構造の複数の拡散層を形成する工程と、 第1のプラズマ励起化学気相成長法により、前記ゲート
電極および拡散層を含んで前記下層絶縁膜を覆う第1の
酸化シリコン膜を形成する工程と、mが4以上10以下の整数からなる 水素化シルセスキオ
キサン((HSiO3/22m)溶液を不活性ガスにより
バブリングしたガスとオゾンガスとによる熱化学気相成
長法により、前記第1の酸化シリコン膜の表面を直接に
覆う第2の酸化シリコン膜を形成する工程と、 前記第2の酸化シリコン膜上に有機系のSOG膜を形成
し、反応性イオンエッチングによるエッチバックを行な
い、該第2の酸化シリコン膜の上面を平坦化する工程
と、 第2のプラズマ励起化学気相成長法により、平坦化され
た前記第2の酸化シリコン膜の上面を直接に覆う第3の
酸化シリコン膜を形成する工程と、 フォトレジスト膜をマスクにして前記第3,第2および
第1の酸化シリコン膜を順次エッチングすることによ
り、前記ゲート電極の所定位置および前記拡散層の所定
位置にそれぞれ達する複数のコンタクトホールを形成す
る工程と、 前記第3の酸化シリコン膜の表面上に、複数の上層配線
を形成する工程とを有することを特徴とする半導体装置
の製造方法。
6. A lower insulating film having a smooth surface composed of a field insulating film and a gate insulating film is formed on a surface of a silicon substrate of one conductivity type, and a plurality of gate electrodes are formed on the surface of the lower insulating film. Forming a plurality of diffusion layers having a salicide structure of a reverse conductivity type on a surface of the silicon substrate; and covering the lower insulating film including the gate electrode and the diffusion layer by a first plasma-enhanced chemical vapor deposition method Forming a first silicon oxide film, and using an ozone gas and a gas obtained by bubbling a hydrogenated silsesquioxane ((HSiO 3/2 ) 2m ) solution in which m is an integer from 4 to 10 with an inert gas. Forming a second silicon oxide film directly covering the surface of the first silicon oxide film by a thermal chemical vapor deposition method, and forming an organic SOG film on the second silicon oxide film Performing a step of etching back by reactive ion etching to planarize the upper surface of the second silicon oxide film; and a step of planarizing the second silicon oxide film by a second plasma-excited chemical vapor deposition method. Forming a third silicon oxide film directly covering the upper surface of the film; and sequentially etching the third, second and first silicon oxide films using a photoresist film as a mask, thereby forming the gate electrode. Forming a plurality of contact holes respectively reaching a predetermined position and a predetermined position of the diffusion layer; and forming a plurality of upper wirings on a surface of the third silicon oxide film. A method for manufacturing a semiconductor device.
【請求項7】 前記下層絶縁膜が、概ね平坦化された上
面を有することを特徴とする請求項記載の半導体装置
の製造方法。
7. The method according to claim 6 , wherein the lower insulating film has a substantially planarized upper surface.
【請求項8】 前記第1のプラズマ励起化学気相成長法
が、シランガスおよび亜酸化窒素ガスを原料ガスとする
プラズマ励起化学気相成長法あるいはmが4以上10以
下の整数からなる水素化シルセスキオキサン((HSi
3/2 2m 溶液を不活性ガスによりバブリングしたガ
スおよび酸素ガスを原料ガスとするプラズマ励起化学気
相成長法のいずれかであることを特徴とする請求項
るいは請求項記載の半導体装置の製造方法。
8. The first plasma-enhanced chemical vapor deposition method using a silane gas and a nitrous oxide gas as a source gas, or m is 4 or more and 10 or more.
Hydrogenated silsesquioxane of integers below ((HSi
O 3/2) 2m) solution according to claim 6 or claim 7 wherein is any one of a plasma enhanced chemical vapor deposition method for the bubbling gas and oxygen gas as raw material gases with an inert gas A method for manufacturing a semiconductor device.
【請求項9】 前記第2のプラズマ励起化学気相成長法
が、シランガスおよび亜酸化窒素ガスを原料ガスとする
プラズマ励起化学気相成長法,テトラエトキシシラン溶
液を不活性ガスによりバブリングしたガスおよび酸素ガ
スを原料ガスとするプラズマ励起化学気相成長法,トリ
アルコキシシラン溶液を不活性ガスによりバブリングし
たガスおよび酸素ガスを原料ガスとするプラズマ励起化
学気相成長法あるいはmが4以上10以下の整数からな
水素化シルセスキオキサン((HSiO 3/2 2m
液を不活性ガスによりバブリングしたガスおよび酸素ガ
スを原料ガスとするプラズマ励起化学気相成長法のいず
れかであることを特徴とする請求項,請求項あるい
は請求項記載の半導体装置の製造方法。
9. The plasma-enhanced chemical vapor deposition method using a silane gas and a nitrous oxide gas as source gases, a gas obtained by bubbling a tetraethoxysilane solution with an inert gas, A plasma-excited chemical vapor deposition method using oxygen gas as a source gas, a plasma-excited chemical vapor deposition method using a gas obtained by bubbling a trialkoxysilane solution with an inert gas and an oxygen gas as a source gas, or m of 4 to 10 From an integer
Characterized in that that hydrogen silsesquioxane ((HSiO 3/2) 2m) solution is either a bubbling gas and oxygen gas with an inert gas plasma enhanced chemical vapor deposition method as a raw material gas 9. The method for manufacturing a semiconductor device according to claim 6 , 7 or 8 .
【請求項10】 前記第2のプラズマ励起化学気相成長
法に用いる前記トリアルコキシシラン(HSi(OR)
3 )が、トリメトキシシラン(HSi(OCH3
3 ),トリエトキシシラン(HSi(OC25
3 ),トリノルマルプロポキシシラン(HSi(n−O
373 )およびトリノルマルブトキシシラン(H
Si(n−OC493 )のいずれかであることを特
徴とする請求項記載の半導体装置の製造方法。
10. The second plasma-excited chemical vapor deposition.
The trialkoxysilane used in law (HSi (OR)
3 ) is trimethoxysilane (HSi (OCH 3 )
3 ), triethoxysilane (HSi (OC 2 H 5 )
3 ), trinormal propoxysilane (HSi (n-O
C 3 H 7 ) 3 ) and trinormal butoxysilane (H
The method according to claim 9, wherein a is either Si (n-OC 4 H 9 ) 3).
JP6061685A 1994-03-30 1994-03-30 Method for manufacturing semiconductor device Expired - Fee Related JP2757767B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6061685A JP2757767B2 (en) 1994-03-30 1994-03-30 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6061685A JP2757767B2 (en) 1994-03-30 1994-03-30 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH07273194A JPH07273194A (en) 1995-10-20
JP2757767B2 true JP2757767B2 (en) 1998-05-25

Family

ID=13178374

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6061685A Expired - Fee Related JP2757767B2 (en) 1994-03-30 1994-03-30 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2757767B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101227664B1 (en) * 2002-01-31 2013-01-29 도소 가부시키가이샤 Material for insulation film comprising organosilane compound, its manufacturing method and semiconductor device
KR100459063B1 (en) * 2002-05-20 2004-12-03 동부전자 주식회사 Method for manufacturing intermetal dielectric layer of semiconductor device
TWI282124B (en) 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
JP2006344783A (en) * 2005-06-09 2006-12-21 Fujitsu Ltd Semiconductor device and manufacturing method thereof

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3093429B2 (en) * 1992-04-28 2000-10-03 日本電気株式会社 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JPH07273194A (en) 1995-10-20

Similar Documents

Publication Publication Date Title
KR100476129B1 (en) Semiconductor device and method of manufacturing the same
KR100407012B1 (en) Semiconductor device and method of fabricating the same
US6737746B2 (en) Semiconductor device containing copper diffusion preventive film of silicon carbide
TW432476B (en) A silicon carbide deposition for use as a barrier layer and an etch stop
US20020048969A1 (en) Method of forming film, method of manufacturing semiconductor device, and film forming apparatus
JP3745257B2 (en) Semiconductor device and manufacturing method thereof
US20010004550A1 (en) Damascene-type interconnection structure and its production process
KR100546958B1 (en) Semiconductor device and method of manufacturing the same
JP5248316B2 (en) Method for forming dielectric film and novel precursor for carrying out the method
US9177918B2 (en) Apparatus and methods for low k dielectric layers
JP2005294333A (en) Film depositing method and semiconductor device
JP3463416B2 (en) Method of manufacturing insulating film and semiconductor device
JP4032044B2 (en) Film forming method, semiconductor device manufacturing method, and semiconductor device
EP1037276A1 (en) Method for forming a porous silicon dioxide film
JP3845061B2 (en) Semiconductor device and manufacturing method thereof
JP2757767B2 (en) Method for manufacturing semiconductor device
JP3749162B2 (en) Manufacturing method of semiconductor device
CN1139819A (en) Electrolyte, making process and semicondoctor device
US6858548B2 (en) Application of carbon doped silicon oxide film to flat panel industry
JPH08298260A (en) Dielectric body, manufacture thereof, and semiconductor device
JP3256708B2 (en) Method for manufacturing semiconductor device
KR20060029762A (en) Method for forming a thin film in semiconductor device
EP1128421A2 (en) Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
KR100401504B1 (en) Method of forming a passivation layer in a semiconductor device
JPH0950995A (en) Silicon-based oxide and interlayer insulating film for semiconductor device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980210

LAPS Cancellation because of no payment of annual fees