JP2023551309A - How to make flip chip micro light emitting diode - Google Patents

How to make flip chip micro light emitting diode Download PDF

Info

Publication number
JP2023551309A
JP2023551309A JP2023532689A JP2023532689A JP2023551309A JP 2023551309 A JP2023551309 A JP 2023551309A JP 2023532689 A JP2023532689 A JP 2023532689A JP 2023532689 A JP2023532689 A JP 2023532689A JP 2023551309 A JP2023551309 A JP 2023551309A
Authority
JP
Japan
Prior art keywords
layer
type layer
contact
substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023532689A
Other languages
Japanese (ja)
Inventor
メン テオ,ヨウ
ング,ウィーホン
チー マー,ペイ
チュン ジェームス ウォン,チー
ジョー ソ,ゴク
Original Assignee
ルミレッズ リミテッド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ルミレッズ リミテッド ライアビリティ カンパニー filed Critical ルミレッズ リミテッド ライアビリティ カンパニー
Publication of JP2023551309A publication Critical patent/JP2023551309A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0095Post-treatment of devices, e.g. annealing, recrystallisation or short-circuit elimination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/20Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/005Processes relating to semiconductor body packages relating to encapsulations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/0066Processes relating to semiconductor body packages relating to arrangements for conducting electric current to or from the semiconductor body

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Led Devices (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Abstract

マイクロ発光ダイオード(uLED)装置は、n型層、活性層、およびp型層を含む複数の半導体層、ならびにp型層と接触するpコンタクト層を有するメサと、前記n型層の第1の側壁と接触するカソードと、前記pコンタクト層、前記活性層、および前記p型層の第1の側壁を前記カソードから絶縁する誘電体材料の第1の領域と、前記pコンタクト層の前記上部表面と接触するアノードと、前記活性層、前記p型層の第2の側壁、および前記n型層の前記第2の側壁を前記アノードから絶縁する誘電体材料の第2の領域と、を有する。前記pコンタクト層の上部表面は、前記n型層の前記第1および第2の側壁とは異なる平面配向を有する。また、uLED装置の製造方法および使用方法も提供される。A micro-light emitting diode (uLED) device includes a mesa having multiple semiconductor layers including an n-type layer, an active layer, and a p-type layer, and a p-contact layer in contact with the p-type layer, and a first layer of the n-type layer. a cathode in contact with a sidewall; a first region of dielectric material insulating the p-contact layer, the active layer, and a first sidewall of the p-type layer from the cathode; and the top surface of the p-contact layer. and a second region of dielectric material insulating the active layer, a second sidewall of the p-type layer, and the second sidewall of the n-type layer from the anode. The top surface of the p-contact layer has a different planar orientation than the first and second sidewalls of the n-type layer. Also provided are methods of making and using uLED devices.

Description

本開示の実施形態は、全般に、マイクロ発光ダイオード(マイクロLEDまたはuLEDまたはμLED)装置およびその製造方法に関する。より詳細には、本実施形態は、薄膜フリップチップ(TFFC)設計を有する個々のマイクロ発光ダイオード装置に関し、前記設計は、n型層の第1の側壁と接触するカソードと、pコンタクト層の上部表面と接触するアノードとを有し、n型層の第1の側壁およびpコンタクト層の上部表面は、2つの異なる平面配向内にある。 Embodiments of the present disclosure generally relate to micro light emitting diode (micro LED or uLED or μLED) devices and methods of manufacturing the same. More particularly, the present embodiment relates to an individual micro light emitting diode device having a thin film flip chip (TFFC) design, said design comprising a cathode in contact with the first sidewall of the n-type layer and a top part of the p-contact layer. With the anode in contact with the surface, the first sidewall of the n-type layer and the top surface of the p-contact layer are in two different planar orientations.

発光ダイオード(LED)は、電流が流れた際に可視光を放射する半導体光源である。LEDは、p型半導体とn型半導体を組み合わせて構成される。通常LEDには、III-V族化合物半導体を使用する。III-V族化合物半導体は、他の半導体を用いた装置に比べて、高温で安定した動作を提供する。III-V族化合物は、典型的には、サファイアアルミニウム酸化物(Al2O3)または炭化ケイ素(SiC)で形成された基板上に形成される。 A light emitting diode (LED) is a semiconductor light source that emits visible light when an electric current is passed through it. LEDs are composed of a combination of p-type and n-type semiconductors. Typically, LEDs use III-V compound semiconductors. III-V compound semiconductors provide stable operation at high temperatures compared to devices using other semiconductors. III-V compounds are typically formed on substrates made of sapphire aluminum oxide (Al 2 O 3 ) or silicon carbide (SiC).

ウェアラブル装置、ヘッドマウントディスプレイ、および大面積ディスプレイを含む各種新たなディスプレイ用途では、100μm×100μm未満の横方向寸法を有する高密度のマイクロLED(μLEDまたはuLED)のアレイで構成された、小型チップが必要となる。マイクロLEDは、通常、赤、青、および緑の波長を含むuLEDを近接して配列することにより、カラーディスプレイの製造に使用されるものよりも小さい、約50μm以下の直径または幅を有する。個々のダイから構成されるディスプレイを組み立てるためのアプローチは、個々のLEDの「ピックアンドプレース」と称される。ピック-アンド-プレース(またはピックアンドプレース)アプローチは、ピックアップステップ、位置合わせステップ、その後の個々の青、緑、および赤の波長のマイクロLEDをバックプレーン上に取り付けるステップ、その後、バックプレーンをドライバ集積回路に電気的に接続するステップを有する。各マイクロLEDのサイズが小さいため、このアセンブリシーケンスは遅く、製造エラーを起こしやすい。さらに、ディスプレイに対して高まる解像度要件を満足させるため、ダイサイズが減少するとともに、各ピックアンドプレース動作において、より多くの数のダイを移送して、必要な寸法のディスプレイを形成する必要がある。 A variety of emerging display applications, including wearable devices, head-mounted displays, and large-area displays, rely on small chips consisting of arrays of dense micro-LEDs (μLEDs or uLEDs) with lateral dimensions less than 100μm x 100μm. It becomes necessary. Micro-LEDs typically have a diameter or width of about 50 μm or less, smaller than those used in the manufacture of color displays, by closely arranging uLEDs containing red, blue, and green wavelengths. The approach for assembling displays made up of individual dies is referred to as "pick and place" of individual LEDs. The pick-and-place (or pick-and-place) approach consists of a pick-up step, an alignment step, followed by mounting the individual blue, green, and red wavelength micro-LEDs onto the backplane, then attaching the backplane to the driver electrically connecting to the integrated circuit. Due to the small size of each micro-LED, this assembly sequence is slow and prone to manufacturing errors. Additionally, to meet increasing resolution requirements for displays, die size decreases and a greater number of dies must be transferred in each pick-and-place operation to form a display of the required dimensions. .

スタンドアローン型のuLED画素またはピックアンドプレースアセンブリに使用される装置は、おおまかに2つのカテゴリに分類される:薄膜フリップチップ(TFFC)または垂直薄膜(VTF)である。それぞれには、その特有の利点および用途があり、それらの典型的な設計に関する制限がある。TFFC設計は、通常、より低い順方向電圧、より高い電流駆動能力を提供し、従って、より高い輝度および効率性能が提供される。一方、VTF設計では、より小さく、より高密度のマイクロLED設計のため、より容易な寸法縮小化が提供される。 The devices used for standalone uLED pixels or pick-and-place assembly fall into two broad categories: thin film flip chip (TFFC) or vertical thin film (VTF). Each has its own advantages and applications, and limitations regarding their typical design. TFFC designs typically offer lower forward voltage, higher current drive capability, and therefore higher brightness and efficiency performance. VTF designs, on the other hand, offer easier size reduction for smaller, more dense micro-LED designs.

多数のスタンドアローン型マイクロLED装置にとって、これらを高い信頼性で効率的に製作することが目標であり、マイクロLED装置自体が効率的である必要がある。スタンドアローン型のマイクロLED画素を効率的に製作することにより、光の透過に有効な、ミクロンサイズの間隔を利用することが容易になる。さらに、スタンドアローン型マイクロLEDの取扱いおよび処理を改善したまま、発光領域を最大化する必要性が依然として存在する。 For many standalone micro-LED devices, the goal is to manufacture them reliably and efficiently, and the micro-LED devices themselves need to be efficient. Efficient fabrication of stand-alone micro-LED pixels facilitates the use of micron-sized spacing for effective light transmission. Additionally, there remains a need to maximize the light emitting area while improving the handling and processing of stand-alone micro-LEDs.

本願では、光源およびそれらを製造する方法が提供される。薄膜フリップチップ(TFFC)設計を有するuLEDは、エピタキシーの発光領域(LEA)を最大化したまま、寸法縮小可能な設計を通して、薄膜フリップチップ(TFFC)アーキテクチャと垂直薄膜(VTF)アーキテクチャの両方の利点を提供する点で有意である。また、この設計は、光出力抽出を最大にする。 Light sources and methods of manufacturing them are provided herein. uLED with thin film flip chip (TFFC) design combines the advantages of both thin film flip chip (TFFC) and vertical thin film (VTF) architectures through a dimensionally reducible design while maximizing the epitaxy light emitting area (LEA). It is significant in that it provides This design also maximizes light output extraction.

ある態様では、マイクロ発光ダイオード(uLED)装置は、
メサであって、
n型層、活性層、およびp型層を含む複数の半導体層と、
前記p型層と接触するpコンタクト層と、
を有し、前記メサは、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅とを有し、前記pコンタクト層の前記上部表面は、前記n型層の前記第1および第2の側壁とは異なる平面配向を有する、メサと、
前記n型層の前記第1の側壁と接触するカソードと、
前記pコンタクト層、前記活性層、および前記p型層の第1の側壁を前記カソードから絶縁する誘電体材料の第1の領域と、
前記pコンタクト層の前記上部表面と接触するアノードと、
前記活性層、前記p型層の第2の側壁、および前記n型層の前記第2の側壁を前記アノードから絶縁する誘電体材料の第2の領域と、
を有する。
In some embodiments, the micro-light emitting diode (uLED) device comprises:
Mesa,
a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer;
a p-contact layer in contact with the p-type layer;
and the mesa has a height extending from a top surface of the p-contact layer to a bottom surface of the n-type layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer. a mesa, the top surface of the p-contact layer having a different planar orientation than the first and second sidewalls of the n-type layer;
a cathode in contact with the first sidewall of the n-type layer;
a first region of dielectric material insulating the p-contact layer, the active layer, and a first sidewall of the p-type layer from the cathode;
an anode in contact with the upper surface of the p-contact layer;
a second region of dielectric material insulating the active layer, the second sidewall of the p-type layer, and the second sidewall of the n-type layer from the anode;
has.

別の態様では、表示装置は、
バックプレーンと、
前記バックプレーンに取り付けられた複数の個々に配置されたuLED装置であって、前記uLED装置の各々は、本願の任意の実施形態に記載のuLED装置を有する、uLED装置と、
前記複数の個々に配置されたuLED装置を取り囲む表示面を含むハウジングと、
を有する。
In another aspect, the display device includes:
backplane and
a plurality of individually positioned uLED devices attached to the backplane, each of the uLED devices having a uLED device as described in any embodiment of the present application;
a housing including a display surface surrounding the plurality of individually disposed uLED devices;
has.

別の態様は、マイクロ発光ダイオード(uLED)装置を製造する方法を有し、
当該方法は、
基板上にn型層、活性層、およびp型層を含む複数の半導体層を成膜するステップと、
前記複数の半導体層上にpコンタクト層を成膜するステップと、
前記pコンタクト層上にハードマスク層を成膜するステップと、
前記半導体層、前記pコンタクト層、および前記ハードマスク層の一部をエッチングして、トレンチおよび複数のメサを形成するステップであって、メサの各々は、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅とを有する、ステップと、
前記基板にわたって、前記トレンチ内および前記基板の最上部表面に誘電体金属を成膜するステップと、
第1のエッチングにより、前記pコンタクト層および前記基板の表面の第1の部分を露出させるステップと、
第2のエッチングにより、前記n型層および前記基板の前記表面の第2の部分を露出させるステップと、
前記第1のエッチングおよび前記第2のエッチングにより露出された領域に、第1の金属を成膜するステップと、
エッチングにより、互いに絶縁されたカソードおよびアノードを形成するステップと、
を有し、
前記各ステップにより、処理構造が形成される。
Another aspect has a method of manufacturing a micro-light emitting diode (uLED) device, comprising:
The method is
Depositing a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer on the substrate;
forming a p-contact layer on the plurality of semiconductor layers;
depositing a hard mask layer on the p-contact layer;
etching a portion of the semiconductor layer, the p-contact layer, and the hardmask layer to form a trench and a plurality of mesas, each mesa extending from the top surface of the p-contact layer to the n-contact layer; a step having a height extending to a bottom surface of a mold layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer;
depositing a dielectric metal across the substrate, in the trench and on the top surface of the substrate;
exposing the p-contact layer and a first portion of the surface of the substrate by a first etch;
exposing the n-type layer and a second portion of the surface of the substrate by a second etch;
forming a first metal film in the region exposed by the first etching and the second etching;
forming a cathode and an anode insulated from each other by etching;
has
Each of the above steps forms a processing structure.

別の態様は、表示装置を製造する方法であり、
当該方法は、
ピックアンドプレース法により、複数のマイクロ発光ダイオード(uLED)をバックプレーンに取り付けるステップと、
表示面を有するハウジング内に前記複数のLEDを取り囲むステップと、
を有し、
前記uLEDの各々は、本願の実施形態に記載のuLED装置を有する。
Another aspect is a method of manufacturing a display device,
The method is
attaching a plurality of micro light emitting diodes (uLEDs) to the backplane using a pick and place method;
enclosing the plurality of LEDs in a housing having a display surface;
has
Each of the uLEDs includes a uLED device as described in embodiments of the present application.

本開示の前述の特徴を詳細に理解することができるよう、実施形態を参照することにより、前述の簡単に要約された本開示のより特定の説明が得られる。実施形態の一部は、添付図面に示されている。しかしながら、添付図面は、本開示の典型的な実施形態のみを示しており、本開示では、他の同様に有効な実施形態が認められるため、本開示は、その範囲を限定するものではないことに留意する必要がある。本明細書に記載の実施形態は、一例として示されており、添付図面の図に限定されるものではない。同様の参照符号は、同様の素子を表す。図面には、スケールは示されていない。 In order that the above-described features of the disclosure may be understood in detail, a more specific description of the present disclosure, which has been briefly summarized above, may be obtained by reference to the embodiments. Some of the embodiments are illustrated in the accompanying drawings. It should be understood, however, that the accompanying drawings depict only typical embodiments of the present disclosure and are not intended to limit the scope of the present disclosure, as the present disclosure recognizes other equally effective embodiments. It is necessary to keep this in mind. The embodiments described herein are shown by way of example and are not limited to the illustrations in the accompanying drawings. Like reference numbers represent like elements. The drawings are not shown to scale.

1つ以上の実施形態による、基板に堆積された、半導体層、金属層(例えば、pコンタクト層またはp金属反射層)、および誘電体層(例えば、ハードマスク層)のスタックの断面を示した図である。3 illustrates a cross-section of a stack of a semiconductor layer, a metal layer (e.g., a p-contact layer or a p-metal reflective layer), and a dielectric layer (e.g., a hardmask layer) deposited on a substrate, according to one or more embodiments; FIG. It is a diagram. 1つ以上の実施形態によるLED装置の製造におけるあるステップの後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップの後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップの後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップの後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態によるLED装置の製造におけるあるステップ後のスタックの断面を示した図である。FIG. 3 illustrates a cross-section of a stack after a step in manufacturing an LED device in accordance with one or more embodiments. 1つ以上の実施形態による、基板上の個別化されたuLED装置の断面を示した図である。FIG. 3 is a cross-sectional diagram of an individualized uLED device on a substrate in accordance with one or more embodiments. 図2AのuLED装置上にパッシベーション層が成膜された後の、1つ以上の実施形態による基板上の個別化されたuLED装置の断面を示した図である。FIG. 2B illustrates a cross-section of an individualized uLED device on a substrate in accordance with one or more embodiments after a passivation layer has been deposited on the uLED device of FIG. 2A. 図2CのuLED装置がさらに処理された後の、1つ以上の実施形態による、基板上の個別化されたuLED装置の断面を示した図である。2C depicts a cross-section of an individualized uLED device on a substrate, according to one or more embodiments, after the uLED device of FIG. 2C has been further processed. FIG. アノードおよびカソードが支持体に接合された後の、基板のリフトオフを示す1つ以上の実施形態による、個別化されたuLED装置の断面を示した図である。FIG. 3 is a cross-sectional diagram of a personalized uLED device in accordance with one or more embodiments showing lift-off of the substrate after the anode and cathode are bonded to the support. アノードおよびカソードが支持体に結合され、パッシベーション層が成膜された1つ以上の実施形態による、個別化されたuLED装置の断面を示した図である。FIG. 3 illustrates a cross-section of an individualized uLED device in accordance with one or more embodiments in which an anode and a cathode are bonded to a support and a passivation layer is deposited. 1つ以上の実施形態による個別化されたuLED装置の断面を示した図である。FIG. 3 illustrates a cross-section of a personalized uLED device in accordance with one or more embodiments. uLED装置の製造の例示的なプロセスフローを示した図である。FIG. 2 illustrates an exemplary process flow for manufacturing a uLED device. 例示的なディスプレイ装置を概略的に示した図である。1 schematically depicts an exemplary display device; FIG. 本願の実施形態によるuLEDを有する、例示的なカメラフラッシュシステムを概略的に示した図である。1 schematically depicts an exemplary camera flash system having uLEDs according to embodiments of the present application; FIG. 本願の実施形態によるuLEDを有する、例示的な拡張現実/仮想現実(AR/VR)システムを概略的に示した図である。1 schematically illustrates an example augmented reality/virtual reality (AR/VR) system having uLEDs according to embodiments of the present application; FIG.

本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成またはプロセスステップの細部に限定されないことが理解される。本開示には、他の実施形態を用いることができ、本開示は、各種方法で実施され、実現することができる。 Before describing some exemplary embodiments of the present disclosure, it is understood that the present disclosure is not limited to the details of construction or process steps set forth in the description that follows. The present disclosure is capable of other embodiments and of being practiced or carried out in various ways.

1つ以上の実施形態において使用される「基板」という用語は、プロセスが作用する表面または表面の一部を有する、構造、中間体、または最終物を表す。また、いくつかの実施形態における基板という用語は、文脈が明確に別の意味を示さない限り、基板の一部のみを表す。さらに、いくつかの実施形態による基板上に成膜するという言及は、裸の基板、または1つ以上の薄膜、特徴物もしくは材料が成膜または形成された基板に、成膜することを含む。 The term "substrate" as used in one or more embodiments refers to a structure, intermediate, or end product that has a surface or part of a surface on which a process operates. Also, the term substrate in some embodiments refers to only a portion of a substrate, unless the context clearly indicates otherwise. Further, references to depositing on a substrate according to some embodiments include depositing on a bare substrate or a substrate on which one or more thin films, features or materials have been deposited or formed.

1つ以上の実施形態において、「基板」とは、製造プロセス中に薄膜プロセスが実施される基板上に形成される、任意の基板または材料表面を意味する。例示的な実施形態では、処理が行われる基板表面は、用途に応じて、シリコン、酸化ケイ素、シリコンオンインシュレータ(SOI)、歪みシリコン、非晶質シリコン、ドープ化シリコン、炭素ドープ酸化ケイ素、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、ならびに金属、金属窒化物、III族窒化物(例えば、GaN、AlN、InNおよび合金)、金属合金、および他の導電性材料のような、任意の好適な材料、を含む。基板は、これに限定されるものではないが、uLED装置を含む発光ダイオード(LED)装置を有する。ある実施態様では、基板は、前処理プロセスに曝され、基板表面が研磨され、エッチングされ、還元、酸化され、ヒドロキシル化され、熱処理され、UV硬化処理され、電子ビーム硬化処理され、および/または焼成される。基板自体の表面上での直接的な薄膜処理に加えて、いくつかの実施形態では、基板に形成された下地層上で開示の任意の薄膜処理ステップが実施され、「基板表面」という用語は、文脈が示す場合、そのような下地層を含むことが意図される。従って、例えば、薄膜/層または部分薄膜/層が基板表面に成膜された場合、新たに堆積された薄膜/層の露出表面が基板表面となる。 In one or more embodiments, "substrate" refers to any substrate or material surface formed on which thin film processes are performed during the manufacturing process. In exemplary embodiments, the substrate surface to be processed may include silicon, silicon oxide, silicon-on-insulator (SOI), strained silicon, amorphous silicon, doped silicon, carbon-doped silicon oxide, germanium, etc., depending on the application. , gallium arsenide, glass, sapphire, and any suitable materials, such as metals, metal nitrides, Group III nitrides (e.g., GaN, AlN, InN and alloys), metal alloys, and other conductive materials, including. The substrate includes light emitting diode (LED) devices including, but not limited to, uLED devices. In some embodiments, the substrate is subjected to a pretreatment process in which the substrate surface is polished, etched, reduced, oxidized, hydroxylated, heat treated, UV cured, e-beam cured, and/or Fired. In addition to direct thin film processing on the surface of the substrate itself, in some embodiments any disclosed thin film processing steps are performed on an underlying layer formed on the substrate, and the term "substrate surface" , it is intended to include such underlying layers where the context indicates. Thus, for example, when a thin film/layer or partial thin film/layer is deposited on a substrate surface, the exposed surface of the newly deposited thin film/layer becomes the substrate surface.

「ウェハ」および「基板」という用語は、本開示において相互互換的に使用される。従って、本願で使用されるウェハは、本願に記載のLED装置の形成のための基板として機能する。 The terms "wafer" and "substrate" are used interchangeably in this disclosure. Thus, the wafer used in this application serves as a substrate for the formation of the LED devices described in this application.

マイクロLED(uLEDまたはμLED)という用語は、100ミクロン未満の1つ以上の特徴的寸法(例えば、高さ、幅、深さ、厚さなど)を有する発光ダイオードを意味する。1つまたは複数の実施形態において、高さ、幅、深さ、厚さの1つ以上の寸法は、2から25ミクロンの範囲の値を有する。 The term microLED (uLED or μLED) refers to a light emitting diode that has one or more characteristic dimensions (e.g., height, width, depth, thickness, etc.) less than 100 microns. In one or more embodiments, one or more of the dimensions height, width, depth, thickness has a value ranging from 2 to 25 microns.

薄膜を堆積する方法には、これに限られるものではないが、スパッタ成膜、原子層成膜(ALD)、化学気相成膜(CVD)、物理気相成膜(PVD)、プラズマ強化原子層成膜(PEALD)、プラズマ強化化学気相成膜(PECVD)、およびそれらの組み合わせが含まれる。 Methods for depositing thin films include, but are not limited to, sputter deposition, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced atomic These include layer deposition (PEALD), plasma enhanced chemical vapor deposition (PECVD), and combinations thereof.

薄膜フリップチップ(TFFC)を有するuLEDの利点は、これに限られるものではないが、増大したpコンタクト反射面積を有する増加半導体(エピ層)活性領域;増加した反射側壁;および発光表面からの増加した光抽出を含む。uLEDは設置面積が小さく、光を効率的に取り出すことができ、ミクロレベル以下の作業では、空間や材料の利用に優れる。本願のuLEDは、一般に、先進自動ADBまたは高解像度高輝度ディスプレイに見られる極めて高輝度の要求に適する。また、uLEDは、現在LEDを使用する広範な他のフラッシュ、ディスプレイ、および照明用途にも適している。 Advantages of uLEDs with thin film flip chip (TFFC) include, but are not limited to: increased semiconductor (epilayer) active area with increased p-contact reflective area; increased reflective sidewalls; and increased light emitting surface area. Includes light extraction. uLED has a small footprint and can extract light efficiently, making it an excellent use of space and materials for work below the micro level. The uLEDs of the present application are generally suitable for extremely high brightness requirements found in advanced automatic ADB or high resolution high brightness displays. uLED is also suitable for a wide range of other flash, display and lighting applications that currently use LEDs.

図面を参照すると、図1A乃至図1Jには、uLED装置の製造中に構築され処理される層のスタックの断面図が提供される。図5には、uLED装置の製造の例示的なプロセスフロー図が提供される。 Referring to the drawings, FIGS. 1A-1J provide cross-sectional views of the stack of layers that are constructed and processed during the manufacture of a uLED device. In FIG. 5, an exemplary process flow diagram for manufacturing a uLED device is provided.

図1Aは、1つ以上の実施形態によるuLED装置の製造のステップ中に基板上に堆積された、半導体層、金属層(例えば、pコンタクト層またはp金属反射層)、および誘電体層(例えば、ハードマスク層)のスタックの断面図である。図1Aおよび図5のフロー図500を参照すると、操作502、504および506において、基板102上に半導体層104が成長される。一つ以上の実施形態では、半導体層104は、エピタキシャル層、III族-窒化物層、またはエピタキシャルIII族-窒化物層を含む。 FIG. 1A illustrates a semiconductor layer, a metal layer (e.g., p-contact layer or p-metal reflective layer), and a dielectric layer (e.g., , hardmask layer). Referring to FIG. 1A and the flow diagram 500 of FIG. 5, in operations 502, 504, and 506, a semiconductor layer 104 is grown on the substrate 102. In one or more embodiments, semiconductor layer 104 includes an epitaxial layer, a III-nitride layer, or an epitaxial III-nitride layer.

基板は、当業者に知られた任意の基板であってもよい。1つ以上の実施形態では、基板は、サファイア、炭化ケイ素、ケイ素(Si)、石英、酸化マグネシウム(MgO)、酸化亜鉛(ZnO)、スピネルなどの1つ以上を含む。1つ以上の実施形態では、基板は、エピタキシャル層の成長の前にパターン化されていない。従って、いくつかの実施形態では、基板は、パターン化されず、平坦または実質的に平坦であるとみなすことができる。他の実施形態では、基板は、例えば、パターン化されたサファイア基板(PSS)のようにパターン化される。 The substrate may be any substrate known to those skilled in the art. In one or more embodiments, the substrate includes one or more of sapphire, silicon carbide, silicon (Si), quartz, magnesium oxide (MgO), zinc oxide (ZnO), spinel, and the like. In one or more embodiments, the substrate is unpatterned prior to growth of the epitaxial layer. Thus, in some embodiments, the substrate is unpatterned and can be considered flat or substantially flat. In other embodiments, the substrate is patterned, such as a patterned sapphire substrate (PSS).

一つ以上の実施形態では、半導体層104は、III族-窒化物材料を有し、特定の実施形態ではエピタキシャルIII族-窒化物材料を有する。いくつかの実施態様では、III族-窒化物材料は、ガリウム(Ga)、アルミニウム(Al)およびインジウム(In)の1つ以上を含む。従って、いくつかの実施態様では、半導体層104は、窒化ガリウム(GaN)、窒化アルミニウム(AlN)、窒化インジウム(InN)、窒化アルミニウムガリウム(AlGaN)、窒化インジウムアルミニウム(InGaN)、窒化インジウムアルミニウム(InAlN)、窒化アルミニウムインジウムガリウム(AlInGaN)等のうちの1つ以上を含む。一つ以上の特定の実施態様では、半導体層104は、p型層、活性領域、およびn型層を有する。 In one or more embodiments, semiconductor layer 104 comprises a III-nitride material, and in certain embodiments comprises an epitaxial III-nitride material. In some embodiments, the III-nitride material includes one or more of gallium (Ga), aluminum (Al), and indium (In). Accordingly, in some implementations, semiconductor layer 104 includes gallium nitride (GaN), aluminum nitride (AlN), indium nitride (InN), aluminum gallium nitride (AlGaN), indium aluminum nitride (InGaN), indium aluminum nitride ( InAlN), aluminum indium gallium nitride (AlInGaN), etc. In one or more particular embodiments, semiconductor layer 104 includes a p-type layer, an active region, and an n-type layer.

1つ以上の実施形態では、基板102は、LED装置層のエピタキシーのため、有機金属気相エピタキシー(MOVPE)反応器内に配置され、半導体層104が成長される。 In one or more embodiments, substrate 102 is placed in a metal organic vapor phase epitaxy (MOVPE) reactor for epitaxy of LED device layers and semiconductor layer 104 is grown.

一つ以上の実施形態では、半導体層104は、未ドープIII族-窒化物材料およびドープされたIII族-窒化物材料のスタックを有する。III族-窒化物材料は、p型またはn型のIII族-窒化物材料のいずれが必要であるかに応じて、シリコン(Si)、酸素(O)、ホウ素(B)、リン(P)、ゲルマニウム(Ge)、マンガン(Mn)、またはマグネシウム(Mg)の1つ以上でドープされてもよい。ある実施形態では、半導体層104は、n型層104n、活性層106およびp型層104pを有する。 In one or more embodiments, semiconductor layer 104 comprises a stack of undoped III-nitride material and doped III-nitride material. Group III-nitride materials include silicon (Si), oxygen (O), boron (B), and phosphorus (P), depending on whether p-type or n-type Group III-nitride material is required. , germanium (Ge), manganese (Mn), or magnesium (Mg). In some embodiments, the semiconductor layer 104 includes an n-type layer 104n, an active layer 106, and a p-type layer 104p.

一つ以上の実施形態では、半導体層104は、約2μmから約10μmの範囲の厚さを有し、これには、約2μmから約9μm、2μmから約8μm、2μmから約7μm、2μmから約6μm、2μmから約5μm、2μmから約4μm、2μmから約3μm、3μmから約10μm、3μmから約9μm、3μmから約8μm、3μmから約7μm、3μmから約6μm、3μmから約5μm、3μmから約4μm、4μmから約10μm、4μmから約9μm、4μmから約8μm、4μmから約7μm、4μmから約6μm、4μmから約5μm、5μmから約10μm、5μmから約9μm、5μmから約8μm、5μmから約7μm、5μmから約6μm、6μmから約10μm、6μmから約9μm、6μmから約8μm、6μmから約7μm、7μmから約10μm、7μmから約9μm、または7μmから約8μmが含まれる。 In one or more embodiments, the semiconductor layer 104 has a thickness in the range of about 2 μm to about 10 μm, including about 2 μm to about 9 μm, 2 μm to about 8 μm, 2 μm to about 7 μm, 2 μm to about 2 μm. 6μm, 2μm to about 5μm, 2μm to about 4μm, 2μm to about 3μm, 3μm to about 10μm, 3μm to about 9μm, 3μm to about 8μm, 3μm to about 7μm, 3μm to about 6μm, 3μm to about 5μm, 3μm to about 4μm, 4μm to about 10μm, 4μm to about 9μm, 4μm to about 8μm, 4μm to about 7μm, 4μm to about 6μm, 4μm to about 5μm, 5μm to about 10μm, 5μm to about 9μm, 5μm to about 8μm, 5μm to about 7 μm to about 6 μm, 6 μm to about 10 μm, 6 μm to about 9 μm, 6 μm to about 8 μm, 6 μm to about 7 μm, 7 μm to about 10 μm, 7 μm to about 9 μm, or 7 μm to about 8 μm.

1つ以上の実施形態では、活性層106は、n型層104nとp型層104pとの間に形成される。活性層106は、当業者に知られた任意の好適な材料を含んでもよい。一つ以上の実施形態では、活性層106は、III族-窒化物材料の多重量子井戸(MQW)およびIII族-窒化物の電子ブロッキング層で構成される。 In one or more embodiments, active layer 106 is formed between n-type layer 104n and p-type layer 104p. Active layer 106 may include any suitable material known to those skilled in the art. In one or more embodiments, active layer 106 is comprised of a multiple quantum well (MQW) of III-nitride material and an electron blocking layer of III-nitride.

1つ以上の実施形態では、pコンタクト層105およびハードマスク層108が、p型層104p上に堆積される。図に示されるように、pコンタクト層105は、p型層104p上に堆積され、ハードマスク層108は、pコンタクト層105上にある。いくつかの実施形態では、pコンタクト層105は、p型層104p上に直接成膜される。図示されていない他の実施形態では、p型層104pとpコンタクト層105との間に、1つ以上の追加の層が存在してもよい。いくつかの実施形態では、ハードマスク層108は、pコンタクト層105上に直接成膜される。図示されていない他の実施形態では、ハードマスク層108とpコンタクト層105との間に、1つ以上の追加の層が存在してもよい。ハードマスク層108およびpコンタクト層105は、当業者に知られた任意の好適な技術によって成膜されてもよい。1つ以上の実施形態では、ハードマスク層108およびpコンタクト層105は、スパッタ蒸着、原子層成膜(ALD)、化学気相成膜(CVD)、物理気相成膜(PVD)、プラズマ増強原子層成膜(PEALD)、およびプラズマ増強化学気相成膜(PECVD)のうちの1つ以上により成膜される。 In one or more embodiments, p-contact layer 105 and hardmask layer 108 are deposited on p-type layer 104p. As shown, p-contact layer 105 is deposited on p-type layer 104p, and hard mask layer 108 is on p-contact layer 105. In some embodiments, p-contact layer 105 is deposited directly on p-type layer 104p. In other embodiments not shown, one or more additional layers may be present between p-type layer 104p and p-contact layer 105. In some embodiments, hardmask layer 108 is deposited directly on p-contact layer 105. In other embodiments not shown, one or more additional layers may be present between hardmask layer 108 and p-contact layer 105. Hardmask layer 108 and p-contact layer 105 may be deposited by any suitable technique known to those skilled in the art. In one or more embodiments, hardmask layer 108 and p-contact layer 105 are formed by sputter deposition, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced deposition, etc. The film is deposited by one or more of atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD).

本願で使用される「スパッタ成膜」は、スパッタリングにより薄膜成膜する物理気相成膜(PVD)法を表す。スパッタ成膜方では、供給源であるターゲットから基板上に、材料、例えば金属が放出される。この技術は、ターゲットであるソース材料のイオン衝突に基づく。イオン衝突では、純粋に物理的なプロセスにより、すなわちターゲット材料のスパッタリングにより、気相が生じる。 "Sputter deposition" as used in this application refers to a physical vapor deposition (PVD) method of depositing a thin film by sputtering. In sputter deposition methods, material, such as metal, is ejected from a source target onto a substrate. This technique is based on ion bombardment of the target source material. In ion bombardment, a gas phase is generated by a purely physical process, ie by sputtering of the target material.

本願のいくつかの実施形態で使用される、「原子層成膜」(ALD)または「周期的成膜」は、基板表面上に薄膜を成膜するために使用される気相技術を意味する。ALDのプロセスは、基板の表面または基板の一部が、交互の前駆体、すなわち、2つ以上の反応性化合物に晒され、基板表面上に材料の層が堆積されることを含む。基板が交互の前駆体に晒されると、前駆体が連続的にまたは同時に導入される。前駆体は、処理チャンバの反応ゾーンに導入され、基板または基板の一部は、前駆体に別個に暴露される。 As used in some embodiments of this application, "atomic layer deposition" (ALD) or "cyclic deposition" refers to a vapor phase technique used to deposit thin films onto a substrate surface. . The process of ALD involves exposing the surface of a substrate, or a portion of a substrate, to alternating precursors, ie, two or more reactive compounds, to deposit a layer of material onto the substrate surface. The precursors are introduced sequentially or simultaneously when the substrate is exposed to alternating precursors. A precursor is introduced into a reaction zone of a processing chamber, and the substrate or a portion of the substrate is separately exposed to the precursor.

いくつかの実施態様で使用される「化学気相成膜(CVD)」は、基板表面上での化学物質の分解により、材料の薄膜が気相から成膜されるプロセスを表す。CVDでは、基板表面が、前駆体および/または補助試薬に同時または実質的に同時に曝露される。本願で使用される「実質的に同時に」とは、コフロー(co-flow)、または前駆体の曝露の大部分が重複される場合のいずれかを表す。 "Chemical vapor deposition (CVD)," as used in some embodiments, refers to a process in which thin films of materials are deposited from the vapor phase by the decomposition of chemicals on the surface of a substrate. In CVD, a substrate surface is exposed to precursors and/or auxiliary reagents simultaneously or substantially simultaneously. As used herein, "substantially simultaneously" refers to either co-flow or when the exposure of the precursors is largely overlapped.

いくつかの実施態様で使用される「プラズマ強化原子層成膜(PEALD)」は、基板上に薄膜を成膜するための技術を表す。熱ALDプロセスに対して、PEALDプロセスのいくつかの例では、同じ化学的前駆体から材料が形成されるが、成膜速度はより高く、温度はより低い。一般に、PEALDプロセスにおいて、反応ガスおよび反応プラズマは、内部に基板を有するプロセスチャンバに、順次導入される。第1の反応ガスは、プロセスチャンバ内でパルス化され、基板表面に吸着される。その後、プロセスチャンバ内で反応プラズマがパルス化され、第1の反応ガスと反応して、成膜材料、例えば薄膜が基板上に形成される。熱ALDプロセスと同様、パージ工程は、各反応体の供給の間に行われてもよい。 "Plasma enhanced atomic layer deposition" (PEALD), as used in some embodiments, refers to a technique for depositing thin films on a substrate. As opposed to thermal ALD processes, some examples of PEALD processes form materials from the same chemical precursors, but at higher deposition rates and lower temperatures. Generally, in a PEALD process, a reactive gas and a reactive plasma are sequentially introduced into a process chamber having a substrate therein. A first reactant gas is pulsed within the process chamber and adsorbed onto the substrate surface. A reactive plasma is then pulsed within the process chamber to react with the first reactive gas to form a deposition material, eg, a thin film, on the substrate. Similar to thermal ALD processes, a purge step may be performed between each reactant feed.

1つ以上の実施形態で使用される「プラズマ強化化学気相成膜(PECVD)」は、基板上に薄膜を成膜する技術を表す。PECVDプロセスでは、キャリアガスに同搬送される気相III族-窒化物材料、または液相III族-窒化物材料の蒸気のような、気相または液相のソース材料が、PECVDチャンバに導入される。プラズマ開始ガスもチャンバに導入される。チャンバ内でのプラズマの形成は、励起されたラジカルを生成する励起されたラジカルは、チャンバ内に配置された基板の表面に化学的に結合され、その上に所望の膜が形成される。 "Plasma enhanced chemical vapor deposition" (PECVD), as used in one or more embodiments, refers to a technique for depositing thin films on a substrate. In the PECVD process, a source material in the gas or liquid phase is introduced into the PECVD chamber, such as a vapor phase III-nitride material co-carried with a carrier gas, or a vapor of a liquid phase III-nitride material. Ru. A plasma starting gas is also introduced into the chamber. Formation of a plasma within the chamber generates excited radicals that are chemically bonded to the surface of a substrate placed within the chamber, upon which the desired film is formed.

1つ以上の実施形態では、ハードマスク層108は、当技術分野で知られた材料およびパターニング技術を用いて形成されてもよい。いくつかの実施形態では、ハードマスク層108は、金属材料もしくは誘電体材料またはフォトレジスト材料を含む。好適な誘電体材料には、これに限られるものではないが、酸化ケイ素(SiO)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化アルミニウム(AlOx)、窒化アルミニウム(AlN)、およびそれらの組み合わせが含まれる。当業者には、酸化ケイ素を表すためのSiOのような式の使用が、元素間の任意の特定の化学量論的関係を意味しないことが認識される。この式は、単に薄膜の主要な元素を特定するに過ぎない。 In one or more embodiments, hardmask layer 108 may be formed using materials and patterning techniques known in the art. In some embodiments, hardmask layer 108 includes a metallic or dielectric material or a photoresist material. Suitable dielectric materials include, but are not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), aluminum oxide (AlO x ), aluminum nitride (AlN), and the like. Includes a combination of Those skilled in the art will recognize that the use of a formula such as SiO to represent silicon oxide does not imply any particular stoichiometric relationship between the elements. This formula merely specifies the main elements of the thin film.

1つ以上の実施形態では、pコンタクト層105は、当業者に知られた任意の好適な金属を含んでもよい。1つ以上の実施形態では、pコンタクト層105は、アルミニウム(Al)、チタン(Ti)、白金(Pt)、銀(Ag)、金(Au)、パラジウム(Pd)、チタン-タングステン(TiW)、またはこれらの各種組み合わせを含む。一実施形態では、pコンタクト層105は、銀(Ag)を含む。一つ以上の実施形態では、pコンタクト層105は、反射性である。 In one or more embodiments, p-contact layer 105 may include any suitable metal known to those skilled in the art. In one or more embodiments, p-contact layer 105 is aluminum (Al), titanium (Ti), platinum (Pt), silver (Ag), gold (Au), palladium (Pd), titanium-tungsten (TiW). , or various combinations thereof. In one embodiment, p-contact layer 105 includes silver (Ag). In one or more embodiments, p-contact layer 105 is reflective.

pコンタクト層は、p層104p(例えば、p-GaN)とオーミックコンタクトを形成する特性を有する。一実施形態は、p金属を含み、これは、装置の特定の用途の適切な反射特性を有するとともに、pコンタクトのエッチング中にエッチング停止を提供する。1つ以上の実施形態では、pコンタクト層は、蒸着もしくはスパッタリングプロセス、またはその両方の組み合わせにより成膜される。1つ以上の実施形態では、pコンタクト層は、1.5μm以下の厚さ範囲を有する。 The p contact layer has the property of forming an ohmic contact with the p layer 104p (eg, p-GaN). One embodiment includes a p-metal, which has appropriate reflective properties for the particular application of the device and provides an etch stop during etching of the p-contact. In one or more embodiments, the p-contact layer is deposited by a vapor deposition or sputtering process, or a combination of both. In one or more embodiments, the p-contact layer has a thickness range of 1.5 μm or less.

非限定的な例として、操作502、504、および506でのuLED装置の製造は、サファイアウェハ基板上に成長した窒化ガリウム(GaN)半導体(p-サイドアップ)へのp金属の成膜から始まる。その後、約0.5倍の厚さの半導体層(エピ層)を有する誘電体ハードマスクの成膜が行われる。 As a non-limiting example, fabrication of uLED devices in operations 502, 504, and 506 begins with the deposition of p-metal on a gallium nitride (GaN) semiconductor (p-side up) grown on a sapphire wafer substrate. . Thereafter, a dielectric hard mask having a semiconductor layer (epi layer) approximately 0.5 times thicker is formed.

図1Bは、1つ以上の実施形態によるLED装置100の製造におけるあるステップ後のスタックの断面図である。図1Bおよび図5を参照すると、操作508においてハードマスク層108およびpコンタクト層105がパターン化され、ハードマスク層108およびpコンタクト層105に少なくとも1つの開口110が形成され、半導体層104の上部表面104t、ならびにハードマスク層108およびpコンタクト層105の側壁108s、105sが露出される。 FIG. 1B is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIGS. 1B and 5, in operation 508 the hard mask layer 108 and the p-contact layer 105 are patterned to form at least one opening 110 in the hard mask layer 108 and the p-contact layer 105 over the top of the semiconductor layer 104. The surface 104t and the sidewalls 108s and 105s of the hard mask layer 108 and the p-contact layer 105 are exposed.

1つ以上の実施形態では、ハードマスク層108およびpコンタクト層105は、当業者に知られた任意の好適なパターニング技術に従ってパターン化される。1つ以上の実施形態では、ハードマスク層108およびpコンタクト層105は、エッチングによりパターン化される。1つ以上の実施形態では、従来のマスキング、ウェットエッチングおよび/またはドライエッチングプロセスを用いて、ハードマスク層108およびpコンタクト層105をパターン化することができる。 In one or more embodiments, hardmask layer 108 and p-contact layer 105 are patterned according to any suitable patterning technique known to those skilled in the art. In one or more embodiments, hardmask layer 108 and p-contact layer 105 are patterned by etching. In one or more embodiments, hard mask layer 108 and p-contact layer 105 can be patterned using conventional masking, wet etching, and/or dry etching processes.

他の実施形態では、パターンは、ナノインプリントリソグラフィを用いてハードマスク層108およびpコンタクト層105に転写される。1つ以上の実施形態では、基板102は、反応性イオンエッチング(RIE)ツールにおいて、ハードマスク層108およびpコンタクト層105は効率的にエッチングするが、p型層104pは極めてゆっくりとエッチングする、または全くエッチングしない条件を用いて、エッチングされる。換言すれば、エッチングは、p型層104pにわたって、ハードマスク層108およびpコンタクト層105に対して選択的である。パターン化ステップでは、マスキング技術を使用して、所望のパターンが得られてもよいことが理解される。 In other embodiments, the pattern is transferred to hardmask layer 108 and p-contact layer 105 using nanoimprint lithography. In one or more embodiments, the substrate 102 is etched in a reactive ion etch (RIE) tool such that the hard mask layer 108 and the p-contact layer 105 etch efficiently, but the p-type layer 104p etches very slowly. Alternatively, it is etched using conditions that do not cause etching at all. In other words, the etching is selective to hard mask layer 108 and p-contact layer 105 across p-type layer 104p. It is understood that the patterning step may use masking techniques to obtain the desired pattern.

非限定的な例として、操作508において、uLED装置の製造は、マスク、例えば、フォトマスクを適用してハードマスクをパターン化するステップを有する。これに続いて、ハードマスクおよびフォトレジスト除去の異方性エッチング、ならびにその後のp金属の異方性エッチングが行われる。 As a non-limiting example, in operation 508, manufacturing a uLED device includes applying a mask, eg, a photomask, to pattern the hard mask. This is followed by an anisotropic etch of hardmask and photoresist removal, followed by an anisotropic etch of the p-metal.

図1Cは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Cおよび図5を参照すると、操作510において半導体層104がエッチングされ、少なくとも1つのメサ、例えば第1のメサ150aおよび第2のメサ150bが形成される。1つ以上の実施形態では、メサは、他の特徴または構成を含むように処理される。図1Cに示す実施形態では、第1のメサ150aおよび第2のメサ150bは、トレンチ111xにより分離される。メサ150aの反対側にトレンチ111yがあり、メサ150bの反対側にトレンチ111zがある。通常、各トレンチは、トレンチ111と称される。各トレンチ111は、側壁113を有する。 FIG. 1C is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIGS. 1C and 5, in operation 510 the semiconductor layer 104 is etched to form at least one mesa, eg, a first mesa 150a and a second mesa 150b. In one or more embodiments, the mesa is processed to include other features or configurations. In the embodiment shown in FIG. 1C, first mesa 150a and second mesa 150b are separated by trench 111x. On the opposite side of mesa 150a is trench 111y, and on the opposite side of mesa 150b is trench 111z. Each trench is typically referred to as a trench 111. Each trench 111 has sidewalls 113.

エッチングに関して、1つ以上の実施形態では、高異方性のエッチング方法が用いられ、垂直(90゜)から80゜の範囲、さらにはより小さい値までの角度、およびそれらの間の全ての値が達成される。メサ/接合エッチングの深さは、通常5ミクロンを超えない。1つ以上の実施形態では、メサの異方性エッチングを用いて、トレンチが形成される。 Regarding etching, in one or more embodiments, a highly anisotropic etching method is used, with angles ranging from vertical (90°) to 80°, and even smaller values, and all values in between. is achieved. Mesa/junction etch depth typically does not exceed 5 microns. In one or more embodiments, the trenches are formed using anisotropic etching of the mesa.

図1Dは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Dおよび図5を参照すると、操作512において材料の共形層が成膜され、メサの上部、ならびにトレンチ111の側壁および底部に、接合スペーサ114が形成される。接合スペーサ114は、当業者に知られた任意の好適な材料を含んでもよい。1つ以上の実施形態では、接合スペーサ114は、誘電体材料を含む。 FIG. ID is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIGS. 1D and 5, a conformal layer of material is deposited in operation 512 to form bond spacers 114 on the top of the mesa and on the sidewalls and bottom of trench 111. Bonding spacer 114 may include any suitable material known to those skilled in the art. In one or more embodiments, bond spacer 114 includes a dielectric material.

本願で使用される「誘電体」という用語は、印加電場により分極され得る電気絶縁体材料を表す。1つ以上の実施形態では、接合スペーサ114は、酸化物、例えば、酸化ケイ素(SiO2)、酸化アルミニウム(Al2O3)、窒化物、例えば、窒化ケイ素(Si3N4)、および組み合わせ、例えば、酸窒化ケイ素(SiON)であってもよい。1つ以上の実施形態では、接合スペーサ114は、窒化ケイ素(Si3N4)を含む。他の実施形態では、接合スペーサ114は、酸化ケイ素(SiO2)を含む。いくつかの実施形態では、接合スペーサ114の組成は、理想的な分子式と比較して非化学量論的である。1つ以上の実施形態では、接合スペーサ114は、SiO2、SiN、SiON、Al2O3、またはこれらの各種組み合わせを含む。接合スペーサは、組み合わされた薄膜の薄膜界面において、低い光吸収性および好適な屈折率コントラストの特性を提供してもよい。 The term "dielectric" as used herein refers to an electrically insulating material that can be polarized by an applied electric field. In one or more embodiments, bond spacer 114 is made of oxides, such as silicon oxide ( SiO2 ), aluminum oxide ( Al2O3 ), nitrides, such as silicon nitride ( Si3N4 ), and combinations . , for example, silicon oxynitride (SiON). In one or more embodiments, bond spacer 114 includes silicon nitride (Si 3 N 4 ). In other embodiments, bond spacer 114 includes silicon oxide ( SiO2 ). In some embodiments, the composition of junction spacer 114 is non-stoichiometric compared to the ideal molecular formula. In one or more embodiments, bond spacer 114 includes SiO2 , SiN, SiON , Al2O3 , or various combinations thereof. The bonding spacer may provide properties of low light absorption and favorable refractive index contrast at the thin film interface of the combined thin films.

いくつかの実施形態では、接合スペーサ114は、分布ブラッグ反射器(DBR)であってもよい。本願で使用される「分布ブラッグ反射器」とは、屈折率が変化する薄膜材料の交互層の多層スタック、例えば、高屈折率膜および低屈折率薄膜の多層スタックから形成される構造(例えばミラー)を表す。 In some embodiments, junction spacer 114 may be a distributed Bragg reflector (DBR). As used herein, a "distributed Bragg reflector" refers to a structure formed from a multilayer stack of alternating layers of thin film materials of varying refractive index, e.g. a multilayer stack of high and low refractive index films (e.g. mirrors). ) represents.

1つ以上の実施形態では、接合スペーサ114は、スパッタ成膜、原子層成膜(ALD)、化学気相成膜(CVD)、物理気相成膜(PVD)、プラズマ強化原子層成膜(PEALD)、およびプラズマ強化化学気相成膜(PECVD)の1つ以上によって成膜される。側壁の残りの厚さは、通常1.5ミクロンを超えない。 In one or more embodiments, the bonding spacer 114 is formed using sputter deposition, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced atomic layer deposition ( PEALD), and plasma enhanced chemical vapor deposition (PECVD). The remaining thickness of the sidewalls typically does not exceed 1.5 microns.

1つ以上の実施形態では、接合スペーサは、二層または多層構造である。1つ以上の実施形態では、第1の層は、第2の層(例えばSiO2は、1.4のRIを有する)よりも高い屈折率(RI)を有し(例えば、Al2O3は、1.8のRIを有する)、これは、メサ側壁に衝突する光子に対して優れた反射特性を提供する。 In one or more embodiments, the bonding spacer is a bilayer or multilayer structure. In one or more embodiments, the first layer has a higher refractive index (RI) (e.g., Al2O3 has an RI of 1.4) than the second layer (e.g., SiO2 has an RI of 1.4 ). with an RI of 1.8), which provides excellent reflection properties for photons impinging on the mesa sidewalls.

1つ以上の実施形態では、接合スペーサ114は、約200nmから約1μmの範囲、例えば、約300nmから約1μm、約400nmから約1μm、約500nmから約1μm、約600nmから約1μm、約700nmから約1μm、約800nmから約1μm、約500nmから約1μm、約200nmから約900nm、300nmから約900nm、約400nmから約900nm、約500nmから約900nm、約600nmから約900nm、約700nmから約900nm、約800nmから約900nm、約200nmから約800nm、300nmから約800nm、約400nmから約800nm、約500nmから約500nm、約600nmから約800nm、約700nmから約800nm、約200nmから約700nm、約300nmから約700nm、約400nmから約700nm、約500nmから約700nm、約600nmから約700nm、約200nmから約600nm、約300nmから約600nm、約400nmから約600nm、約500nmから約600nm、約200nmから約500nm、約300nmから約500nm、約300nmから約400nm、約200nmから約400nm、または約300nmから約400nmの厚さを有する。 In one or more embodiments, the junction spacer 114 is in the range of about 200 nm to about 1 μm, such as about 300 nm to about 1 μm, about 400 nm to about 1 μm, about 500 nm to about 1 μm, about 600 nm to about 1 μm, about 700 nm to about Approximately 1μm, approximately 800nm to approximately 1μm, approximately 500nm to approximately 1μm, approximately 200nm to approximately 900nm, 300nm to approximately 900nm, approximately 400nm to approximately 900nm, approximately 500nm to approximately 900nm, approximately 600nm to approximately 900nm, approximately 700nm to approximately 900nm, From about 800nm to about 900nm, from about 200nm to about 800nm, from about 300nm to about 800nm, from about 400nm to about 800nm, from about 500nm to about 500nm, from about 600nm to about 800nm, from about 700nm to about 800nm, from about 200nm to about 700nm, from about 300nm Approximately 700nm, approximately 400nm to approximately 700nm, approximately 500nm to approximately 700nm, approximately 600nm to approximately 700nm, approximately 200nm to approximately 600nm, approximately 300nm to approximately 600nm, approximately 400nm to approximately 600nm, approximately 500nm to approximately 600nm, approximately 200nm to approximately 500nm , about 300 nm to about 500 nm, about 300 nm to about 400 nm, about 200 nm to about 400 nm, or about 300 nm to about 400 nm.

図1Eは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Eおよび図5を参照すると、操作514において、さらなるエッチングが実施される。接合スペーサの水平部分は、トレンチの底部およびハードマスクの上部からエッチングされる。ハードマスク108の一部もエッチングされる。また、半導体層104がエッチングされ、トレンチ111が拡張され(すなわち、トレンチの深さが増大し)、基板102の上部表面102tが露出される。1つ以上の実施形態では、エッチングは選択的であり、接合スペーサ114は、トレンチ111の側壁に残る。1つ以上の実施形態では、トレンチ111は、底部111bおよび側壁113を有する。一つ以上の実施形態では、トレンチ111は、半導体層の上部表面104tからの深さを有し、約0.5μmから約2μmの範囲のメサが形成される。1つ以上の実施形態では、高異方性のエッチング法が使用され、垂直(90゜)から80゜の範囲の角度、およびそれらの間の全ての値が達成される。1つ以上の実施形態では、異方性エッチングを用いて、トレンチが深くされ、および/またはさらに装置が形状化される。 FIG. 1E is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIG. 1E and FIG. 5, in operation 514, further etching is performed. Horizontal portions of the junction spacer are etched from the bottom of the trench and the top of the hard mask. A portion of hard mask 108 is also etched. Also, semiconductor layer 104 is etched, trench 111 is expanded (ie, the depth of the trench is increased), and upper surface 102t of substrate 102 is exposed. In one or more embodiments, the etch is selective and bond spacers 114 remain on the sidewalls of trenches 111. In one or more embodiments, trench 111 has a bottom 111b and sidewalls 113. In one or more embodiments, the trench 111 has a depth from the top surface 104t of the semiconductor layer, forming a mesa ranging from about 0.5 μm to about 2 μm. In one or more embodiments, highly anisotropic etching methods are used to achieve angles ranging from vertical (90°) to 80°, and all values in between. In one or more embodiments, anisotropic etching is used to deepen the trench and/or further shape the device.

図1C乃至図1Eを参照すると、本方法は、自己整合ステップを有し、誘電体層114の端部がn層104nの端部と整列される。これにより、p層104p、活性層106、およびn層104n内の半導体層に対して内部量子効率が達成され、これらが整列されたままとなり、最終装置において効率損失につながるような、半導体内に電流を横方向に広げる必要がなくなる。 Referring to FIGS. 1C-1E, the method includes a self-aligning step in which the edges of dielectric layer 114 are aligned with the edges of n-layer 104n. This achieves internal quantum efficiency for the semiconductor layers within the p-layer 104p, the active layer 106, and the n-layer 104n, causing them to remain aligned and resulting in no internal quantum efficiency within the semiconductor, which would lead to efficiency loss in the final device. There is no need to spread the current laterally.

図1F.1および図1F.2は、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図5を参照すると、操作516において、誘電体材料が再度成膜される。図1F.1を参照すると、材料の共形層が成膜され、メサの上部およびトレンチ111の側壁および底部にトレンチスペーサ115が形成される。トレンチスペーサ115は、当業者に知られた任意の好適な材料を含んでもよい。1つ以上の実施形態では、トレンチスペーサ115は、接合スペーサ114に関して説明したような誘電体材料を含む。図1F.2を参照すると、図1F.1のハードマスク層108、接合スペーサ114、およびトレンチスペーサ115の各種誘電体材料が組み合わされ、組み合わされた誘電体領域117が示される。一つ以上の実施形態では、組み合わされた誘電体領域117は、複数の異なる誘電体材料を含む。一つ以上の別の実施形態では、組み合わされた誘電体領域117は、単一の誘電体材料を含む。 FIGS. 1F.1 and 1F.2 are cross-sectional views of a stack after certain steps in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIG. 5, in operation 516, the dielectric material is deposited again. Referring to FIG. 1F.1, a conformal layer of material is deposited to form trench spacers 115 on the top of the mesa and on the sidewalls and bottom of trench 111. Trench spacer 115 may include any suitable material known to those skilled in the art. In one or more embodiments, trench spacer 115 includes a dielectric material as described with respect to junction spacer 114. Referring to FIG. 1F.2, the various dielectric materials of hardmask layer 108, junction spacers 114, and trench spacers 115 of FIG. 1F.1 are combined to show a combined dielectric region 117. In one or more embodiments, combined dielectric region 117 includes a plurality of different dielectric materials. In one or more alternative embodiments, combined dielectric region 117 includes a single dielectric material.

図1Gは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Gおよび図5を参照すると、操作518において「pコンタクトエッチング」と称されるエッチング手順が実施され、少なくとも、pコンタクト層105tの上部表面および基板の上部表面102tの一部が露出される。1つ以上の実施形態では、上部表面と異なる平面配向にある、pコンタクト層105sの側面の一部が露出される。 FIG. 1G is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIG. 1G and FIG. 5, an etching procedure referred to as a "p-contact etch" is performed in operation 518 to expose at least a portion of the top surface of the p-contact layer 105t and the top surface 102t of the substrate. In one or more embodiments, a portion of the side of the p-contact layer 105s that is in a different planar orientation than the top surface is exposed.

1つ以上の実施形態では、基板は、「pコンタクトエッチング」の前にマスクされ、その後マスクが除去される。 In one or more embodiments, the substrate is masked prior to the "p-contact etch," and the mask is then removed.

1つ以上の実施形態では、pコンタクトエッチングは、p金属に対して高い選択性(>10:1)を有する誘電体の異方性エッチングであり、電極金属との後続の接触用に十分な面積が示されるように、P-金属が部分的に露出される。このエッチングの間、わずかなオーバーエッチングで側壁の少しの部分が露出されることが予想されるが、これは後のステップにおける電極金属の成膜を妨害しない。 In one or more embodiments, the p-contact etch is an anisotropic etch of the dielectric with high selectivity (>10:1) to the p-metal and sufficient etch for subsequent contact with the electrode metal. The P-metal is partially exposed as the area is shown. During this etch, it is expected that a small portion of the sidewalls will be exposed with slight overetching, but this will not interfere with the deposition of electrode metal in later steps.

図1Hは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Hおよび図5を参照すると、操作520において「nコンタクトエッチング」と称されるエッチング手順が実施され、少なくとも、n層104n-sの第1の側壁、および基板の上部表面102tの一部が露出される。1つ以上の実施形態では、上部表面と異なる平面配向にあるpコンタクト層の側面の一部が露出される。 FIG. 1H is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. 1H and 5, in operation 520 an etching procedure referred to as an "n-contact etch" is performed to remove at least a first sidewall of the n-layer 104n-s and a portion of the top surface 102t of the substrate. be exposed. In one or more embodiments, a portion of the side of the p-contact layer that is in a different planar orientation than the top surface is exposed.

1つ以上の実施形態では、基板は、「pコンタクトエッチング」の前にマスクされ、その後マスクが除去される。 In one or more embodiments, the substrate is masked prior to the "p-contact etch," and the mask is then removed.

いくつかの実施形態では、「nコンタクトエッチング」および「pコンタクトエッチング」のマスキングは、同じステップで実施され、その後のマスク除去は、同じステップで実施される。 In some embodiments, "n-contact etch" and "p-contact etch" masking is performed in the same step, and subsequent mask removal is performed in the same step.

1つ以上の実施形態では、nコンタクトエッチングは、トレンチスペーサ誘電体の等方性エッチングであり、次のステップにおけるnコンタクトの形成のため、n層側壁(例えば、N-GaN)が露出される。半導体層(エピ)へのこの横方向エッチングに適した、またはタイムリーなエッチング停止を確実に行うため、およびAl2O3-SiO2二重層の前の例を用いて、接合スペーサへの(クリアランスを確保するための)SiO2トレンチ酸化物オーバーエッチングの横方向エッチングは、最終的にAl2O3上で安全に停止する。 In one or more embodiments, the n-contact etch is an isotropic etch of the trench spacer dielectric that exposes the n-layer sidewalls (e.g., N-GaN) for formation of the n-contact in a subsequent step. . To ensure a suitable or timely etch stop for this lateral etch into the semiconductor layer (epi), and using the previous example of the Al 2 O 3 -SiO 2 bilayer, the (epi) to junction spacer Lateral etching of SiO2 trench oxide overetch to ensure clearance finally stops safely on Al2O3 .

フォトレジスト除去化学物質およびプロセスは、露出された誘電体、金属、および半導体層(エピ)に対する適合性/選択性に基づいて選択される。 Photoresist removal chemistries and processes are selected based on compatibility/selectivity to exposed dielectric, metal, and semiconductor layers (epi).

図1Iは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Iおよび図5を参照すると、操作522において、pコンタクト(アノード)およびnコンタクト(カソード)用の電極金属118が成膜される。1つ以上の実施形態では、電極金属を成膜する操作522は、ブランケット共形成膜である。また電極金属は、接合金属とも称される。1つ以上の実施形態では、接合金属118は、アルミニウム(Al)、チタン(Ti)、白金(Pt)、銀(Ag)、金(Au)、パラジウム(Pd)、またはこれらの各種組み合わせを含む。 FIG. 1I is a cross-sectional view of a stack after a step in manufacturing an LED device 100 in accordance with one or more embodiments. Referring to FIGS. 1I and 5, in operation 522, electrode metal 118 for the p-contact (anode) and n-contact (cathode) is deposited. In one or more embodiments, the operation 522 of depositing the electrode metal is a blanket co-formed film. Further, the electrode metal is also called a bonding metal. In one or more embodiments, the bonding metal 118 includes aluminum (Al), titanium (Ti), platinum (Pt), silver (Ag), gold (Au), palladium (Pd), or various combinations thereof. .

接合金属は、n層(例えば、N-GaN)、および装置の特定の用途用の好適な反射特性を有するpコンタクト層/p金属反射層とオーミックコンタクトを形成する特性を有する。1つ以上の実施形態では、接合金属は、蒸着、スパッタリング、もしくは電気メッキプロセス、またはこれらの組み合わせのいずれかによって成膜される。接合金属の厚さの範囲は、1ミクロンまたはそれ以下から数ミクロンの範囲であり得る。 The bonding metal has the property of forming an ohmic contact with the n-layer (eg N-GaN) and the p-contact layer/p-metal reflective layer with suitable reflective properties for the particular application of the device. In one or more embodiments, the bond metal is deposited by either a vapor deposition, sputtering, or electroplating process, or a combination thereof. The range of bond metal thickness can range from 1 micron or less to several microns.

1つ以上の実施形態では、電極金属は、パターン化された接合層として提供される。一実施形態では、パターン化された接合層は、フォトレジストの設置、接合層用の金属の成膜、任意の過剰金属およびフォトレジストのリフトオフにより、調製される。一実施形態によると、パターン化された接合層は、接合層用の金属の成膜、フォトレジストの設置、イオンビームエッチング、およびフォトレジスト除去により、調製される。一実施形態では、パターン化された接合層は、シード金属成膜の堆積、フォトレジストの設置、金属めっき、フォトレジスト除去、およびシードエッチングにより、調製される。 In one or more embodiments, the electrode metal is provided as a patterned bonding layer. In one embodiment, the patterned bonding layer is prepared by depositing a photoresist, depositing metal for the bonding layer, lifting off any excess metal and photoresist. According to one embodiment, the patterned bonding layer is prepared by depositing a metal for the bonding layer, depositing a photoresist, ion beam etching, and removing the photoresist. In one embodiment, the patterned bonding layer is prepared by seed metal deposition deposition, photoresist application, metal plating, photoresist removal, and seed etching.

図1Jは、1つ以上の実施形態によるLED装置100の製造におけるあるステップの後のスタックの断面図である。図1Jおよび図5を参照すると、金属118は、操作524においてエッチングされ、これにより、nコンタクト(カソード)119およびpコンタクト(アノード)125が形成される。カソードおよびアノードは、互いに絶縁される。 FIG. 1J is a cross-sectional view of a stack after a step in the manufacture of LED device 100 in accordance with one or more embodiments. Referring to FIGS. 1J and 5, metal 118 is etched in operation 524 to form n-contact (cathode) 119 and p-contact (anode) 125. The cathode and anode are insulated from each other.

この時点で、処理構造化が完遂し、事後処理の準備が整う。 At this point, processing structuring is complete and post-processing is ready.

その後、操作526において、得られた構造がさらなる使用のため後処理される。1つ以上の実施形態では、さらなる処理は、uLEDの一部または全体の周囲にパッシベーション層を形成することを含む。1つ以上の実施形態では、処理された構造は、基板を保持したまま個別化され、図2A乃至図2Cに示すようにさらに処理される。1つ以上の実施形態では、図3A乃至図3Bに示すように、処理された構造は反転され、支持体、例えばテープ支持体に固定され、基板が除去される。基板の除去は、基板のレーザリフトオフを含む、従来から知られた方法で行われる。基板を除去した際に、個別化されたuLEDが生成される。 Thereafter, in operation 526, the resulting structure is post-processed for further use. In one or more embodiments, further processing includes forming a passivation layer around part or all of the uLED. In one or more embodiments, the processed structure is singulated while retaining the substrate and further processed as shown in FIGS. 2A-2C. In one or more embodiments, the processed structure is inverted, secured to a support, such as a tape support, and the substrate is removed, as shown in FIGS. 3A-3B. Removal of the substrate is performed by conventional methods including laser lift-off of the substrate. Upon removal of the substrate, individualized uLEDs are created.

さらなる処理は、ダウンコンバータ材料、例えば蛍光体材料の層の成膜を含むことができる。 Further processing may include depositing a layer of downconverter material, such as a phosphor material.

図2Aは、図1A乃至1Jおよび図5によりなされた実施形態による、基板202上の個別化されたuLED装置200の断面図である。図2B乃至図2Cは、図5の操作526による後処理後の、図2Aの基板上のuLED装置の断面図である。図2A乃至図2Cにおいて、メサ250は、n型層204n、活性層206、およびp型層204pを含む複数の半導体層を有する。pコンタクト層205は、p型層204pと接触する。この実施形態では、pコンタクト層205とp型層204pとの間に直接接触が存在する。他の実施形態は、介在層が含まれてもよい。図2Aに示され、また図2B乃至図2Cにも適用可能であるように、メサは、pコンタクト層205tの上部表面からn型層204bの底部表面までの高さ(「H」)と、n型層204n-s1の第1の側壁からn型層204n-s2の第2の側壁までの幅(「W」)とを有し、pコンタクト層205tの上部表面は、n型層204n-s1の第1の側壁およびn型層204n-s1の第2の側壁204n-s1とは異なる平面配向を有する。図2A乃至図2Cにおいて、カソード219は、n型層204n-s1の第1の側壁と接触する。またこの実施形態では、カソード219は、n型層204n-s1の第1の側壁とは異なる平面配向にある別の表面204n-t上のn層と接触する。誘電体材料217aの第1の領域は、pコンタクト層204p、活性層206、およびp型層204p-s1の第1の側壁を、カソード219から絶縁する。アノード325は、pコンタクト層205tの上部表面と接触する。またこの実施形態では、アノード225は、pコンタクト層205tの上部表面とは異なる平面配向にある別の表面205s上のpコンタクト層と接触する。誘電体材料217bの第2の領域は、活性層206、p型層204ps-2の第2の側壁、およびn型層204n-s2の第2の側壁をアノード225から絶縁する。 FIG. 2A is a cross-sectional view of an individualized uLED device 200 on a substrate 202, according to the embodiment made in FIGS. 1A-1J and FIG. 2B-2C are cross-sectional views of the uLED device on the substrate of FIG. 2A after post-processing according to operation 526 of FIG. 5. FIG. 2A-2C, mesa 250 has multiple semiconductor layers including an n-type layer 204n, an active layer 206, and a p-type layer 204p. P contact layer 205 contacts p-type layer 204p. In this embodiment, there is a direct contact between p-contact layer 205 and p-type layer 204p. Other embodiments may include an intervening layer. As shown in FIG. 2A and also applicable to FIGS. 2B-2C, the mesa has a height (“H”) from the top surface of p-contact layer 205t to the bottom surface of n-type layer 204b; The width (“W”) from the first sidewall of n-type layer 204n-s1 to the second sidewall of n-type layer 204n-s2, and the upper surface of p-contact layer 205t is The first sidewall of s1 and the second sidewall 204n-s1 of n-type layer 204n-s1 have a different planar orientation. In FIGS. 2A-2C, cathode 219 contacts the first sidewall of n-type layer 204n-s1. Also in this embodiment, the cathode 219 contacts the n-layer on another surface 204n-t that is in a different planar orientation than the first sidewall of the n-type layer 204n-s1. A first region of dielectric material 217a insulates p-contact layer 204p, active layer 206, and a first sidewall of p-type layer 204p-s1 from cathode 219. Anode 325 contacts the top surface of p-contact layer 205t. Also in this embodiment, anode 225 contacts a p-contact layer on another surface 205s that is in a different planar orientation than the top surface of p-contact layer 205t. A second region of dielectric material 217b insulates active layer 206, a second sidewall of p-type layer 204ps-2, and a second sidewall of n-type layer 204n-s2 from anode 225.

図2A乃至図2Cに示すように、n型層204n-s1の第1の側壁の平面配向は、pコンタクト層205tの上部表面の平面配向とは異なる。 As shown in FIGS. 2A-2C, the planar orientation of the first sidewall of n-type layer 204n-s1 is different from the planar orientation of the top surface of p-contact layer 205t.

図2Bにおいて、図2Aの構造上にパッシベーション層231が成膜され、これは、1つ以上の実施形態に従って平坦化される。他の実施形態では、パッシベーション層は、以下の特徴のトポグラフィーに対して、共形に成膜され得る。このようにして、図2BのuLEDは、アノードおよびカソード、ならびに他の特徴部が保護されたまま、さらにハンドリングされ、搬送され、処理され、最終ディスプレイまたは装置に組み込まれる等が可能となる。 In FIG. 2B, a passivation layer 231 is deposited over the structure of FIG. 2A, which is planarized in accordance with one or more embodiments. In other embodiments, the passivation layer may be deposited conformally to the topography of the following features: In this way, the uLED of FIG. 2B can be further handled, transported, processed, incorporated into a final display or device, etc. while the anode and cathode and other features remain protected.

図2Cでは、図2Bの追加のリソグラフィおよびエッチングの際に、パッシベーション層231の一部が除去され、パッド239および245が成膜され、カソード219およびアノード225へのアクセスが提供される。このように、図2CのuLED(およびその複数またはアレイ)は、最終的なディスプレイまたは装置等に組み込むことができる。 In FIG. 2C, during the additional lithography and etching of FIG. 2B, a portion of passivation layer 231 is removed and pads 239 and 245 are deposited to provide access to cathode 219 and anode 225. In this way, the uLED of FIG. 2C (and a plurality or array thereof) can be incorporated into a final display or device, etc.

1つ以上の実施形態では、メサの幅は100ミクロン未満である。1つ以上の実施形態では、メサの高さは、メサの幅と等しく、またはそれ以下である。 In one or more embodiments, the width of the mesa is less than 100 microns. In one or more embodiments, the height of the mesa is less than or equal to the width of the mesa.

図2Aに示され、図2B乃至図2Cに適用可能なように、カソードおよびアノードの両方は、長手方向にuLED装置を拡張し、基板、アノード、およびカソードを除いたuLEDの軸線「A」に対して、軸距離全体が拡張される。 As shown in Figure 2A and applicable to Figures 2B to 2C, both the cathode and anode extend the uLED device longitudinally and lie along the uLED axis "A" excluding the substrate, anode, and cathode. In contrast, the entire axial distance is extended.

有意には、カソードは、n型層204n-s1の一部と、誘電体材料217aの第1の領域の第1の部分との周囲を取り囲む。同様にアノードは、pコンタクト層205および誘電体材料217bの第2の領域の一部を取り囲む。このように、反射性側壁の存在が高められる。 Significantly, the cathode surrounds a portion of the n-type layer 204n-s1 and a first portion of the first region of dielectric material 217a. Similarly, the anode surrounds p-contact layer 205 and a portion of the second region of dielectric material 217b. In this way, the presence of reflective sidewalls is enhanced.

さらに有意には、本願の実施形態は、増大したpコンタクト反射領域を含む半導体(エピ層)活性領域を有する。効率的な光抽出を容易化し、発光表面からの光抽出を増加させるため、1つ以上の実施形態では、p型層と接触するpコンタクト層は、実質的にp型層の幅にわたる。例えば、実質的にp型層と接触するp型コンタクト層は、p型層の幅の75%以上100%以下の範囲に広がってもよく、これには、幅の80%以上、90%以上、95%以上、99%以上、99.5%以上、99.9%以上、100%以下が含まれる。 More significantly, embodiments of the present application have a semiconductor (epi) active region that includes an increased p-contact reflective region. To facilitate efficient light extraction and increase light extraction from the emissive surface, in one or more embodiments, the p-contact layer in contact with the p-type layer substantially spans the width of the p-type layer. For example, a p-type contact layer that substantially contacts a p-type layer may extend between 75% and 100% of the width of the p-type layer, including between 80% and 90% of the width. , 95% or more, 99% or more, 99.5% or more, 99.9% or more, and 100% or less.

効率的な光抽出を容易化し、発光表面からの光抽出を増加させるため、1つ以上の実施形態では、p型層は、実質的に活性層の幅にわたる。例えば、活性層と実質的に接触するp型層は、活性層の幅の75%以上100%以下の範囲に広がってもよく、これには、幅の80%以上、90%以上、95%以上、99%以上、99.5%以上、99.9%以上、100%以下が含まれる。 To facilitate efficient light extraction and increase light extraction from the emissive surface, in one or more embodiments, the p-type layer substantially spans the width of the active layer. For example, a p-type layer in substantial contact with the active layer may extend between 75% and 100% of the width of the active layer, including at least 80%, 90%, and 95% of the width. Includes 99% or more, 99.5% or more, 99.9% or more, and 100% or less.

効率的な光抽出を容易化し、発光表面からの光抽出を増加させるため、1つ以上の実施形態では、pコンタクト層、p層、および活性層の幅は、相互の±10%であり、これには、相互の±5%、相互の±1%が含まれる。 To facilitate efficient light extraction and increase light extraction from the emissive surface, in one or more embodiments, the widths of the p-contact layer, the p-layer, and the active layer are ±10% of each other; This includes ±5% of each other and ±1% of each other.

1つ以上の実施形態では、カソードは、n型層の2つの平面配向に接触する。 In one or more embodiments, the cathode contacts two planar orientations of the n-type layer.

1つ以上の実施形態では、アノードは、pコンタクト層の2つの平面配向に接触する。 In one or more embodiments, the anode contacts two planar orientations of the p-contact layer.

一つ以上の実施形態では、半導体層は、2μmから10μmの範囲の全厚さを有する。 In one or more embodiments, the semiconductor layer has a total thickness in the range of 2 μm to 10 μm.

1つ以上の実施形態では、n型層はN-GaNを含み、p型層はP-GaNを含む。 In one or more embodiments, the n-type layer includes N-GaN and the p-type layer includes P-GaN.

1つ以上の実施形態では、第1の領域および第2の領域の誘電体材料は、それぞれ独立に、SiO2、AlOx、およびSiNからなる群から選択される材料を含み、それぞれ独立に、200nmから1μmの範囲の厚さを有する。 In one or more embodiments, the dielectric material of the first region and the second region each independently comprises a material selected from the group consisting of SiO 2 , AlO x , and SiN; It has a thickness ranging from 200nm to 1μm.

図3Aは、1つ以上の実施形態による個別化されたuLED装置300の断面図であり、アノードおよびカソードが支持体に接合された後の基板のリフトオフが示されている。図3Aにおいて、メサは、n型層304n、活性層306、およびp型層304pを含む複数の半導体層を有する。pコンタクト層305は、p型層304pと接触する。この実施形態では、pコンタクト層305とp型層304pは、直接接触する。カソード319は、n型層304n-s1の第1の側壁と接触する。またこの実施形態では、カソード319は、n型層304n-s1の第1の側壁とは異なる平面配向にある別の表面304n-t上のn層と接触する。誘電体材料317aの第1の領域は、pコンタクト層304p、活性層306、およびp型層304p-s1の第1の側壁をカソード319から絶縁する。アノード325は、pコンタクト層305tの上部表面に接触する。またこの実施形態では、アノード325は、pコンタクト層305tの上部表面とは異なる平面配向にある別の表面305s上のpコンタクト層と接触する。誘電体材料317bの第2の領域は、活性層306、p型層304ps-2の第2の側壁、およびn型層304n-s2の第2の側壁をアノード325から絶縁する。 FIG. 3A is a cross-sectional view of a personalized uLED device 300 in accordance with one or more embodiments, showing lift-off of the substrate after the anode and cathode are bonded to the support. In FIG. 3A, the mesa has multiple semiconductor layers including an n-type layer 304n, an active layer 306, and a p-type layer 304p. P contact layer 305 contacts p-type layer 304p. In this embodiment, p-contact layer 305 and p-type layer 304p are in direct contact. Cathode 319 contacts the first sidewall of n-type layer 304n-s1. Also in this embodiment, the cathode 319 contacts the n-layer on another surface 304n-t that is in a different planar orientation than the first sidewall of the n-type layer 304n-s1. A first region of dielectric material 317a insulates p-contact layer 304p, active layer 306, and a first sidewall of p-type layer 304p-s1 from cathode 319. Anode 325 contacts the top surface of p-contact layer 305t. Also in this embodiment, anode 325 contacts a p-contact layer on another surface 305s that is in a different planar orientation than the top surface of p-contact layer 305t. A second region of dielectric material 317b insulates active layer 306, a second sidewall of p-type layer 304ps-2, and a second sidewall of n-type layer 304n-s2 from anode 325.

この実施形態では、図2Aに類似の装置がひっくり返される。カソード319およびアノード325の接合表面は、支持体303に固定される。基板302はその後、基板レーザリフトオフのような従来の知られた方法により除去される。 In this embodiment, a device similar to FIG. 2A is turned upside down. The bonding surfaces of cathode 319 and anode 325 are fixed to support 303. Substrate 302 is then removed by conventional known methods such as substrate laser lift-off.

図3Aにおいて、カソード319-s1の第1の長手方向表面は、uLEDの第1の接合表面を定め、アノード325-s1の第1の長手方向表面は、uLEDの第2の接合表面を定め、カソードの第1の長手方向表面およびアノードの第1の長手方向表面は、pコンタクト層305の同じ側に配置される。 In FIG. 3A, the first longitudinal surface of cathode 319-s1 defines a first bonding surface of the uLED, the first longitudinal surface of anode 325-s1 defines a second bonding surface of uLED, and The first longitudinal surface of the cathode and the first longitudinal surface of the anode are arranged on the same side of p-contact layer 305.

カソード319-s2の第2の長手方向表面は、uLEDの第1のリフトオフ端部を形成し、アノード325-s2の第2の長手方向表面は、uLEDの第2のリフトオフ端部を形成し、カソードの第2の長手方向表面およびアノードの第2の長手方向表面は、n型層304nの対向する両側に配置される。 The second longitudinal surface of the cathode 319-s2 forms a first lift-off end of the uLED, the second longitudinal surface of the anode 325-s2 forms a second lift-off end of the uLED, A second longitudinal surface of the cathode and a second longitudinal surface of the anode are disposed on opposite sides of the n-type layer 304n.

図3Bには、図3AのuLED装置の断面図を示す。図において、アノードおよびカソードは、支持体303に接合され、パッシベーション層331が成膜される。1つ以上の実施形態では、本願に記載のuLED装置は、さらに、カソード319、アノード225、および誘電体材料317aの第1の領域の第2の部分に配置されたパッシベーション層331を有する。 FIG. 3B shows a cross-sectional view of the uLED device of FIG. 3A. In the figure, the anode and cathode are bonded to a support 303 and a passivation layer 331 is deposited. In one or more embodiments, the uLED devices described herein further include a passivation layer 331 disposed on the cathode 319, the anode 225, and the second portion of the first region of dielectric material 317a.

図4は、図1A乃至図1Jおよび図5により作製された1つ以上の実施形態による個別化されたuLED装置400の断面図である。図4のuLEDは、図2のuLEDと類似しているが、それが形成される下側の基板(図示せず)に対して、壁に角度が付けられている点が異なる。これは、所望の角度に選択された異方性エッチングにより達成可能である。図4において、メサは、n型層404n、活性層406、およびp型層404pを含む複数の半導体層を有する。pコンタクト層405は、p型層404pと接触する。カソード419は、n型層404n-s1の第1の側壁と接触する。誘電体材料417aの第1の領域は、pコンタクト層404p、活性層406、およびp型層404p-s1の第1の側壁をカソード419から絶縁する。アノード425は、pコンタクト層405tの上部表面に接触する。誘電体材料417bの第2の領域は、活性層406、p型層404ps-2の第2の側壁、およびn型層404n-s2の第2の側壁をアノード425から絶縁する。 FIG. 4 is a cross-sectional view of an individualized uLED device 400 according to one or more embodiments made according to FIGS. 1A-1J and FIG. The uLED of FIG. 4 is similar to the uLED of FIG. 2, except that the wall is angled relative to the underlying substrate (not shown) on which it is formed. This can be achieved by anisotropic etching selected at the desired angle. In FIG. 4, the mesa has multiple semiconductor layers including an n-type layer 404n, an active layer 406, and a p-type layer 404p. P contact layer 405 contacts p-type layer 404p. Cathode 419 contacts the first sidewall of n-type layer 404n-s1. A first region of dielectric material 417a insulates p-contact layer 404p, active layer 406, and a first sidewall of p-type layer 404p-s1 from cathode 419. Anode 425 contacts the top surface of p-contact layer 405t. A second region of dielectric material 417b insulates active layer 406, a second sidewall of p-type layer 404ps-2, and a second sidewall of n-type layer 404n-s2 from anode 425.

(表示装置)
図6には、本願に開示のuLED装置を用いた例示的な表示装置を概略的に示す。1つ以上の実施形態では、表示装置は、LED照明アレイおよびレンズシステムである。1つ以上の実施形態では、表示装置は、LED発光アレイである。図6に示すように、表示装置650は、各種色の複数の、またはuLED601のアレイを有し、赤色画素の600r、青色画素の600b、および緑色画素の600gを有する。1つ以上の実施形態では、uLEDの各々は、個別にアドレス指定可能であり、照射可能である。各色の数および色の配置は、用途に特有であることが理解される。複数の画素は、バックプレーン652に取り付けられる。1つ以上の実施形態では、自動ピックアンドプレース装置は、各uLEDを支持体(例えば、図3A乃至図3Bの303)から取り出し、uLEDをバックプレーンにマウントし、熱および/または超音波および/または圧縮の方法の任意の組み合わせにより、uLEDの接合表面(例えば、カソードの第1の接合面319-s1およびアノードの第2の接合面325-s1)をバックプレーンに溶接してもよい。1つ以上の実施形態では、uLEDの接合表面に対応する接合金属パターンがバックプレーン上に存在する。表示面(またはレンズまたは他の光学的特徴物)660を有するハウジング358に、複数の画素が包囲される。電極654および656は、バックプレーン652をドライバ集積回路(図示せず)に電気的に接続する。
(display device)
FIG. 6 schematically depicts an exemplary display device using the uLED device disclosed herein. In one or more embodiments, the display device is an LED lighting array and lens system. In one or more embodiments, the display device is an LED light emitting array. As shown in FIG. 6, the display device 650 has a plurality or array of uLEDs 601 of various colors, with 600r of red pixels, 600b of blue pixels, and 600g of green pixels. In one or more embodiments, each of the uLEDs is individually addressable and illuminable. It is understood that the number of each color and the arrangement of colors is application specific. A plurality of pixels are attached to a backplane 652. In one or more embodiments, automated pick-and-place equipment picks each uLED from the support (e.g., 303 in FIGS. 3A-3B), mounts the uLED to a backplane, and applies thermal and/or ultrasound and/or Alternatively, the bonding surfaces of the uLED (eg, cathode first bonding surface 319-s1 and anode second bonding surface 325-s1) may be welded to the backplane by any combination of methods of compression. In one or more embodiments, a bond metal pattern is present on the backplane that corresponds to the bond surface of the uLED. A housing 358 having a display surface (or lens or other optical feature) 660 surrounds the plurality of pixels. Electrodes 654 and 656 electrically connect backplane 652 to a driver integrated circuit (not shown).

1つ以上の実施形態では、マイクロLED(μLEDまたはuLED)のアレイが使用される。マイクロLEDは、100μm×100μm未満の横方向寸法を有する高密度画素をサポートすることができる。いくつかの実施形態では、直径または幅が約50μm以下のマイクロLEDを使用することができる。そのようなマイクロLEDは、赤、青、および緑の波長を含むマイクロLEDを近接して配置することにより、カラーディスプレイの製造に使用することができる。 In one or more embodiments, an array of micro LEDs (μLEDs or uLEDs) is used. Micro-LEDs can support high density pixels with lateral dimensions less than 100 μm x 100 μm. In some embodiments, micro-LEDs with a diameter or width of about 50 μm or less can be used. Such micro-LEDs can be used in the production of color displays by placing micro-LEDs containing red, blue, and green wavelengths in close proximity.

いくつかの実施形態では、発光アレイは、センチメートルスケールまたはそれ以上の領域の基板上に配置された少数のマイクロLEDを有する。いくつかの実施形態では、発光アレイは、センチメートルスケールの領域の基板またはより小さい基板上にまとめて配置された、数百、数千、または数百万の発光LEDを含むマイクロLED画素アレイを有する。一部の実施形態では、マイクロLEDは、30ミクロンから500ミクロンのサイズの発光ダイオードを有することができる。発光アレイは、単色、RGB、または他の所望の色度であり得る。いくつかの実施形態では、画素は、正方形、長方形、六角形、または湾曲した周を有することができる。画素は、同じサイズ、異なるサイズであってもよく、またはより大きな有効画素サイズを提供するため、同様にサイズ化され、グループ化することができる。 In some embodiments, the light emitting array has a small number of micro-LEDs arranged on a substrate in a centimeter-scale or larger area. In some embodiments, the light emitting array comprises a micro LED pixel array containing hundreds, thousands, or millions of light emitting LEDs arranged together on a substrate in a centimeter-scale area or on a smaller substrate. have In some embodiments, micro LEDs can have light emitting diodes between 30 microns and 500 microns in size. The light emitting array can be monochromatic, RGB, or other desired chromaticity. In some embodiments, pixels can have a square, rectangular, hexagonal, or curved perimeter. The pixels may be the same size, different sizes, or similarly sized and grouped to provide a larger effective pixel size.

いくつかの実施形態では、発光画素および発光アレイを支持する回路がパッケージ化され、必要な場合、サブマウントまたは印刷回路基板を有し、半導体LEDによる光生成に電力が供給され、制御されるように接続される。ある実施形態では、発光アレイを支持する印刷回路基板は、電気ビア、ヒートシンク、グラウンドプレーン、電気トレース、およびフリップチップまたは他の実装システムを含む。サブマウントまたは印刷回路基板は、セラミック、シリコン、アルミニウム等の任意の好適な材料で形成されてもよい。サブマウント材料が導電性である場合、基板材料の上に絶縁層が形成され、絶縁層の上に金属電極パターンが形成される。サブマウントは、機械的支持体として作用することができ、発光アレイ上の電極間の電気的インターフェースおよび電源を提供し、さらにヒートシンク機能を提供する。 In some embodiments, the circuitry supporting the light emitting pixels and light emitting arrays is packaged and optionally has a submount or printed circuit board to power and control light production by the semiconductor LEDs. connected to. In some embodiments, the printed circuit board supporting the light emitting array includes electrical vias, heat sinks, ground planes, electrical traces, and flip-chip or other mounting systems. The submount or printed circuit board may be formed of any suitable material, such as ceramic, silicon, aluminum, etc. If the submount material is conductive, an insulating layer is formed over the substrate material, and a metal electrode pattern is formed over the insulating layer. The submount can act as a mechanical support, provide an electrical interface and power source between electrodes on the light emitting array, and also provide heat sink functionality.

いくつかの実施形態では、LED発光アレイは、レンズ、メタレンズ、および/またはプレコリメータのような光学素子を含む。これに加えてまたはこれとは別に、光学素子は、開口、フィルタ、フレネルレンズ、凸レンズ、凹レンズ、または発光アレイから投射される光に影響を及ぼす、任意の他の好適な光学素子を含むことができる。さらに、1つ以上の光学素子は、UV遮蔽コーティングまたは反射防止コーティングを含む、1つ以上のコーティングを有することができる。いくつかの実施形態では、光学系を用いて、糸巻き型収差、バレル型収差、縦色収差、球面収差、色収差、像面湾曲、非点収差、または任意の他の種類の光学誤差を含む、2次元または3次元の光学誤差が補正されまたは最小限に抑制され得る。いくつかの実施形態では、光学素子は、画像を拡大しおよび/または補正するために使用され得る。いくつかの実施形態では、ディスプレイ画像の倍率は、発光アレイを物理的に小さくし、重量を減らし、大きなディスプレイよりも必要な電力を少なくすることができる。また拡大は、表示されたコンテンツの視野を増大させることができ、ディスプレイの表示をユーザの通常の視野と等しくすることができる。 In some embodiments, the LED light emitting array includes optical elements such as lenses, metalenses, and/or precollimators. Additionally or alternatively, the optical element may include an aperture, a filter, a Fresnel lens, a convex lens, a concave lens, or any other suitable optical element that affects the light projected from the light emitting array. can. Additionally, one or more optical elements can have one or more coatings, including UV-blocking coatings or anti-reflection coatings. In some embodiments, the optical system is used to correct optical errors including pincushion aberration, barrel aberration, longitudinal chromatic aberration, spherical aberration, chromatic aberration, curvature of field, astigmatism, or any other type of optical error. Dimensional or three-dimensional optical errors may be corrected or minimized. In some embodiments, optical elements may be used to magnify and/or correct images. In some embodiments, display image magnification can make the light emitting array physically smaller, weigh less, and require less power than larger displays. Magnification can also increase the field of view of the displayed content, making the display view equal to the user's normal field of view.

(用途)
図7には、本願に開示のuLEDを用いた例示的なカメラフラッシュシステム700を概略的に示す。カメラフラッシュシステム700は、LED照明アレイと、LEDドライバ704と電気的に通信されたレンズシステム702とを備える。またカメラフラッシュシステム700は、マイクロプロセッサのようなコントローラ706を有する。コントローラ706は、LEDドライバ704に結合される。また、コントローラ706は、カメラ708およびセンサ710に結合されてもよく、メモリ712に保管された命令およびプロファイルに従って動作されてもよい。カメラ708、LED照明アレイ、およびレンズシステム702は、それらの視野に整合するようにコントローラ706により制御されてもよい。
(Application)
FIG. 7 schematically depicts an exemplary camera flash system 700 using the uLEDs disclosed herein. Camera flash system 700 includes an LED lighting array and a lens system 702 in electrical communication with an LED driver 704. Camera flash system 700 also includes a controller 706, such as a microprocessor. Controller 706 is coupled to LED driver 704. Controller 706 may also be coupled to camera 708 and sensor 710 and may be operated according to instructions and profiles stored in memory 712. Camera 708, LED lighting array, and lens system 702 may be controlled by controller 706 to match their field of view.

センサ710は、例えば、位置センサ(例えば、ジャイロスコープおよび/または加速度計)、ならびに/またはシステム700の位置、速度、および方向を決定するために使用され得る他のセンサを含んでもよい。センサ710からの信号は、コントローラ706に供給され、コントローラ706の好適な動作経路(例えば、現在どのLEDがターゲットを照射しており、どのLEDが所定の時間後にターゲットを照射するか)を決定するために使用されてもよい。 Sensors 710 may include, for example, position sensors (eg, gyroscopes and/or accelerometers) and/or other sensors that may be used to determine the position, velocity, and orientation of system 700. Signals from sensor 710 are provided to controller 706 to determine the preferred path of operation of controller 706 (e.g., which LED is currently illuminating the target and which LED will illuminate the target after a predetermined period of time). may be used for

動作において、702におけるLEDアレイの画素の一部または全部からの照射は、調整されてもよく、不活性化され、フル強度で作動され、または中間強度で作動されてもよい。前述のように、702において、LEDアレイにより放射された光のビームフォーカスまたはステアリングは、画素の1つ以上のサブセットをアクティブ化することにより、電子的に行うことができ、照明装置内のレンズの焦点を変化させることなく、または光学素子を動かすことなく、ビーム形状の動的調整が可能となる。 In operation, the illumination from some or all of the pixels of the LED array at 702 may be modulated, deactivated, operated at full intensity, or operated at intermediate intensity. As mentioned above, at 702, beam focusing or steering of the light emitted by the LED array can be accomplished electronically by activating one or more subsets of pixels, and by activating one or more subsets of pixels in the illumination device. Dynamic adjustment of the beam shape is possible without changing the focus or moving the optical elements.

本願に記載のLED照明アレイおよびレンズシステムは、各種他のビームステアリングまたは他の用途を支援してもよい。この場合、光分布の微細強度、空間的および時間的制御からの利点が得られる。これらの用途には、これに限られるものではないが、画素ブロックまたは個々の画素から放射された光の正確な空間パターン化が含まれる。用途に応じて、放射された光は、スペクトル的に別個であってもよく、経時的に適応的であってもよく、および/または環境的に応答性であってもよい。発光画素アレイは、各種強度、空間、または時間的パターンで予めプログラムされた光分布を提供してもよい。関連する光学系は、画素、画素ブロック、または装置レベルで別個であってもよい。例示的な発光画素アレイは、関連する共通光学系を有する、高強度画素の共通制御される中央ブロックを含む装置を有してもよく、端部画素は、個々の光学系を有してもよい。懐中電灯に加えて、発光画素アレイにより支持される一般的な用途には、ビデオ照明、自動車ヘッドライト、建築用照明および区域照明、ならびに街路照明が含まれる。 The LED lighting arrays and lens systems described herein may support various other beam steering or other applications. In this case, benefits are obtained from fine intensity, spatial and temporal control of the light distribution. These applications include, but are not limited to, precise spatial patterning of light emitted from blocks of pixels or individual pixels. Depending on the application, the emitted light may be spectrally discrete, temporally adaptive, and/or environmentally responsive. The light emitting pixel array may provide preprogrammed light distributions in various intensity, spatial, or temporal patterns. The associated optics may be separate at the pixel, pixel block, or device level. An exemplary emissive pixel array may have an arrangement that includes a commonly controlled central block of high intensity pixels with associated common optics, and edge pixels may have individual optics. good. In addition to flashlights, common applications supported by light emitting pixel arrays include video lighting, automotive headlights, architectural and area lighting, and street lighting.

図8には、本願に開示のuLEDを用いた例示的な拡張現実/仮想現実(AR/VR)システム800を概略的に示す。1つ以上のAR/VRシステムは、拡張(AR)または仮想(VR)ヘッドセット、メガネ、プロジェクタを含む。AR/VRシステム800は、LED発光アレイ802、LEDドライバ(または発光アレイコントローラ)804、システムコントローラ806、ARまたはVRディスプレイ808、センサシステム810を有する。センサシステム810に制御入力が提供される一方、電力812およびユーザデータ入力は、システムコントローラ806に提供される。理解されるように、いくつかの実施形態では、AR/VRシステム800に含まれるモジュールは、単一の構造にコンパクトに配置することができ、または1つ以上の素子を別々に取り付け、無線または有線通信を介して接続することができる。例えば、発光アレイ802、ARまたはVRディスプレイ808、およびセンサシステム810は、LEDドライバ804および/またはシステムコントローラ806を別々に取り付けた状態で、ヘッドセットまたはメガネに取り付けることができる。 FIG. 8 schematically depicts an exemplary augmented reality/virtual reality (AR/VR) system 800 using uLEDs disclosed herein. The one or more AR/VR systems include an augmented (AR) or virtual (VR) headset, glasses, and a projector. AR/VR system 800 includes an LED light emitting array 802, an LED driver (or light emitting array controller) 804, a system controller 806, an AR or VR display 808, and a sensor system 810. Control inputs are provided to sensor system 810, while power 812 and user data inputs are provided to system controller 806. As will be appreciated, in some embodiments, the modules included in the AR/VR system 800 can be compactly arranged in a single structure, or one or more elements can be separately mounted, wireless or Can be connected via wired communication. For example, the light emitting array 802, AR or VR display 808, and sensor system 810 can be attached to a headset or glasses, with the LED driver 804 and/or system controller 806 separately attached.

一実施形態では、発光アレイ802を使用して、AR/VRシステムを支援できるグラフィカルまたはオブジェクトパターンに光を投影することができる。いくつかの実施形態では、別個の発光アレイを使用して、ディスプレイ画像を提供することができる。AR特徴物は、別個の分離されたマイクロLEDアレイにより提供される。いくつかの実施形態では、画素の選択されたグループを使用して、ユーザにコンテンツを表示することができ、トラッキング画素は、眼のトラッキングに使用されるトラッキング光を提供する。コンテンツ表示画素は、可視帯域の少なくとも一部(約400nmから750nm)を有する可視光を放射するように設計される。一方、トラッキング画素は、可視帯域、赤外帯域(約750nmから2,200nm)、またはそれらの一部の組み合わせの光を発することができる。別の例では、トラッキング画素は、800から1000ナノメートルの範囲で動作することができる。いくつかの実施形態では、トラッキング画素は、コンテンツ画素がオフにされ、ユーザにコンテンツが表示されない時間の間、トラッキング光を放射することができる。 In one embodiment, light emitting array 802 can be used to project light into a graphical or object pattern that can support an AR/VR system. In some embodiments, a separate light emitting array can be used to provide display images. The AR features are provided by separate and isolated micro-LED arrays. In some embodiments, selected groups of pixels can be used to display content to a user, and the tracking pixels provide tracking light used for eye tracking. The content display pixels are designed to emit visible light having at least a portion of the visible band (approximately 400 nm to 750 nm). Tracking pixels, on the other hand, can emit light in the visible band, in the infrared band (approximately 750 nm to 2,200 nm), or some combination thereof. In another example, tracking pixels can operate in the 800 to 1000 nanometer range. In some embodiments, the tracking pixel may emit tracking light during times when the content pixel is turned off and no content is displayed to the user.

AR/VRシステム800は、LED発光アレイ802および/またはAR/VRディスプレイ808に、広範囲の光学系を組み込むことができ、例えば、前述のように、LED発光アレイ802により放射された光をAR/VRディスプレイ808に結合させることができる。AR/VR用途では、これらの光学系は、ナノフィンを有し、それらが透過する光を偏光するように構成されてもよい。 The AR/VR system 800 can incorporate a wide range of optics into the LED light emitting array 802 and/or the AR/VR display 808, for example, as described above, to It can be coupled to a VR display 808. For AR/VR applications, these optics may have nanofins and be configured to polarize the light they transmit.

一実施形態では、発光アレイコントローラ804を使用して、電力およびリアルタイム制御を発光アレイ802に提供することができる。例えば、発光アレイコントローラ804は、画素またはグループ画素レベルの振幅およびデューティサイクルの制御を実施できる。いくつかの実施形態では、発光アレイコントローラ804は、さらに、フレームバッファを有し、発光アレイ802に供給され得る、形成または処理された画像が保持される。他のサポートされるモジュールは、インターインテグレーテッドサーキット(I2C)シリアルバス、シリアル周辺インターフェース(SPI)、USB-C、HDMI、表示ポート、または必要な画像データ、制御データもしくは命令を送信するように構成された、他の好適な画像もしくは制御モジュールのような、デジタル制御インターフェースを含むことができる。 In one embodiment, a light emitting array controller 804 may be used to provide power and real-time control to the light emitting array 802. For example, the light emitting array controller 804 can implement pixel or group pixel level amplitude and duty cycle control. In some embodiments, the light emitting array controller 804 further includes a frame buffer to hold formed or processed images that may be provided to the light emitting array 802. Other supported modules can be configured to transmit the Inter-Integrated Circuit (I2C) Serial Bus, Serial Peripheral Interface (SPI), USB-C, HDMI, Display Port, or any required image data, control data or instructions. A digital control interface may be included, such as a digital camera or other suitable image or control module.

動作において、画像内の画素は、対応する発光アレイ802の応答を画定するために使用することができ、LED画素の強度および空間変調は、画像に基づく。データレートの問題を低減するため、いくつかの実施形態では、画素のグループ(例えば、5×5ブロック)を単一ブロックとして制御できる。いくつかの実施形態では、高速および高データレート動作が支援され、連続する画像からの画素値は、30Hzから100Hzの間の速度で、画像シーケンス内の一連のフレームとしてロードすることができる。60Hzが典型的である。パルス幅変調を用いて、各画素が制御され、少なくとも部分的に画像に依存する強度で、パターンに光が放射される。 In operation, the pixels in the image can be used to define the response of the corresponding light emitting array 802, and the intensity and spatial modulation of the LED pixels is based on the image. To reduce data rate issues, in some embodiments, groups of pixels (eg, 5x5 blocks) can be controlled as a single block. In some embodiments, high speed and high data rate operation is supported, and pixel values from successive images can be loaded as a series of frames in an image sequence at a rate between 30Hz and 100Hz. 60Hz is typical. Using pulse width modulation, each pixel is controlled to emit light in a pattern with an intensity that is at least partially image dependent.

いくつかの実施形態では、センサシステム810は、環境をモニターする、カメラ、深度センサ、またはオーディオセンサのような外部センサと、AR/VRヘッドセット位置をモニターする、加速度計または2軸もしくは3軸ジャイロスコープのような内部センサと、を含むことができる。他のセンサは、これに限られるものではないが、空気圧センサ、応力センサ、温度センサ、またはローカルまたはリモートの環境モニタリングに必要な、任意の他の好適なセンサを含むことができる。いくつかの実施形態では、制御入力は、ヘッドセットまたはディスプレイ位置に基づいた、検出タッチまたはタップ、ジェスチャー入力、または制御を含むことができる別の例として、並進運動または回転運動を測定する、1つ以上のジャイロスコープまたは位置センサからの1つ以上の測定信号に基づいて、AR/VRシステム800の初期位置に対する推測位置を決定することができる。 In some embodiments, the sensor system 810 includes external sensors such as a camera, depth sensor, or audio sensor to monitor the environment, and an accelerometer or two- or three-axis to monitor the AR/VR headset position. and an internal sensor such as a gyroscope. Other sensors may include, but are not limited to, air pressure sensors, stress sensors, temperature sensors, or any other suitable sensors necessary for local or remote environmental monitoring. In some embodiments, the control input may include a detected touch or tap, gesture input, or control based on headset or display position, as another example, measuring translational or rotational movement. Based on one or more measurement signals from one or more gyroscopes or position sensors, an estimated position relative to the initial position of AR/VR system 800 can be determined.

いくつかの実施形態では、システムコントローラ806は、センサシステム810からのデータを使用して、時間にわたって加速度計から受信した測定信号を経時的に積分し、速度ベクトルを推定し、速度ベクトルを経時的に積分して、AR/VRシステム800の参照点の推定位置を決定する。他の実施形態では、AR/VRシステム800の位置を表すために使用される参照点は、深度センサ、カメラ配置ビュー、または光学場の流れに基づくことができる。 In some embodiments, the system controller 806 uses data from the sensor system 810 to integrate measurement signals received from the accelerometer over time, estimate a velocity vector, and calculate the velocity vector over time. is integrated to determine the estimated position of the reference point of the AR/VR system 800. In other embodiments, the reference points used to represent the position of the AR/VR system 800 may be based on depth sensors, camera placement views, or optical field flows.

AR/VRシステム800の位置、配向、または移動の変化に基づいて、システムコントローラ806は、発光アレイコントローラ804に画像または命令を送信することができる。また、必要に応じて、ユーザデータ入力、あるいは自動データ入力によって、画像または命令の変更または修正を行うことができる。ユーザデータ入力には、これに限られるものではないが、音声命令、触覚フィードバック、眼または瞳孔の配置、または接続されたキーボード、マウス、もしくはゲームコントローラにより提供されるものが含まれる。 Based on changes in position, orientation, or movement of AR/VR system 800, system controller 806 can send images or instructions to light emitting array controller 804. Also, changes or modifications to the images or instructions can be made by user data entry or by automatic data entry, if desired. User data input includes, but is not limited to, voice commands, tactile feedback, eye or pupil placement, or those provided by an attached keyboard, mouse, or game controller.

(実施形態)
以下、各種実施形態が列挙される。以下に示される実施形態は、本発明の範囲に従って、全ての態様および他の実施形態と組み合わされてもよいことが理解される。
(Embodiment)
Various embodiments are listed below. It is understood that the embodiments presented below may be combined with all aspects and other embodiments according to the scope of the invention.

実施形態(a)
マイクロ発光ダイオード(uLED)装置であって、
メサであって、
n型層、活性層、およびp型層を含む複数の半導体層と、
前記p型層と接触するpコンタクト層と、
を有し、前記メサは、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅とを有し、前記pコンタクト層の前記上部表面は、前記n型層の前記第1および第2の側壁とは異なる平面配向を有する、メサと、
前記n型層の前記第1の側壁と接触するカソードと、
前記pコンタクト層、前記活性層、および前記p型層の第1の側壁を前記カソードから絶縁する誘電体材料の第1の領域と、
前記pコンタクト層の前記上部表面と接触するアノードと、
前記活性層、前記p型層の第2の側壁、および前記n型層の前記第2の側壁を前記アノードから絶縁する誘電体材料の第2の領域と、
を有する、uLED装置。
Embodiment (a)
A micro light emitting diode (uLED) device,
Mesa,
a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer;
a p-contact layer in contact with the p-type layer;
and the mesa has a height extending from a top surface of the p-contact layer to a bottom surface of the n-type layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer. a mesa, the top surface of the p-contact layer having a different planar orientation than the first and second sidewalls of the n-type layer;
a cathode in contact with the first sidewall of the n-type layer;
a first region of dielectric material insulating the p-contact layer, the active layer, and a first sidewall of the p-type layer from the cathode;
an anode in contact with the upper surface of the p-contact layer;
a second region of dielectric material insulating the active layer, the second sidewall of the p-type layer, and the second sidewall of the n-type layer from the anode;
A uLED device with

実施形態(b)
前記メサの幅は、100ミクロン未満である、実施形態(a)に記載のuLED装置。
Embodiment (b)
The uLED device of embodiment (a), wherein the mesa width is less than 100 microns.

実施形態(c)
前記メサの高さは、前記メサの幅以下である、実施形態(a)乃至(b)のいずれか一つに記載のuLED装置。
Embodiment (c)
The uLED device according to any one of embodiments (a) to (b), wherein the height of the mesa is less than or equal to the width of the mesa.

実施形態(d)
前記カソードおよび前記アノードの両方は、当該uLED装置を長手方向に広げる、実施形態(a)乃至(c)のいずれか一つに記載のuLED装置。
Embodiment (d)
The uLED device according to any one of embodiments (a) to (c), wherein both the cathode and the anode extend the uLED device longitudinally.

実施形態(e)
前記p型層と接触する前記pコンタクト層は、実質的に前記p型層の幅にわたる、実施形態(a)乃至(d)のいずれか一つに記載のuLED装置。
Embodiment (e)
The uLED device according to any one of embodiments (a) to (d), wherein the p-contact layer in contact with the p-type layer substantially spans the width of the p-type layer.

実施形態(f)
前記カソードは、前記n型層の一部、および前記誘電体材料の前記第1の領域の第1の部分を包囲する、実施形態(a)乃至(e)のいずれか一つに記載のuLED装置。
Embodiment (f)
The uLED according to any one of embodiments (a) to (e), wherein the cathode surrounds a portion of the n-type layer and a first portion of the first region of the dielectric material. Device.

実施形態(g)
前記アノードは、前記pコンタクト層の一部、および前記誘電体材料の前記第2の領域の一部を包囲する、実施形態(a)乃至(f)のいずれか一つに記載のuLED装置。
Embodiment (g)
The uLED device according to any one of embodiments (a) to (f), wherein the anode surrounds a portion of the p-contact layer and a portion of the second region of dielectric material.

実施形態(h)
前記カソードの第1の長手方向表面は、前記uLEDの第1の接合表面を画定し、
前記アノードの第1の長手方向表面は、前記uLEDの第2の接合表面を画定し、
前記カソードの前記第1の長手方向表面および前記アノードの前記第1の長手方向表面は、前記pコンタクト層の同じ側に配置される、実施形態(a)乃至(g)のいずれか一つに記載のuLED装置。
Embodiment (h)
a first longitudinal surface of the cathode defines a first bonding surface of the uLED;
a first longitudinal surface of the anode defines a second bonding surface of the uLED;
In any one of embodiments (a) to (g), the first longitudinal surface of the cathode and the first longitudinal surface of the anode are arranged on the same side of the p-contact layer. uLED device as described.

実施形態(i)
前記カソードの前記第1の長手方向表面および前記アノードの前記第1の長手方向表面は、平面である、実施形態(h)に記載のuLED装置。
Embodiment (i)
The uLED device of embodiment (h), wherein the first longitudinal surface of the cathode and the first longitudinal surface of the anode are planar.

実施形態(j)
前記カソードの第2の長手方向表面は、前記uLEDの第1のリフトオフ端部を画定し、
前記アノードの第2の長手方向表面は、前記uLEDの第2のリフトオフ端部を画定し、
前記カソードの前記第2の長手方向表面および前記アノードの前記第2の長手方向表面は、前記n型層の対向する両側に配置される、実施形態(a)乃至(i)のいずれか一つに記載のuLED装置。
Embodiment (j)
a second longitudinal surface of the cathode defines a first lift-off end of the uLED;
a second longitudinal surface of the anode defines a second lift-off end of the uLED;
Any one of embodiments (a) to (i), wherein the second longitudinal surface of the cathode and the second longitudinal surface of the anode are disposed on opposite sides of the n-type layer. uLED device described in.

実施形態(k)
前記カソード、前記アノード、および前記誘電性材料の前記第1の領域の第2の部分に配置されたパッシベーション層を有する、実施形態(a)乃至(j)のいずれか一つに記載のuLED装置。
Embodiment (k)
The uLED device according to any one of embodiments (a) to (j), having a passivation layer disposed on the cathode, the anode, and a second portion of the first region of dielectric material. .

実施形態(l)
前記カソードは、前記n型層の2つの平面配向に接触する、実施形態(a)乃至(k)のいずれか一つに記載のuLED装置。
Embodiment (l)
The uLED device according to any one of embodiments (a) to (k), wherein the cathode contacts two planar orientations of the n-type layer.

実施形態(m)
前記アノードは、前記pコンタクト層の2つの平面配向に接触する、実施形態(a)乃至(l)のいずれか一つに記載のuLED装置。
Embodiment (m)
The uLED device according to any one of embodiments (a) to (l), wherein the anode contacts two planar orientations of the p-contact layer.

実施形態(n)
前記半導体層は、2μmから10μmの範囲の全厚さを有する、実施形態(a)乃至(m)のいずれか一つに記載のuLED装置。
Embodiment (n)
The uLED device according to any one of embodiments (a) to (m), wherein the semiconductor layer has a total thickness in the range of 2 μm to 10 μm.

実施形態(o)
前記n型層はN-GaNを含み、前記p型層はP-GaNを含む、実施形態(a)乃至(n)のいずれか一つに記載のuLED装置。
Embodiment (o)
The uLED device according to any one of embodiments (a) to (n), wherein the n-type layer includes N-GaN and the p-type layer includes P-GaN.

実施形態(p)
前記第1の領域および前記第2の領域の前記誘電体材料は、それぞれ独立に、SiO2、AlOx、およびSiNからなる群から選択された材料を含み、それぞれ独立に、200nmから1μmの範囲の厚さを有する、実施形態(a)乃至(o)のいずれか一つに記載のuLED装置。
Embodiment (p)
The dielectric material of the first region and the second region each independently includes a material selected from the group consisting of SiO 2 , AlO x , and SiN, and each independently has a diameter in the range of 200 nm to 1 μm. The uLED device according to any one of embodiments (a) to (o), having a thickness of .

実施形態(q)
表示装置であって、
バックプレーンと、
前記バックプレーンに取り付けられた複数の個々に配置されたuLED装置であって、前記uLED装置の各々は、実施形態(a)乃至(p)のいずれか一つに記載のuLED装置を有する、uLED装置と、
前記複数の個々に配置されたuLED装置を取り囲む表示面を含むハウジングと、
を有する、表示装置。
Embodiment (q)
A display device,
backplane and
a plurality of individually disposed uLED devices attached to the backplane, each of the uLED devices comprising a uLED device according to any one of embodiments (a) to (p); a device;
a housing including a display surface surrounding the plurality of individually disposed uLED devices;
A display device having:

実施形態(r)
前記uLEDの各々は、実施形態(a)乃至(p)のいずれか一つに記載のuLED装置である、実施形態(q)に記載の表示装置。
Embodiment (r)
The display device according to embodiment (q), wherein each of the uLEDs is a uLED device according to any one of embodiments (a) to (p).

実施形態(s)
実施形態(q)乃至(r)のいずれか一つに記載の表示装置と、前記複数のuLED装置と通信される1つ以上のコントローラとを有する、表示システム。
Embodiment(s)
A display system comprising a display device according to any one of embodiments (q) to (r) and one or more controllers in communication with the plurality of uLED devices.

実施形態(t)
前記複数のuLED装置は、独立して制御可能である、実施形態(s)に記載の表示システム。
Embodiment (t)
The display system of embodiment (s), wherein the plurality of uLED devices are independently controllable.

実施形態(u)
マイクロ発光ダイオード(uLED)装置を製造する方法であって、
基板上にn型層、活性層、およびp型層を含む複数の半導体層を成膜するステップと、
前記複数の半導体層上にpコンタクト層を成膜するステップと、
前記pコンタクト層上にハードマスク層を成膜するステップと、
前記半導体層、前記pコンタクト層、および前記ハードマスク層の一部をエッチングして、トレンチおよび複数のメサを形成するステップであって、メサの各々は、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅とを有する、ステップと、
前記基板にわたって、前記トレンチ内および前記基板の最上部表面に誘電体金属を成膜するステップと、
第1のエッチングにより、前記pコンタクト層および前記基板の表面の第1の部分を露出させるステップと、
第2のエッチングにより、前記n型層および前記基板の前記表面の第2の部分を露出させるステップと、
前記第1のエッチングおよび前記第2のエッチングにより露出された領域に、第1の金属を成膜するステップと、
エッチングにより、互いに絶縁されたカソードおよびアノードを形成するステップと、
を有し、
前記各ステップにより、処理構造が形成される、方法。
Embodiment (u)
A method of manufacturing a micro light emitting diode (uLED) device, the method comprising:
Depositing a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer on the substrate;
forming a p-contact layer on the plurality of semiconductor layers;
depositing a hard mask layer on the p-contact layer;
etching a portion of the semiconductor layer, the p-contact layer, and the hardmask layer to form a trench and a plurality of mesas, each mesa extending from the top surface of the p-contact layer to the n-contact layer; a step having a height extending to a bottom surface of a mold layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer;
depositing a dielectric metal across the substrate, in the trench and on the top surface of the substrate;
exposing the p-contact layer and a first portion of the surface of the substrate by a first etch;
exposing the n-type layer and a second portion of the surface of the substrate by a second etch;
forming a first metal film in the region exposed by the first etching and the second etching;
forming a cathode and an anode insulated from each other by etching;
has
A method, wherein each of the steps forms a processing structure.

実施形態(v)
前記メサの幅は、100ミクロン未満である、 実施形態(u)に記載の方法。
Embodiment (v)
The method of embodiment (u), wherein the mesa width is less than 100 microns.

実施形態(w)
前記メサの高さは、前記メサの幅と等しく、またはそれ以下である、 実施形態(u)
または(v)に記載の方法。
Embodiment (w)
Embodiment (u), wherein the height of the mesa is equal to or less than the width of the mesa.
or as described in (v).

実施形態(x)
前記第1のエッチングおよび/または前記第2のエッチングは、互いに独立に、異方性エッチングを有する、実施形態(u)乃至(w)のいずれか一つに記載の方法。
Embodiment (x)
The method according to any one of embodiments (u) to (w), wherein the first etching and/or the second etching, independently of each other, comprise anisotropic etching.

実施形態(y)
前記エッチングにより、前記pコンタクト層を露出させるステップの前に、マスキングを行うステップを有する、実施形態(u)乃至(x)のいずれか一つに記載の方法。
Embodiment (y)
The method according to any one of embodiments (u) to (x), further comprising the step of performing masking before exposing the p-contact layer by etching.

実施形態(z)
前記pコンタクト層の2つの平面配向は、前記エッチングにより、前記pコンタクト層を露出させるステップの間に露出される、実施形態(u)乃至(y)のいずれか一つに記載の方法。
Embodiment (z)
The method of any one of embodiments (u)-(y), wherein two planar orientations of the p-contact layer are exposed during the step of exposing the p-contact layer by etching.

実施形態(aa)
前記n層の2つの平面配向は、前記エッチングにより、前記n層を露出させるステップの間に露出される、実施形態(u)乃至(z)のいずれか一つに記載の方法。
Embodiment (aa)
The method of any one of embodiments (u) to (z), wherein two planar orientations of the n-layer are exposed during the step of exposing the n-layer by the etching.

実施形態(bb)
前記エッチングにより、前記n型層を露出させるステップの前に、マスキングを行うステップを有する、実施形態(u)乃至(aa)のいずれか一つに記載の方法。
Embodiment (bb)
The method according to any one of embodiments (u) to (aa), further comprising the step of performing masking before exposing the n-type layer by etching.

実施形態(cc)
前記処理構造上にパッシベーション層を形成するステップを有する、実施形態(u)乃至(bb)のいずれか一つに記載の方法。
Embodiment (cc)
The method as in any one of embodiments (u) to (bb), comprising forming a passivation layer on the treated structure.

実施形態(dd)
前記カソードおよび前記アノードの接合表面を支持体に接着させるステップと、
前記基板を除去するステップと、
前記メサを個別化して、個々のuLED装置を形成するステップと、
を有する、実施形態(u)乃至(cc)のいずれか一つに記載の方法。
Embodiment (dd)
adhering the bonding surfaces of the cathode and the anode to a support;
removing the substrate;
individualizing the mesas to form individual uLED devices;
The method according to any one of embodiments (u) to (cc), comprising:

実施形態(ee)
前記n型層はN-GaNを含み、前記p型層はP-GaNを含む、実施形態(u)乃至(dd)のいずれか一つに記載の方法。
Embodiment (ee)
The method according to any one of embodiments (u) to (dd), wherein the n-type layer includes N-GaN and the p-type layer includes P-GaN.

実施形態(ff)
前記第1の領域および前記第2の領域の前記誘電体材料は、各々独立に、SiO2、AlOx、およびSiNからなる群から選択された材料を有し、各々独立に、200nmから1μmの範囲の厚さを有する、実施形態(u)乃至(ee)のいずれか一つに記載の方法。
Embodiment (ff)
The dielectric material of the first region and the second region each independently has a material selected from the group consisting of SiO 2 , AlO x , and SiN, and each independently has a material of 200 nm to 1 μm. The method of any one of embodiments (u) to (ee), having a thickness in a range of thicknesses.

実施形態(gg)
前記p型層と接触する前記pコンタクト層は、実質的に前記p型層の幅にまたがる、実施形態(u)乃至(ff)のいずれか一つに記載の方法。
Embodiment (gg)
The method of any one of embodiments (u) to (ff), wherein the p-contact layer in contact with the p-type layer substantially spans the width of the p-type layer.

実施形態(hh)
前記p型層は、実質的に前記活性層の幅にまたがる、実施形態(u)乃至(gg)のいずれか一つに記載の方法。
Embodiment (hh)
The method of any one of embodiments (u) to (gg), wherein the p-type layer substantially spans the width of the active layer.

実施形態(ii)
表示装置を製造する方法であって、
ピックアンドプレース法により、複数のマイクロ発光ダイオード(uLED)をバックプレーンに取り付けるステップと、
表示面を有するハウジング内に前記複数のLEDを取り囲むステップと、
を有し、
前記uLEDの各々は、実施形態(a)乃至(p)のいずれか一つに記載のuLEDを有する、方法。
Embodiment (ii)
A method of manufacturing a display device, the method comprising:
attaching a plurality of micro light emitting diodes (uLEDs) to the backplane using a pick and place method;
enclosing the plurality of LEDs in a housing having a display surface;
has
A method, wherein each of the uLEDs comprises a uLED according to any one of embodiments (a) to (p).

実施形態(jj)
前記メサの幅は、100ミクロン未満である、実施形態(ii)に記載の方法。
Embodiment (jj)
The method of embodiment (ii), wherein the mesa width is less than 100 microns.

実施形態(kk)
前記メサの高さは、前記メサの幅以下である、実施形態(ii)乃至(jj)のいずれかに記載の方法。
Embodiment (kk)
The method according to any one of embodiments (ii) to (jj), wherein the height of the mesa is less than or equal to the width of the mesa.

実施形態(ll)
前記p型層と接触する前記pコンタクト層は、実質的に前記p型層の幅に広がる、実施形態(ii)乃至(kk)のいずれかに記載の方法。
Embodiment (ll)
A method according to any of embodiments (ii) to (kk), wherein the p-contact layer in contact with the p-type layer extends substantially the width of the p-type layer.

実施形態(mm)
前記p型層は、実質的に前記活性層の幅に広がる、実施形態(ii)乃至(ll)のいずれかに記載の方法。
Embodiment (mm)
A method according to any of embodiments (ii) to (ll), wherein the p-type layer extends substantially the width of the active layer.

本願を通して、「一実施形態」、「特定の実施形態」、「1つ以上の実施形態」または「実施形態」という言及は、実施形態に関連して記載された特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。従って、本願の各種箇所における「1つ以上の実施形態では」、「特定の実施形態では」、「1つの実施形態では」または「ある実施形態では」のような語句の外観は、必ずしも本開示の同じ実施形態を表すものではない。さらに、特定の特徴、構造、材料、または特性は、1つ以上の実施形態において、任意の好適な方法で組み合わされてもよい。 Throughout this application, references to "one embodiment," "a particular embodiment," "one or more embodiments," or "embodiments" refer to the specific features, structures, materials, features, structures, materials, etc. described in connection with an embodiment. or characteristic is included in at least one embodiment of this disclosure. Therefore, the appearance of phrases such as "in one or more embodiments," "in a particular embodiment," "in one embodiment," or "in an embodiment" in various places in this application does not necessarily refer to the present disclosure. are not representative of the same embodiment. Additionally, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

本発明の多くの修正および他の実施形態は、前述の記載および関連する図面に示された示唆の利点を有する当業者に理解される。従って、本発明は、開示された特定の実施形態に限定されるものではなく、修正および実施形態が添付の特許請求の範囲に含まれることが意図されることが理解される。また、本発明の他の実施形態は、本願に具体的に開示されていない要素/ステップの非存在下で実施されてもよいことが理解される。

Many modifications and other embodiments of the invention will be apparent to those skilled in the art having the benefit of the suggestions presented in the foregoing description and associated drawings. It is therefore understood that this invention is not limited to the particular embodiments disclosed, but that modifications and embodiments are intended to come within the scope of the appended claims. It is also understood that other embodiments of the invention may be practiced in the absence of elements/steps not specifically disclosed herein.

Claims (19)

マイクロ発光ダイオード(uLED)装置を製造する方法であって、
基板上にn型層、活性層、およびp型層を含む複数の半導体層を成膜するステップと、
前記複数の半導体層上にpコンタクト層を成膜するステップと、
前記pコンタクト層上にハードマスク層を成膜するステップと、
前記半導体層、前記pコンタクト層、および前記ハードマスク層の一部をエッチングして、トレンチおよび複数のメサを形成するステップであって、メサの各々は、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅とを有する、ステップと、
前記基板にわたって、前記トレンチ内および前記基板の最上部表面に誘電体金属を成膜するステップと、
第1のエッチングにより、前記pコンタクト層および前記基板の表面の第1の部分を露出させるステップと、
第2のエッチングにより、前記n型層および前記基板の前記表面の第2の部分を露出させるステップと、
前記第1のエッチングおよび前記第2のエッチングにより露出された領域に、第1の金属を成膜するステップと、
エッチングにより、互いに絶縁されたカソードおよびアノードを形成するステップと、
を有し、
前記各ステップにより、処理構造が形成される、方法。
A method of manufacturing a micro light emitting diode (uLED) device, the method comprising:
Depositing a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer on the substrate;
forming a p-contact layer on the plurality of semiconductor layers;
depositing a hard mask layer on the p-contact layer;
etching a portion of the semiconductor layer, the p-contact layer, and the hardmask layer to form a trench and a plurality of mesas, each mesa extending from the top surface of the p-contact layer to the n-contact layer; a step having a height extending to a bottom surface of a mold layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer;
depositing a dielectric metal across the substrate, in the trench and on the top surface of the substrate;
exposing the p-contact layer and a first portion of the surface of the substrate by a first etch;
exposing the n-type layer and a second portion of the surface of the substrate by a second etch;
forming a first metal film in the region exposed by the first etching and the second etching;
forming a cathode and an anode insulated from each other by etching;
has
A method, wherein each of the steps forms a processing structure.
前記メサの幅は、100ミクロン未満である、請求項1に記載の方法。 2. The method of claim 1, wherein the mesa width is less than 100 microns. 前記メサの高さは、前記メサの幅と等しく、またはそれ以下である、請求項1に記載の方法。 2. The method of claim 1, wherein the mesa height is equal to or less than the mesa width. 前記第1のエッチングおよび/または前記第2のエッチングは、互いに独立に、異方性エッチングを有する、請求項1に記載の方法。 2. The method according to claim 1, wherein the first etching and/or the second etching independently of each other comprise anisotropic etching. 前記エッチングにより、前記pコンタクト層を露出させるステップの前に、マスキングを行うステップを有する、請求項1に記載の方法。 2. The method of claim 1, further comprising the step of performing masking before exposing the p-contact layer by etching. 前記pコンタクト層の2つの平面配向は、前記エッチングにより、前記pコンタクト層を露出させるステップの間に露出される、請求項1に記載の方法。 2. The method of claim 1, wherein two planar orientations of the p-contact layer are exposed during the step of exposing the p-contact layer by the etching. 前記n層の2つの平面配向は、前記エッチングにより、前記n層を露出させるステップの間に露出される、請求項1に記載の方法。 2. The method of claim 1, wherein two planar orientations of the n-layer are exposed during the step of exposing the n-layer by the etching. 前記エッチングにより、前記n型層を露出させるステップの前に、マスキングを行うステップを有する、請求項1に記載の方法。 2. The method of claim 1, further comprising the step of performing masking before exposing the n-type layer by etching. 前記処理構造上にパッシベーション層を形成するステップを有する、請求項1に記載の方法。 2. The method of claim 1, comprising forming a passivation layer over the treated structure. 前記カソードおよび前記アノードの接合表面を支持体に接着させるステップと、
前記基板を除去するステップと、
前記メサを個別化して、個々のuLED装置を形成するステップと、
を有する、請求項1に記載の方法。
adhering the bonding surfaces of the cathode and the anode to a support;
removing the substrate;
individualizing the mesas to form individual uLED devices;
The method according to claim 1, comprising:
前記n型層はN-GaNを含み、前記p型層はP-GaNを含む、請求項1に記載の方法。 2. The method of claim 1, wherein the n-type layer comprises N-GaN and the p-type layer comprises P-GaN. 前記第1の領域および前記第2の領域の前記誘電体材料は、各々独立に、SiO2、AlOx、およびSiNからなる群から選択された材料を有し、各々独立に、200nmから1μmの範囲の厚さを有する、請求項1に記載の方法。 The dielectric material of the first region and the second region each independently has a material selected from the group consisting of SiO 2 , AlO x , and SiN, and each independently has a material of 200 nm to 1 μm. 2. The method of claim 1, having a range of thicknesses. 前記p型層と接触する前記pコンタクト層は、実質的に前記p型層の幅にまたがる、請求項1に記載の方法。 2. The method of claim 1, wherein the p-contact layer in contact with the p-type layer substantially spans the width of the p-type layer. 前記p型層は、実質的に前記活性層の幅にまたがる、請求項1に記載の方法。 2. The method of claim 1, wherein the p-type layer substantially spans the width of the active layer. 表示装置を製造する方法であって、
ピックアンドプレース法により、複数のマイクロ発光ダイオード(uLED)をバックプレーンに取り付けるステップと、
表示面を有するハウジング内に前記複数のLEDを取り囲むステップと、
を有し、
前記uLEDの各々は、
メサであって、
n型層、活性層、およびp型層を含む複数の半導体層と、
前記p型層に接触するp型コンタクト層と、
を有し、前記メサは、前記pコンタクト層の上部表面から前記n型層の底部表面まで広がる高さと、前記n型層の第1の側壁から前記n型層の第2の側壁まで広がる幅と、を有し、前記p-コンタクト層の前記上部表面は、前記n型層の前記第1および第2の側壁とは異なる平面配向を有する、メサと、
前記n型層の前記第1の側壁に接触するカソードと、
前記pコンタクト層、前記活性層、および前記p型層の第1の側壁を前記カソードから絶縁する誘電体材料の第1の領域と、
前記pコンタクト層の前記上部表面に接触するアノードと、
前記活性層、前記p型層の第2の側壁、および前記n型層の前記第2の側壁を前記アノードから絶縁する誘電体材料の第2の領域と、
を有する、方法。
A method of manufacturing a display device, the method comprising:
attaching a plurality of micro light emitting diodes (uLEDs) to the backplane using a pick and place method;
enclosing the plurality of LEDs in a housing having a display surface;
has
Each of the uLEDs is
Mesa,
a plurality of semiconductor layers including an n-type layer, an active layer, and a p-type layer;
a p-type contact layer in contact with the p-type layer;
and the mesa has a height extending from a top surface of the p-contact layer to a bottom surface of the n-type layer and a width extending from a first sidewall of the n-type layer to a second sidewall of the n-type layer. and a mesa, wherein the top surface of the p-contact layer has a different planar orientation than the first and second sidewalls of the n-type layer;
a cathode in contact with the first sidewall of the n-type layer;
a first region of dielectric material insulating the p-contact layer, the active layer, and a first sidewall of the p-type layer from the cathode;
an anode in contact with the upper surface of the p-contact layer;
a second region of dielectric material insulating the active layer, the second sidewall of the p-type layer, and the second sidewall of the n-type layer from the anode;
A method having.
前記メサの幅は、100ミクロン未満である、請求項15に記載の方法。 16. The method of claim 15, wherein the mesa width is less than 100 microns. 前記メサの高さは、前記メサの幅以下である、請求項15に記載の方法。 16. The method of claim 15, wherein the mesa height is less than or equal to the mesa width. 前記p型層と接触する前記pコンタクト層は、実質的に前記p型層の幅に広がる、請求項15に記載の方法。 16. The method of claim 15, wherein the p-contact layer in contact with the p-type layer extends substantially the width of the p-type layer. 前記p型層は、実質的に前記活性層の幅に広がる、請求項15に記載の方法。
16. The method of claim 15, wherein the p-type layer extends substantially the width of the active layer.
JP2023532689A 2020-12-01 2021-09-23 How to make flip chip micro light emitting diode Pending JP2023551309A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063119745P 2020-12-01 2020-12-01
US63/119,745 2020-12-01
US17/213,390 2021-03-26
US17/213,390 US11705534B2 (en) 2020-12-01 2021-03-26 Methods of making flip chip micro light emitting diodes
PCT/US2021/051652 WO2022119619A1 (en) 2020-12-01 2021-09-23 Methods of making flip chip micro light emitting diodes

Publications (1)

Publication Number Publication Date
JP2023551309A true JP2023551309A (en) 2023-12-07

Family

ID=81751800

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023532689A Pending JP2023551309A (en) 2020-12-01 2021-09-23 How to make flip chip micro light emitting diode

Country Status (6)

Country Link
US (2) US11705534B2 (en)
EP (1) EP4256621A1 (en)
JP (1) JP2023551309A (en)
KR (1) KR20230112707A (en)
CN (1) CN117043968A (en)
WO (1) WO2022119619A1 (en)

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410942B1 (en) 1999-12-03 2002-06-25 Cree Lighting Company Enhanced light extraction through the use of micro-LED arrays
CN1292493C (en) 1999-12-03 2006-12-27 美商克立股份有限公司 Enhanced light extration in LEDs through the use of internal and external optical elements
TW536841B (en) 2001-03-21 2003-06-11 Mitsubishi Cable Ind Ltd Semiconductor light emitting element
US6547249B2 (en) 2001-03-29 2003-04-15 Lumileds Lighting U.S., Llc Monolithic series/parallel led arrays formed on highly resistive substrates
US7213942B2 (en) * 2002-10-24 2007-05-08 Ac Led Lighting, L.L.C. Light emitting diodes for high AC voltage operation and general lighting
US20050194584A1 (en) 2003-11-12 2005-09-08 Slater David B.Jr. LED fabrication via ion implant isolation
JP4330476B2 (en) 2004-03-29 2009-09-16 スタンレー電気株式会社 Semiconductor light emitting device
US7329940B2 (en) 2005-11-02 2008-02-12 International Business Machines Corporation Semiconductor structure and method of manufacture
TWI288491B (en) 2006-03-02 2007-10-11 Nat Univ Chung Hsing High extraction efficiency of solid-state light emitting device
JP4637781B2 (en) 2006-03-31 2011-02-23 昭和電工株式会社 GaN-based semiconductor light emitting device manufacturing method
FR2902237B1 (en) 2006-06-09 2008-10-10 Commissariat Energie Atomique METHOD FOR PRODUCING A MICROELECTRONIC SEMICONDUCTOR NANOWAR LIGHT EMITTING DEVICE FORMED ON A METALLIC SUBSTRATE
US8080828B2 (en) 2006-06-09 2011-12-20 Philips Lumileds Lighting Company, Llc Low profile side emitting LED with window layer and phosphor layer
EP2111640B1 (en) 2007-01-22 2019-05-08 Cree, Inc. Fault tolerant light emitter and method of fabricating the same
US9024349B2 (en) 2007-01-22 2015-05-05 Cree, Inc. Wafer level phosphor coating method and devices fabricated utilizing method
DE102007015492B4 (en) 2007-01-30 2011-03-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Illumination device for an image capture device at the distal end of an endoscope
FR2922685B1 (en) 2007-10-22 2011-02-25 Commissariat Energie Atomique AN OPTOELECTRONIC DEVICE BASED ON NANOWIRES AND CORRESPONDING METHODS
FR2933538B1 (en) 2008-07-07 2012-09-21 Commissariat Energie Atomique DISPLAY, LIGHTING OR SIGNALING ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING THE SAME
FR2936651B1 (en) 2008-09-30 2011-04-08 Commissariat Energie Atomique ORGANIC OPTOELECTRONIC DEVICE AND METHOD OF ENCAPSULATION
JP5123269B2 (en) 2008-09-30 2013-01-23 ソウル オプト デバイス カンパニー リミテッド Light emitting device and manufacturing method thereof
FR2941325B1 (en) 2009-01-22 2011-04-22 Commissariat Energie Atomique METHOD FOR PERFORMING PN HOMOJUNCTION IN NANOSTRUCTURE
FR2951582A1 (en) 2009-10-16 2011-04-22 Commissariat Energie Atomique SILICON INFRARED SOURCE BASED ON SEMICONDUCTOR NANO CRYSTALS AND RELATED DEVICES
FR2951875B1 (en) 2009-10-23 2012-05-18 Commissariat Energie Atomique PROCESS FOR MANUFACTURING A VERY HIGH-RESOLUTION SCREEN USING ANISOTROPIC AND EMISSIVE CONDUCTIVE LAYER
FR2954590B1 (en) 2009-12-23 2012-07-13 Commissariat Energie Atomique METHOD FOR MANUFACTURING METAL AND DIELECTRIC NANOSTRUCTURE ELECTRODE FOR COLOR FILTERING IN OLED AND PROCESS FOR PRODUCING OLED
FR2957941B1 (en) 2010-03-26 2012-06-08 Commissariat Energie Atomique PROCESS FOR GRATING A CONDUCTIVE METAL OXIDE LAYER USING A MICROELECTRODE
FR2952366A1 (en) 2010-04-07 2011-05-13 Commissariat Energie Atomique Developing carbon nanotubes for e.g. LCD and organic LEDs, comprises depositing a network of carbon nanotubes on a substrate, and irradiating the carbon nanotubes network by laser impulsion having specified power
FR2958795B1 (en) 2010-04-12 2012-06-15 Commissariat Energie Atomique ORGANIC OPTOELECTRONIC DEVICE AND METHOD OF ENCAPSULATION
FR2960339B1 (en) 2010-05-18 2012-05-18 Commissariat Energie Atomique METHOD FOR PRODUCING CHIP ELEMENTS WITH WIRE INSERTION GROOVES
JP5356312B2 (en) * 2010-05-24 2013-12-04 株式会社東芝 Semiconductor light emitting device
CN102386200B (en) 2010-08-27 2014-12-31 财团法人工业技术研究院 Light emitting unit array and projection system
FR2964796B1 (en) 2010-09-14 2014-03-21 Commissariat Energie Atomique AN OPTOELECTRONIC DEVICE BASED ON NANOWLAS FOR LIGHT EMISSION
WO2012035243A1 (en) 2010-09-14 2012-03-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Nanowire-based optoelectronic device for light emission
FR2975532B1 (en) 2011-05-18 2013-05-10 Commissariat Energie Atomique ELECTRICAL CONNECTION IN SERIES OF LIGHT EMITTING NANOWIRES
JP4778107B1 (en) 2010-10-19 2011-09-21 有限会社ナプラ Light emitting device and manufacturing method thereof
DE102010051286A1 (en) 2010-11-12 2012-05-16 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for its production
FR2969995A1 (en) 2011-01-03 2012-07-06 Commissariat Energie Atomique Producing nitride nanostructures e.g. nanowires on side of substrate, by forming base of nanostructures made of wurtzite nitride phase on substrate, and forming upper part of nanostructures made of nitrides having zinc-blende phase on base
FR2972815B1 (en) 2011-03-15 2013-03-22 Commissariat Energie Atomique BISPECTRAL OPTICAL HEAD FOR SINGLE USE FOR VIDEOENDOSCOPE AND VIDEOENDOSCOPE
KR101244926B1 (en) 2011-04-28 2013-03-18 피에스아이 주식회사 Micro LED device and manufacturing method thereof
FR2974941B1 (en) 2011-05-06 2013-06-14 Commissariat Energie Atomique PROCESS FOR MAKING NANOCRYSTALS
FR2974940B1 (en) 2011-05-06 2015-11-13 Commissariat Energie Atomique PROCESS FOR PRODUCING SEMICONDUCTOR NANOCRYSTALS ORIENTED ACCORDING TO A PRE-DEFINED DIRECTION
FR2977720A1 (en) 2011-07-08 2013-01-11 Commissariat Energie Atomique ORGANIC OPTOELECTRONIC DEVICE AND METHOD OF ENCAPSULATION
WO2013016646A2 (en) 2011-07-27 2013-01-31 Grote Industries, Llc System for lighting apparatus utilizing light active sheet material with integrated light emitting diode, window with lighting apparatus, conveyance with lighting apparatus, and method of providing lighting apparatus
CN103367383B (en) 2012-03-30 2016-04-13 清华大学 Light-emitting diode
FR2991342B1 (en) 2012-06-05 2014-07-04 Commissariat Energie Atomique METHOD FOR IMPROVING THE ELECTRICAL AND OPTICAL PERFORMANCE OF ELECTRICAL AND TRANSPARENT CONDUCTIVE MATERIAL BASED ON SILVER NANOWIRES
FR2991999B1 (en) 2012-06-15 2015-02-20 Commissariat Energie Atomique PROCESS FOR THE PRODUCTION OF CUSCN NANOWILS BY ELECTROCHEMICAL MEANS
DE102012215705B4 (en) 2012-09-05 2021-09-23 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung HOUSING FOR AN OPTICAL COMPONENT, ASSEMBLY, METHOD FOR MANUFACTURING A HOUSING AND METHOD FOR MANUFACTURING AN ASSEMBLY
DE102012217957B4 (en) 2012-10-01 2014-10-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing a micro-LED matrix
DE102012109460B4 (en) 2012-10-04 2024-03-07 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Method for producing a light-emitting diode display and light-emitting diode display
TWI520378B (en) 2012-10-22 2016-02-01 錸鑽科技股份有限公司 Flip-chip light emitting diode and application thereof
DE102013101262A1 (en) 2013-02-08 2014-08-14 Osram Opto Semiconductors Gmbh Optoelectronic light module, optoelectronic light device and vehicle headlights
US9923118B2 (en) 2013-02-25 2018-03-20 Sensor Electronic Technology, Inc. Semiconductor structure with inhomogeneous regions
KR20140118466A (en) 2013-03-29 2014-10-08 서울반도체 주식회사 Light emitting device and lighting device including the same
MX349884B (en) 2013-04-17 2017-08-17 Nichia Corp Light emitting device.
DE202014011392U1 (en) 2013-05-13 2020-02-21 Seoul Semiconductor Co., Ltd. LED housing; Vehicle lamp as well as backlight with this
FR2998090A1 (en) 2013-06-26 2014-05-16 Commissariat Energie Atomique Method for structuring material surface of substrate for producing e.g. nanometric patterns on surface for LEDs, involves forming patterns due to difference between etch selectivity of material and changed etch selectivity of regions
WO2014209042A1 (en) 2013-06-26 2014-12-31 Seoul Semiconductor Co., Ltd. Baffled micro-optical elements for thin liquid crystal display backlight units
FR3011383B1 (en) 2013-09-30 2017-05-26 Commissariat Energie Atomique METHOD FOR MANUFACTURING OPTOELECTRONIC DEVICES WITH ELECTROLUMINESCENT DIODES
FR3012345B1 (en) 2013-10-29 2017-07-28 Commissariat Energie Atomique DEVICE FOR ENCAPSULATING A SENSITIVE DEVICE AND METHOD OF MAKING SAID DEVICE
FR3013719B1 (en) 2013-11-26 2018-01-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives INK FOR FORMING P-LAYERS IN ORGANIC ELECTRONIC DEVICES
EP3158583B1 (en) 2014-06-18 2022-01-26 X Display Company Technology Limited Micro assembled led displays
FR3023065B1 (en) 2014-06-27 2017-12-15 Commissariat Energie Atomique P-N JUNCTION OPTOELECTRONIC DEVICE FOR IONIZATION OF FIELD EFFECT DOPANTS
DE102014112551A1 (en) 2014-09-01 2016-03-03 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
JP6446951B2 (en) 2014-09-26 2019-01-09 日亜化学工業株式会社 Device mounting method and light emitting device manufacturing method
US9722160B2 (en) 2014-10-31 2017-08-01 Nichia Corporation Light emitting device and adaptive driving beam headlamp system
EP3239592B1 (en) 2014-11-18 2021-03-31 Seoul Semiconductor Co., Ltd. Light emitting device and vehicular lamp comprising same
GB201420452D0 (en) 2014-11-18 2014-12-31 Mled Ltd Integrated colour led micro-display
TWI552394B (en) 2014-11-18 2016-10-01 隆達電子股份有限公司 Light emitting diode structure and light emitting diode module
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
DE102015101888A1 (en) 2015-02-10 2016-08-11 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor component and method for producing an optoelectronic semiconductor component
EP3076069B1 (en) 2015-03-31 2020-03-11 Seoul Semiconductor Co., Ltd. Light device of vehicle
CN107438899B (en) 2015-03-31 2021-04-30 科锐Led公司 Light emitting diode with encapsulation and method
KR102454413B1 (en) 2015-05-26 2022-10-18 서울반도체 주식회사 Light emitting device and vehicle lamp comprising the same
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
CN107852794B (en) 2015-07-23 2020-03-10 首尔半导体株式会社 Display device and method for manufacturing the same
KR102328594B1 (en) 2015-08-10 2021-11-26 엘지전자 주식회사 Display appartus including micro LED
FR3041274B1 (en) 2015-09-17 2017-09-29 Commissariat Energie Atomique METHOD FOR ORIENTATION OF ELONGATE OBJECTS DISPOSED IN SURFACE OF A SUBSTRATE
DE102015115810A1 (en) 2015-09-18 2017-03-23 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor device and 3D printer
US10991861B2 (en) 2015-10-01 2021-04-27 Cree, Inc. Low optical loss flip chip solid state lighting device
DE102015219789A1 (en) 2015-10-13 2017-04-13 Osram Gmbh Luminous density regulation at edge areas
KR101627365B1 (en) 2015-11-17 2016-06-08 피에스아이 주식회사 The nano-scale LED electrode assembly for emitting polarized light, method for manufacturing thereof and the polarized LED lamp comprising the same
FR3044467B1 (en) 2015-11-26 2018-08-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives LIGHT DALLE AND METHOD FOR MANUFACTURING SUCH LIGHT SLAB
DE102016106841B3 (en) 2015-12-18 2017-03-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Converter for generating a secondary light from a primary light, bulbs containing such converters, and methods for producing the converter and lighting means
FR3046155B1 (en) 2015-12-28 2020-01-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives PROCESS FOR PRODUCING NANOCRYSTALS WITH CONTROLLED DIMENSIONS AND DENSITY
WO2017116136A1 (en) 2015-12-31 2017-07-06 서울반도체주식회사 Display device
US10930626B2 (en) 2016-02-22 2021-02-23 Samsung Display Co., Ltd. Display apparatus including a micro light-emitting diode
WO2017146477A1 (en) 2016-02-26 2017-08-31 서울반도체주식회사 Display apparatus and method for producing same
WO2017146476A1 (en) 2016-02-26 2017-08-31 서울반도체주식회사 Display apparatus and method for producing same
US10068884B2 (en) 2016-04-01 2018-09-04 Seoul Semiconductor Co., Ltd. Display apparatus and manufacturing method thereof
KR102483955B1 (en) 2016-04-11 2023-01-03 삼성디스플레이 주식회사 display device
US10529696B2 (en) 2016-04-12 2020-01-07 Cree, Inc. High density pixelated LED and devices and methods thereof
WO2017184686A1 (en) 2016-04-19 2017-10-26 The Penn State Research Foundation Gap-free microdisplay based on iii-nitride led arrays
KR101987196B1 (en) 2016-06-14 2019-06-11 삼성디스플레이 주식회사 Pixel structure, display apparatus including the pixel structure and method of manufacturing the same
JP6729025B2 (en) 2016-06-14 2020-07-22 日亜化学工業株式会社 Light emitting device
FR3052915A1 (en) 2016-06-17 2017-12-22 Commissariat Energie Atomique METHOD FOR MANUFACTURING GALLIUM NITRIDE ELECTROLUMINESCENT DIODE
KR102608419B1 (en) 2016-07-12 2023-12-01 삼성디스플레이 주식회사 Display Apparatus and Method for manufacturing the same
FR3054037B1 (en) 2016-07-13 2018-08-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives DEVICE FOR OBSERVING A SAMPLE
KR102553434B1 (en) 2016-07-22 2023-07-12 제트카베 그룹 게엠베하 Lamp for vehicle
KR102552298B1 (en) 2016-08-31 2023-07-10 삼성디스플레이 주식회사 Display device and driving method thereof
US10606121B2 (en) 2016-09-12 2020-03-31 Seoul Semiconductor Co., Ltd. Display apparatus
KR20180055021A (en) 2016-11-15 2018-05-25 삼성디스플레이 주식회사 Light emitting device and fabricating method thereof
DE102016122237A1 (en) 2016-11-18 2018-05-24 Osram Opto Semiconductors Gmbh Multipixel LED device and method of operating a multi-pixel LED device
US10804251B2 (en) 2016-11-22 2020-10-13 Cree, Inc. Light emitting diode (LED) devices, components and methods
DE102016223972A1 (en) 2016-12-01 2018-06-07 Osram Gmbh PRIMARY, SECONDARY, MODULE, ARRANGEMENT, VEHICLE HEADLIGHTS AND HEADLAMP SYSTEM
KR20180065162A (en) 2016-12-07 2018-06-18 서울바이오시스 주식회사 Display apparatus and connecting method of light emitting part thereof
EP3555909A4 (en) 2016-12-16 2020-09-16 Tesoro Scientific, Inc. Light emitting diode (led) test apparatus and method of manufacture
KR102605174B1 (en) 2016-12-19 2023-11-22 엘지디스플레이 주식회사 Light emitting diode display apparatus
TWI607558B (en) * 2017-01-10 2017-12-01 錼創科技股份有限公司 Micro light-emitting diode chip
TW201841278A (en) 2017-01-23 2018-11-16 美商特索羅科學有限公司 Light emitting diode (led) test apparatus and method of manufacture
KR102618811B1 (en) 2017-01-23 2023-12-28 삼성디스플레이 주식회사 Color conversion panel and display device including the same
CN109564963B (en) 2017-01-26 2021-04-16 株式会社Lg化学 Micro LED and display device including the same
KR20180090006A (en) 2017-02-02 2018-08-10 서울반도체 주식회사 Light emitting diode unit
DE102017103320A1 (en) 2017-02-17 2018-08-23 Osram Gmbh VEHICLE HEADLIGHTS
WO2018159977A1 (en) 2017-02-28 2018-09-07 서울반도체주식회사 Display device, backlight unit, light-emitting module and lens
KR102514503B1 (en) 2017-03-13 2023-03-27 서울반도체 주식회사 Display device manufacturing method
TWI699496B (en) 2017-03-31 2020-07-21 億光電子工業股份有限公司 Light-emitting device and lighting module
KR102146549B1 (en) 2017-04-10 2020-08-20 주식회사 엘지화학 Micro light emitting diode structure
KR101970249B1 (en) 2017-05-29 2019-04-18 엘지전자 주식회사 Lamp for vehicle and vehicle
KR101989101B1 (en) 2017-05-29 2019-06-13 엘지전자 주식회사 Lamp for vehicle and vehicle
KR101989100B1 (en) 2017-06-09 2019-09-24 엘지전자 주식회사 Lamp for vehicle and vehicle
FR3073669B1 (en) * 2017-11-10 2021-11-05 Commissariat Energie Atomique METHOD OF MANUFACTURING AN OPTOELECTRONIC DEVICE INCLUDING A PLURALITY OF DIODES
KR101888857B1 (en) 2017-11-23 2018-09-20 엘지디스플레이 주식회사 Light emitting device and display device using the same
US20190198564A1 (en) 2017-12-20 2019-06-27 Lumileds Llc Monolithic segmented led array architecture with islanded epitaxial growth
US10923628B2 (en) 2018-09-27 2021-02-16 Lumileds Llc Micrometer scale light emitting diode displays on patterned templates and substrates
US10964845B2 (en) 2018-09-27 2021-03-30 Lumileds Llc Micro light emitting devices
US10811460B2 (en) 2018-09-27 2020-10-20 Lumileds Holding B.V. Micrometer scale light emitting diode displays on patterned templates and substrates
US20220384395A1 (en) * 2021-05-27 2022-12-01 Meta Platforms Technologies, Llc High reflectivity wide bonding pad electrodes

Also Published As

Publication number Publication date
KR20230112707A (en) 2023-07-27
EP4256621A1 (en) 2023-10-11
US20220173267A1 (en) 2022-06-02
CN117043968A (en) 2023-11-10
US20230299227A1 (en) 2023-09-21
US11705534B2 (en) 2023-07-18
WO2022119619A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
JP2023551308A (en) flip chip micro light emitting diode
US11735695B2 (en) Light emitting diode devices with current spreading layer
US20210288222A1 (en) Light Emitting Diode Devices With Common Electrode
US11942507B2 (en) Light emitting diode devices
US11784286B2 (en) Light emitting diode devices with defined hard mask opening
US11848402B2 (en) Light emitting diode devices with multilayer composite film including current spreading layer
JP2023551309A (en) How to make flip chip micro light emitting diode
US20230075707A1 (en) Metal stacks for light emitting diodes for bonding and/or ohmic contact-reflective material
US20230074920A1 (en) Light emitting diode devices with bonding and/or ohmic contact-reflective material
US20230072512A1 (en) Light emitting diode devices with bonding and/or ohmic contact-reflective material
KR20210064856A (en) LED device and method for manufacturing the LED device, and display apparatus including the LED device
US20230420599A1 (en) High flux led with low operating voltage utilizing two p-n junctions connected in parallel and having one tunnel junction
US20230420607A1 (en) High flux led with low operating voltage
US11935987B2 (en) Light emitting diode arrays with a light-emitting pixel area
US20230155070A1 (en) Thin-film led array with low refractive index patterned structures and reflector
WO2023129807A1 (en) Hybrid bonding with micro-light emitting diode (led) devices
WO2023086346A1 (en) Thin-film led array with low refractive index patterned structures

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240415