JP2023521840A - 誘電体材料の充填及び処理の方法 - Google Patents

誘電体材料の充填及び処理の方法 Download PDF

Info

Publication number
JP2023521840A
JP2023521840A JP2022562341A JP2022562341A JP2023521840A JP 2023521840 A JP2023521840 A JP 2023521840A JP 2022562341 A JP2022562341 A JP 2022562341A JP 2022562341 A JP2022562341 A JP 2022562341A JP 2023521840 A JP2023521840 A JP 2023521840A
Authority
JP
Japan
Prior art keywords
layer
low
dielectric material
oxygen
flowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022562341A
Other languages
English (en)
Inventor
シー イウ,
ホー レン,
尚美 吉田
ニコラオス ベキアリス,
メユール ナイク,
マーティン ジェイ シーモンズ,
チンメイ リャン,
メイイー シェック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023521840A publication Critical patent/JP2023521840A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

本明細書の実施形態は、流動性化学気相堆積(FCVD)処理を使用して堆積された低誘電率誘電体層の酸素ベースの処理を提供する。FCVD堆積された低誘電率誘電体層の酸素ベースの処理は、望ましくは、ボイドを除去しつつ、デバイスのキャパシタンス及び信頼性に対するEbdを増加させる。実施形態は、基板上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積することと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積することと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料をアニールして密度を高めるのに十分な条件下で、酸素と接触させることとを含む、半導体デバイスを製造するための方法及び装置を含む。【選択図】図4

Description

[0001] 本開示の実施形態は概して、電子デバイス製造及び誘電体層の特性を修正する分野に関する。
[0002] 誘電体材料は、ますます小型化する電子デバイスを製造するために、半導体産業で広く使用されている。一般的に、誘電体材料は、間隙充填膜、シャロートレンチアイソレーション(STI)、ビア充填、マスク、ゲート誘電体、又は他の電子デバイスのフィーチャとして使用される。
[0003] 誘電体材料は、典型的には、二酸化ケイ素(SiO)などのケイ素含有材料を含み、流動性化学気相堆積(FCVD)処理を使用して、前駆体から流動性材料に形成されうる。流動性ケイ素含有材料処理、例えば、(FCVD)処理を使用して堆積されたケイ素含有材料層は、一般的に、従来の方法を使用して堆積されたケイ素含有材料層と比較した場合、高アスペクト比フィーチャの改善されたギャップ充填性能を提供する。しかしながら、本発明者らは、FCVD処理によって典型的に提供される低誘電率誘電体材料層は、低誘電率誘電体層を形成する際に、低い又は不十分な低誘電率誘電体膜密度を引き起こすボイドを含み、問題になりうることを見出した。
[0004] 流動性低誘電率材料は、その堆積後にさらに処理することができるが、本発明者らは、酸素処理及びアニーリングが、酸化のために基板上にサブトラクティブエッチング法によって形成された金属線などの下にある金属フィーチャを損傷するリスクを生じさせるか、或いは、サブトラクティブ法によって形成された金属線間の空間など、高さ対幅の高いアスペクト比の開口部に配置された低誘電率含有材料を処理するのに不適切であることを見出した。例えば、高温アニールは、膜収縮及び応力を誘起し、その結果、亀裂、膜の剥離、又はその両方が生じ、深いトレンチ及びビア充填用途における誘電体膜形成を妨げる可能性がある。さらに、金属線の酸化は、デバイスの信頼性を低下させ、金属酸化物半導体(MOS)ゲート酸化物中の電界を低下させることがあり、MOSゲート酸化物は壊れるか、絶縁特性(例えば、電気的破壊強度、すなわちEbd)を不可逆的に失う。
[0005] したがって、必要とされるのは、1つ又は複数の金属フィーチャの酸化を排除又は低減しつつ、低誘電率誘電体層の所望の密度及び/又は他の所望の材料特性を達成するために、サブトラクティブインターコネクト用の低誘電率誘電体層を形成する改良された方法である。
[0006] 半導体基板を製造するための方法及び装置が、本明細書において提供される。いくつかの実施形態では、半導体デバイスを製造する方法は、基板の上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積させることと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、酸素と接触させることと、を含む。
[0007] いくつかの実施形態では、本開示は、基板の1つ又は複数の安定化処理されたフィーチャの上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層全体にわたって酸素を注入して、低誘電率誘電体材料の流動性層をアニールして密度を高めることとを含み、1つ又は複数の安定化処理されたフィーチャは、1つ又は複数の安定化処理されたフィーチャの金属部分に酸素が接触するのを防止するのに十分な厚さを有する保護層を含む、半導体デバイスを製造する方法に関する。
[0008] いくつかの実施形態では、本開示は、実行されると、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成するために基板の上に配置された金属層をエッチングすることと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積させることと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料をアニールして密度を高めるのに十分な条件下で、低誘電率誘電体材料の流動性層を酸素と接触させることとを含む、半導体デバイスを製造するための方法をもたらす命令を有する、非一時的コンピュータ可読媒体に関する。
[0009] 実施形態では、本開示は、基板の上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成するように構成された装置に関し、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積させることと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、酸素に接触させることと、に関する。
[0010] 本開示の他の実施形態及びさらなる実施形態について、以下で説明する。
[0011] 上記で簡潔に要約され、以下でより詳細に説明される本開示の実施形態は、添付の図面に示した本開示の例示的な実施形態を参照することにより、理解することができる。しかしながら、本開示は他の等しく有効な実施形態を許容しうることから、添付の図面は、本開示の典型的な実施形態のみを例示しており、従って、範囲を限定していると見なされるべきではない。
本開示の方法で使用される処理チャンバの概略断面図である。 本開示による処理用半導体基板の側面図である。 本開示による処理用半導体基板の側面図である。 本開示の実施形態による、半導体基板のフィーチャ上に堆積したパッシベーション層の側面図である。 本開示の実施形態による、半導体基板のフィーチャ上に堆積した流動性層の側面図である。 本開示の実施形態による、流動性層に接触する酸素を示す。 本開示の実施形態による、流動性層への酸素の注入又は取り込みを示す。 本開示のいくつかの実施形態による、半導体デバイスを製造するフロー図である。 本開示のいくつかの実施形態による、半導体デバイスを製造する一実施形態のフロー図である。 本開示の一実施形態による、トライゲートトランジスタ構造の斜視図である。 本開示のいくつかの実施形態による、基板を処理するための方法を実施するのに適したクラスタツールを示す。
[0023] 理解を容易にするために、図面に共通する同一の要素は、可能であれば同一の参照番号を使用して示してある。図は縮尺どおりには描かれておらず、明確性のために簡略化されていることがある。一実施形態の要素及び特徴は、さらなる記載がなくても、他の実施形態に有益に組み込まれうる。
[0024] その上に配置され硬化された流動性低誘電率誘電体材料を含む1つ又は複数の安定化処理された金属表面を有する半導体デバイスを形成するための方法が、本明細書において提供される。実施形態では、本開示は、基板上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積することと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積することと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、酸素と接触させることとを含む、半導体デバイスを製造する方法を提供する。本明細書に記載の本発明の方法は、有利には、金属下層を保護して金属下層の酸化を回避し、高い接触抵抗及び不十分なギャップ充填の両方を回避することによって、改善された金属接点、ビア、及びゲートの形成を容易にするために使用されうる。金属フィーチャ又はその表面を安定化処理することによって、酸素又は酸素含有分子が、その上に配置された流動性低誘電率誘電体層を高密度化及び/又はアニールし、その結果、接触抵抗が低下し、デバイスの信頼性を向上させつつ、ボイド又はより大きな継ぎ目のリスクが低減されるため、金属下層の純度を維持することができる。
[0025] 図1は、本開示の方法での使用に適した処理チャンバの概略断面図である。いくつかの実施形態では、処理チャンバ100は、チャンバリッドアセンブリ101と、1つ又は複数の側壁102と、処理空間120を集合的に画定するチャンバベース104とを含む。チャンバリッドアセンブリ101は、チャンバリッド103と、シャワーヘッド112と、チャンバリッド103とシャワーヘッド112との間に配置された電気絶縁リングとを含み、これらはプレナム122を画定する。チャンバリッド103を貫通して配置されたガス注入口114は、ガス源106に流体連結されている。いくつかの実施形態では、ガス注入口114は、遠隔プラズマ源107にさらに流体連結される。シャワーヘッド112は、そこを通って配置された複数の開口部118を有し、プレナム122からの処理ガス又は酸素を複数の開口部118を通って処理空間120内に均一に分配するために使用される。
[0026] いくつかの実施形態では、RF又はVHF電源などの電源142は、スイッチが(図示のように)第1の位置に配置されると、スイッチ144を介してチャンバリッドに電気的に結合される。スイッチが第2の位置(図示せず)に配置されると、電源142はシャワーヘッド112に電気的に結合される。いくつかの実施形態では、スイッチ144は任意選択により、第1の位置にあり、電源142は、プレナム122内に配置された遠隔プラズマ128などの、基板115から離れた第1のプラズマを点火し、維持するために使用される。いくつかの実施形態では、遠隔プラズマ128は、プレナムに流入し、これと電源142からの電力の容量結合によってプラズマとして維持される処理ガスから構成される。いくつかの実施形態では、スイッチ144は第2の位置にあり、電源142は、シャワーヘッド112と基板支持体127上に配置された基板115との間の処理空間120内で第2のプラズマ(図示せず)を点火して維持するために使用される。
[0027] いくつかの実施形態では、処理空間120は、真空排出口113を介して、1つ又は複数の専用真空ポンプなどの真空源に流体連結され、真空排出口は処理空間120を準大気圧条件に維持し、そこから処理ガス及び他のガスを排出する。処理空間120内に配置された基板支持体127は、チャンバベース104の下の領域でベローズ(図示せず)によって囲まれるような、チャンバベース104を貫通して密封的に延在する支持シャフト124上に配置される。支持シャフト124は、モータを制御して、支持シャフト124及び処理中に基板115を支持するようにその上に配置された基板支持体127を昇降させ、処理チャンバ100との間で基板115を移送させるコントローラ140に連結されている。
[0028] 実施形態では、基板115は、基板115の処理中にドア又はバルブ(図示せず)によって従来密閉されている、1つ又は複数の側壁102のうちの1つの開口部126を通って処理空間120内に装填される。実施形態では、基板115は、基板支持体を通って移動可能に配置された複数のリフトピン(図示せず)を備える従来のリフトピンシステム(図示せず)を使用して、基板支持体127の表面との間で移送される。典型的には、複数のリフトピンは、リフトピンフープ(図示せず)によって下から接触され、基板115をそこから持ち上げ、ロボットハンドラによるアクセスを可能にする基板支持体127の表面上に延びるように移動される。リフトピンフープ(図示せず)が下降位置にあるとき、複数のリフトピンの頂部は、基板支持体127の表面と同一平面又はその下に位置し、基板はその上に載置される。基板支持体は、開口部126の下において、基板115を配置又は除去するための下方位置と、基板115を処理するための上方位置との間で移動可能である。いくつかの実施形態では、基板支持体127及びその上に配置された基板115は、基板支持体内に配置された抵抗加熱素子129及び/又は1つ又は複数の冷却チャネル137を使用して、所望の処理温度に維持される。典型的には、冷却チャネル137は、比較的高い電気抵抗を有する改質水源又は冷媒源のような冷却剤源133に流体連結される。いくつかの実施形態では、基板は、処理チャンバ100内に配置され、1つ又は複数の金属線を覆うのに十分な厚さのパッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させるように構成され、以下でさらに説明するように、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、低誘電率誘電体材料の流動性層を酸素と接触させる。
[0029] いくつかの実施形態では、処理チャンバ100は、処理空間120にガス状処理ラジカルを提供する遠隔プラズマ源107にさらに連結される。典型的には、遠隔プラズマ源(RPS)は、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、又はマイクロ波プラズマ源を含む。いくつかの実施形態では、遠隔プラズマ源は、独立型RPSユニットである。他の実施形態では、遠隔プラズマ源は、処理チャンバ100と流体連通する第2の処理チャンバである。他の実施形態では、遠隔プラズマ源は、チャンバリッド103とシャワーヘッド112との間のプレナム122において点火され維持される遠隔プラズマ128である。
[0030] 図2Aは、本開示による電子デバイス構造200の側面図である。実施形態では、電子デバイス構造200は、基板201を含む。いくつかの実施形態では、基板201は、半導体材料、例えば、シリコン(Si)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、III-V族材料ベースの材料、又はこれらの任意の組合せを含む。いくつかの実施形態では、基板は、集積デバイスに適している。いくつかの実施形態では、基板201は、シリコン(Si)、一酸化ケイ素(SiO)又は二酸化ケイ素(SiO)などの酸化ケイ素、窒化ケイ素(SiNなど)などのうちの1つ又は複数から形成されうるか、又はそれらを含みうる。非限定的な実施形態では、基板201は、誘電体材料、その層から形成されるか、SiN、SiOなどの上記と同じ材料から作られうる。いくつかの実施形態では、低誘電率誘電体材料は、基板201又はその層(例えば、酸化ケイ素を下回る、又は約3.9未満の誘電率を有する材料)などとして好適でありうる。加えて、基板201は、追加の材料層を含むことができ、又は基板201(図示せず)の中、上、又は下に形成された1つ又は複数の完成した、或いは部分的に完成した構造又はデバイスを有してもよい。いくつかの実施形態では、基板201は、任意の数のフィーチャ(複数のトレンチ、ビア、自己整合ビア、自己整合接触フィーチャ、デュエルダマシン構造など)を含んでもよく、又は、デュアルダマシン製造処理、自己整合接触フィーチャ処理など、多数の処理用途での使用に好適であってもよい。
[0031] 実施形態では、基板201は、任意のサイズ又は形状に限定されなくてもよい。基板201は、とりわけ、200mmの直径、300mmの直径、又は450mmなどの他の直径を有する丸いウエハであってもよい。基板201はまた、フラットパネルディスプレイの製造に使用される多角形ガラス基板など、任意の多角形、正方形、長方形、湾曲した形状、又は他の非円形のワークピースでありうる。
[0032] いくつかの実施形態では、図3で後述する方法300は、図2A~図2Fに示すように、基板を処理する段階に関して以下で説明される。本明細書に記載の方法は、独立した構成で、又は1つ又は複数のクラスタツール、例えば、図6に示されるような一体型ツール600(すなわち、クラスタツール)の一部として、又はカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なものなどで、提供されうる処理チャンバ100又はエッチングチャンバなどの個々の処理チャンバで実行されうる。他の製造業者から入手可能なものを含む他の処理チャンバもまた、本開示から利益を得るように適合されうる。
[0033] 図2Aを参照すると、実施形態では、基板201は、その上に配置されたブランケット金属層などの金属層209を含む。実施形態では、金属層209は、集積回路のための金属化相互接続層を形成するために、パターン化又はエッチングされるのに十分な厚さまで堆積される。一実施形態では、金属層209は、銅(Cu)、アルミニウム(Al)、インジウム(In)、スズ(Sn)、鉛(Pb)、銀(Ag)、アンチモン(Sb)、ビスマス(Bi)、亜鉛(Zn)、カドミウム(Cd)、金(Au)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、クロム(Cr)、鉄(Fe)、マンガン(Mn)、チタン(Ti)、ハフニウム(Hf)、タンタル(Ta)、タングステン(W)、バナジウム(V)、モリブデン(Mo)、パラジウム(Pd)、金(Au)、プラチナ(Pt)、又はこれらの組み合わせのうちの1つ又は複数を含む。いくつかの実施形態では、金属層209は、ルテニウム(Ru)、モリブデン(Mo)、銅(Cu)、タングステン(W)、アルミニウム(Al)、又はこれらの組み合わせのうちの1つ又は複数を含む。いくつかの実施形態では、金属層209は、化学気相堆積(CVD)、例えば、プラズマ化学気相堆積(PECVD)、物理的気相堆積(PVD)、分子線エピタキシ(MBE)、有機金属化学気相堆積(MOCVD)、原子層堆積(ALD)、又は電子デバイス製造の当業者に周知の他の堆積技術などを含む、1つ又は複数の堆積技術を使用して、基板201上に堆積された1つ又は複数の金属層を含む。
[0034] ここで図2B及び図3を参照すると、いくつかの実施形態では、金属層209は、フィーチャ203、204及び205などの複数のフィーチャを形成するためにエッチングされる。例えば、半導体デバイスを製造する方法300を参照すると、方法300は、処理シーケンス302において、基板201上に配置された金属層209をエッチングして、上面134、第1の側面135、及び第2の側面136を有する1つ又は複数の金属線132を形成することを含む。図2Bに示したように、トレンチ131及び131’などの複数のトレンチが、1つ又は複数の金属線132などのフィーチャ間の基板201上に形成される。実施形態では、トレンチは、底部232と、対向する側壁233及び234とを有する。底部232は、フィーチャ204と205との間の、基板201が露出した部分である。側壁233はフィーチャ205の側壁であり、側壁234はフィーチャ204の側壁である。いくつかの実施形態では、金属層209の1つ又は複数の層は、フィーチャ203、204及び205などのフィーチャを形成するために、電子デバイス製造の当業者に周知のパターン化及びエッチング技術を使用して、パターン化及びエッチングされる。一実施形態では、金属層209のフィーチャの各々は、1つ又は複数の層のスタックである。一実施形態では、金属層209のフィーチャは、電子デバイス、例えば、トランジスタ、メモリ、キャパシタ、抵抗器、光電子デバイス、スイッチ、及び任意の他の能動及び受動電子デバイスのフィーチャである。いくつかの実施態様では、金属層209をエッチングして、基板201上に形成された1つ又は複数の半導体フィンを形成する。いくつかの実施形態では、フィーチャ、例えば、203、204及び205は、例えば、図5に示されるトライゲートトランジスタ(トランジスタ500)などの複数のトランジスタを含むトライゲートトランジスタアレイを形成するためのフィン構造である。
[0035] いくつかの実施形態では、フィーチャ203、204、及び205の高さは、約30nm(ナノメートル)から約500nmまでのおおよその範囲にある。いくつかの実施形態では、フィーチャ203と204との間の距離は、約2nm~約100nmである。いくつかの実施形態では、金属フィーチャ、例えば、203、204、及び205の間の空間は、2:1又は20:1などの高アスペクト比(高さ対幅)を有するものとして特徴付けられる。
[0036] いくつかの実施形態では、フィーチャ203、204、及び205は、導電層を含むか、又は導電材料から作られる。一実施形態では、デバイス層202のフィーチャは、上述の金属、例えば、銅(Cu)、アルミニウム(Al)、インジウム(Co)、スズ(Sn)、鉛(Pb)、銀(Ag)、アンチモン(Sb)、ビスマス(Bi)、亜鉛(Zn)、カドミウム(Cd)、金(Au)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、クロム(Cr)、鉄(Fe)、マンガン(Mn)、チタン(Ti)、ハフニウム(Hf)、タンタル(Ta)、タングステン(W)、バナジウム(V)、モリブデン(Mo)、パラジウム(Pd)、金(Au)、プラチナ(Pt)などの金属、又は、電子デバイス製造の技術における当業者に周知の他の導電層、或いはこれらの任意の組合せを含む。
[0037] 図2Cに示したように、パッシベーション層215が、デバイス層202のフィーチャ203、204、及び205などのフィーチャの上に堆積される。たとえば、図3の処理シーケンス304を参照すると、この方法は、1つ又は複数の金属線132との酸素接触を低減又は排除するのに十分な条件下で、上面134、第1の側面135、及び第2の側面136の上にパッシベーション層を堆積させることを含む。いくつかの実施形態では、処理シーケンス304は、真空を破壊することなく処理システム内で実行される。実施形態では、パッシベーション層215は、図2Cに示されたように、デバイス層202のフィーチャのそれぞれの上面134を覆う。いくつかの実施形態では、パッシベーション層215は、後の段階でデバイス層202のフィーチャを酸素接触から保護するために堆積される。いくつかの実施形態では、パッシベーション層215はハードマスク層である。いくつかの実施形態では、パッシベーション層は、デバイス層202のフィーチャの各々の上面134と、第1の側面135及び第2の側面136などの側壁を覆う。いくつかの実施形態では、パッシベーション層215は、窒化ケイ素、窒化チタン、又はSiCなどの炭素ベース膜、他の保護層、又はこれらの任意の組合せなどの窒化物層である。いくつかの実施形態では、パッシベーション層215は、窒化ケイ素、炭窒化ケイ素(SiCN)、又はSiBCNを含む。いくつかの実施形態では、パッシベーション層215は、エッチング停止材料、例えば窒化アルミニウム(AlN)、及び窒化ケイ素、炭窒化ケイ素(SiCN)、又はSiBCNの組み合わせを含んでもよい。いくつかの実施形態では、パッシベーション層215は、窒化ケイ素、炭窒化ケイ素(SiCN)、又はSiBCNとともに、選択的金属キャップ、例えばコバルト(Co)を含んでもよい。いくつかの実施形態では、パッシベーション層は、酸素を含まない材料から全体的に作られる。
[0038] いくつかの実施形態では、パッシベーション層215の厚さは、約1nm~約50nm、例えば、1~25nm又は1~5nmである。いくつかの実施形態では、パッシベーション層215の厚さは、デバイス層202の各フィーチャの上面134、及び第1の側面135及び第2の側面136などの側壁と、酸素が接触するのを防止するのに十分である。
[0039] いくつかの実施形態では、パッシベーション層215は、化学気相堆積(CVD)、例えば、プラズマ化学気相堆積(PECV)、物理的気相堆積(PVD)、分子線エピタキシ(MBE)、有機金属気相堆積(MOCVD)、原子層堆積(ALD)、又は電子デバイス製造の当業者に周知の他の堆積技術などであるが、これらに限定されない、1つ又は複数の堆積技術を使用して堆積されうる。
[0040] 図2Dは、本開示による電子デバイス構造210の側面図を示す。実施形態では、電子デバイス構造210は、流動性層206がデバイス層202のフィーチャの上面及び周囲などの上に堆積された後の基板201を含む。いくつかの実施形態では、図3及び処理シーケンス306に示されるように、本開示の方法は、1つ又は複数の金属線132を覆うのに十分な厚さで、パッシベーション層215の上に低誘電率誘電体材料の流動性層206を堆積させることを含む。実施形態では、本開示の方法は、1つ又は複数の金属線132を覆うのに十分な厚さで、パッシベーション層215の上に酸化物材料の流動性層を堆積させることを含む。いくつかの実施形態では、流動性層206は、デバイス層のフィーチャの上部部分、側壁、及び底部部分232などのトレンチの底部部分の上に堆積されたパッシベーション層215を覆う。いくつかの実施形態では、流動性層206は、デバイス層202のフィーチャ間の空間を充填する基板201の部分の上に堆積される。一実施形態では、流動性層206は、低誘電率誘電体層である。本明細書での使用に適した低誘電率誘電体材料の非限定的な実施例としては、炭素ドープ酸化物又はその変形物、例えば、フッ素化炭素、ナノクラスタ化シリカ(NCS)、メソ多孔性酸化物、又は有機「スピンオン」材料、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なBD1、BDII及びBD3などのBLACK DIAMOND(登録商標)ブランドの膜層を含みうる。実施形態では、他の適切な低誘電率材料、例えば、約2.4~2.5の誘電率を有する材料も、本明細書に記載の実施形態により利用されうる。いくつかの実施形態では、流動性層206は、酸化物層、例えば、酸化ケイ素(例えば、SiO)、酸化アルミニウム(Al)、又は他の酸化物層、窒化物層、例えば、窒化ケイ素(例えば、Si)、又は他の窒化物層、炭化物層(例えば、炭素、SiOC)、又は他の炭化物層、酸化窒化物層(例えば、SiON)、又はこれらの任意の組合せである。実施形態では、酸化アルミニウム(Al)のみが低誘電率誘電体材料に含まれる。実施形態では、処理シーケンス306は、真空を破壊することなく処理システム内で実行される。
[0041] いくつかの実施形態では、流動性層206の堆積時の密度は、例えば、約1.5g/cm未満である。いくつかの実施形態では、流動性層206の密度は、本開示の方法によって、1.5g/cmを超える量まで増加する。一般的に、材料の密度とは、単位体積当たりの材料の質量(質量/体積)である。いくつかの実施形態では、流動性層206は、ポア(図示せず)を有する。いくつかの実施形態では、材料中のポアとは、流動性層の密度が場所に応じて変化すると考えられる材料(例えば、空気、真空、液体、固体、又は気体もしくは混合ガス)以外のものを含有する領域を指す。
[0042] いくつかの実施形態では、流動性層206は、シリコン含有材料の流動性層として開発された流動性CVD膜であり、堆積されたままの膜は、典型的には、Si-H、Si-N、及び-NH結合を含む。次いで、膜は、本開示に従って、硬化及びアニーリングによって、酸化環境においてSi-Oネットワークに変換される。いくつかの実施形態では、流動性層206は、カリフォルニア州サンタクララのアプライドマテリアルズ社によって開発された1つ又は複数の流動性化学気相堆積(FCVD)技術、又は電子デバイス製造の当業者に周知の他のFCVD堆積技術を使用して堆積される。いくつかの実施形態では、流動性層206の厚さは、約30nm~約500nmである。いくつかの実施形態では、流動性層206の厚さは、約40nm~約100nmである。
[0043] いくつかの実施形態では、流動性層206は、ギャップ充填層として作用する。いくつかの実施形態では、流動性層206は、基板のある部分の上のギャップ充填層として作用し、基板の他の部分の上のハードマスク層として作用する。いくつかの実施形態では、流動性層206は、2:1又は20:1などの高アスペクト比(高さ対幅)フィーチャにおけるギャップ充填層として作用し、フィーチャは、20ナノメートル未満の幅を有する。
[0044] ここで図2Eを参照すると、酸素分子211、オゾン、又はこれらの組み合わせなどの酸素含有材料が、本開示のいくつかの実施形態による流動性層206に接触する。図3を参照すると、方法300は、処理シーケンス308において、低誘電率誘電体材料の流動性層206を、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で酸素と接触させることを含む。いくつかの実施形態では、基板上に配置されたシリコン含有材料の流動性層は、シリコン含有材料の流動性層をアニールする、及び/又はその密度を高めるのに十分な条件下で、複数の酸素、オゾン、又はこれらの組み合わせと接触させられる。例えば、材料の密度は、低誘電率誘電体材料の流動性層をアニールするのに十分な条件下で、酸素との接触後に5~100倍に高められうる。いくつかの実施形態では、低誘電率誘電体材料の流動性層は、酸化物層、窒化物層、炭化物層、酸窒化物層、又はこれらの組み合わせを含む。いくつかの実施形態では、低誘電率誘電体材料の流動性層は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はこれらの組み合わせを含む。いくつかの実施形態では、低誘電率誘電体材料の流動性層と酸素との接触は、760Torr~40,000Torrの圧力においてである。いくつかの実施形態では、低誘電率誘電体材料の流動性層と酸素との接触は、100℃~400℃の温度においてである。いくつかの実施形態では、低誘電率誘電体材料の流動性層と酸素との接触は、最大10分間の持続時間である。いくつかの実施形態では、酸素は、低誘電率誘電体材料の流動性層の上部及び底部を貫通する。いくつかの実施形態では、金属層は、ルテニウム、モリブデン、銅、タングステン、又はアルミニウムのうちの1つ又は複数を含む。いくつかの実施形態では、酸素は、反応ガス内に配置され、反応ガスは、水素、窒素、又はこれらの組み合わせのうちの1つ又は複数を含む。いくつかの実施形態では、パッシベーション層は、窒化ケイ素(Si)、炭化ケイ素(SiC)、カルボキシ窒化ケイ素(SiCN)、又はこれらの組み合わせを含む。いくつかの実施形態では、半導体デバイスは、3.0~3.2の静電容量(積算された誘電率値)で5MV/cmより大きいEbdを特徴とする。いくつかの実施形態では、半導体デバイスを製造する方法は、低誘電率誘電体材料の流動性層を紫外線で硬化させることをさらに含む。
[0045] いくつかの実施形態では、複数の酸素分子は、反応ガス内に配置され、反応ガスは、酸素(O)、水素(H)、又は窒素(N)のうちの1つ又は複数を含む。例えば、反応ガスは、酸素と混合された窒素、又は窒素と混合された水素の混合物を含むことができ、混合物は、酸素、オゾン、又はこれらの組み合わせを含む。実施形態では、反応ガスは、酸素分子を含み、95%までの水素をさらに含みうる。
[0046] 図2F及び電子デバイス構造210を参照すると、本開示の実施形態により、酸素分子211などの複数の酸素分子を流動性層206に注入することが示されている。図2Fに示したように、酸素分子211などの複数の酸素分子が流動性層206に供給される。いくつかの実施形態では、複数の酸素分子は、酸素ラジカルを除外する。実施形態では、複数の酸素分子は、流動性層206の上部から1/3、1/2、又は2/3の部分に浸透するか、又は組み込まれる。いくつかの実施形態では、複数の酸素分子が、流動可能な層206の全ての全体にわたって浸透するのに十分な条件下で供給される。いくつかの実施形態では、複数の酸素分子は、注入するのに十分な条件下で供給され、流動性層206のすべてにわたって組み込まれる。実施形態では、パッシベーション層215は、流動性層206内の酸素分子211などの酸素分子が、デバイス層202のフィーチャ203、204、及び205などの金属線と接触及び/又は反応することを防止する。
[0047] いくつかの実施形態では、複数の酸素分子が、流動性層206の密度を高めるのに十分な量で供給される。いくつかの実施形態では、酸素分子211は、流動性層206の密度を高めるのに十分な量及び条件下で供給される。いくつかの実施形態では、密度は、密度の変化を示す湿式エッチング速度比(WERR)などのプロキシ(proxies)を含む当該技術分野で周知の技術によって測定可能である。いくつかの実施形態では、本開示によれば、低誘電率誘電体材料の処理された流動性層が形成され、0~2分間のエッチング時間の後、約9~10の希釈HF中の湿式エッチング速度比(WERR)を有する。実施形態では、湿式エッチング速度比は、希釈HF(例えば、1:100 HF)を使用して熱酸化ケイ素膜に対して測定される。
[0048] 次に、図4を参照すると、本開示のいくつかの実施形態による半導体デバイスを製造する方法のフロー図が示されている。実施形態では、方法400は、処理シーケンス402において、基板の1つ又は複数の安定化処理されたフィーチャの上に低誘電率誘電体材料の流動性層を堆積させることと、処理シーケンス404において、低誘電率誘電体材料の流動性層全体にわたって酸素を注入して、低誘電率誘電体材料の流動性層をアニールして密度を高めることとを含み、1つ又は複数の安定化処理されたフィーチャは、1つ又は複数の安定化処理されたフィーチャの金属部分に酸素が接触するのを防止するのに十分な厚さを有する保護層を含む。いくつかの実施形態では、保護層は、窒化ケイ素(Si)、炭化ケイ素(SiC)、カルボキシ窒化ケイ素(SiCN)、又はこれらの組み合わせを含む。いくつかの実施形態では、保護層は、上述のパッシベーション層と同じである。いくつかの実施形態では、半導体デバイスは、3.0~3.2の静電容量(積算された誘電率値)で5MV/cmより大きいEbdを特徴とする。いくつかの実施形態では、保護層は、約1~2ナノメートルの厚さを有する。いくつかの実施形態では、低誘電率誘電体材料の流動性層は紫外線と接触する。いくつかの実施形態では、保護層は酸素を含まない。
[0049] 次に、図5を参照すると、本開示の実施形態によるトライゲートトランジスタ(トランジスタ500)の斜視図が示されている。いくつかの実施形態では、フィン502を含むフィン層が基板501上に形成される。いくつかの実施形態では、フィン層は、A-A1軸に沿ったフィン502の断面図を表す。一実施形態では、トライゲートトランジスタ(トランジスタ500)は、複数のトライゲートトランジスタを含むトライゲートトランジスタアレイの一部である。いくつかの実施形態では、図2A~図2Fに関して上述したように、本開示に従って酸素を注入することによって修正された流動性誘電体層が、フィン502に隣接する基板501上に形成され、基板501上の他のデバイスから1つの電子デバイスを分離する電界分離(例えば、STI)領域を提供する。いくつかの実施形態では、フィン502は、基板501の上面から突出する。フィン502は、任意の周知の半導体材料から形成することができる。いくつかの実施形態では、ゲート誘電体層(図示せず)が、フィン502の上、及び3つの側面に堆積される。いくつかの実施形態では、ゲート誘電体層は、対向する側壁の上、及びフィン502の上面の上に形成される。図5に示したように、ゲート電極506は、フィン502上のゲート誘電体層の上に堆積する。ゲート電極506は、図5に示されるように、フィン502上のゲート誘電体層上、及びその周囲に広げられている。いくつかの実施態様では、図5に示したように、ドレイン領域505及びソース領域503が、フィン502におけるゲート電極506の反対側に形成される。
[0050] ここで図6を参照すると、本明細書に記載の方法は、独立した構成で、又は1つ又は複数のクラスタツール、例えば、図6に関して以下に記載される一体型ツール600(すなわち、クラスタツール)の一部として提供されうる個々の処理チャンバにおいて実行されうる。実施形態では、クラスタツールは、本明細書に記載の基板を処理するための方法300などの方法を実行するように構成され、この方法は、基板の上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積させることと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、酸素と接触させることとを含む。いくつかの実施形態では、クラスタツールは、本明細書に記載の基板を処理するための方法400などの方法を実行するように構成され、この方法は、基板上に1つ又は複数の安定化処理されたフィーチャ上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層全体にわたって酸素を注入して、低誘電率誘電体材料の流動性層をアニールして密度を高めることとを含み、1つ又は複数の安定化処理されたフィーチャは、1つ又は複数の安定化処理されたフィーチャの金属部分に酸素が接触するのを防止するのに十分な厚さを有する保護層を備える。実施形態では、クラスタツールは、形成された金属フィーチャが製造処理シーケンス中に酸素と接触するのを防ぐために、真空下で動作するように構成される。例えば、金属線を形成するためにブランケット金属層をエッチングした後、クラスタツールは、金属線が酸素に接触しないように、真空下で動作するように構成される。実施形態では、クラスタツールは、追加のチャンバを含むように構成されうる。選択的金属堆積のための追加のチャンバの非限定的な例としては、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なVOLTA(登録商標)ブランドの処理チャンバが挙げられる。一体型ツール600の例としては、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なCENTURA(登録商標)及びENDURA(登録商標)一体型ツールが挙げられる。しかしながら、本明細書に記載の方法は、それに連結された適切な処理チャンバを有する他のクラスタツールを使用して、又は他の適切な処理チャンバ内で実施されてもよい。例えば、いくつかの実施形態では、上述の本発明の方法は、処理中に真空破壊が制限されるか、又は真空破壊がないように、一体型ツールで有利に実行されうる。
[0051] 実施形態では、一体型ツール600は、一体型ツール600内外に基板を移送するための2つのロードロックチャンバ606A、606Bを含むことができる。典型的には、一体型ツール600は真空下にあるため、ロードロックチャンバ606A、606Bは、一体型ツール600内に導入された基板を「ポンプダウン」することができる。第1の中央移送チャンバ650は、ロードロックチャンバ606A、606Bの間で基板を移送することができる第1のロボット610と、第1の中央移送チャンバ650に連結された1つ又は複数の基板処理チャンバ612、614、616、618(4つが示されている)の第1のセットとを含む。各基板処理チャンバ612、614、616、618は、いくつかの基板処理動作を実行するように装備され、構成されうる。いくつかの実施形態では、1つ又は複数の基板処理チャンバ612、614、616、618の第1のセットは、PVD、ALD、CVD、エッチング、又は脱ガスチャンバの任意の組合せを含みうる。例えば、いくつかの実施形態では、基板処理チャンバ612及び614は、図1に示されるような処理チャンバを含み、これは、本開示に従って、低誘電率誘電体表面及び金属線などのフィーチャを含む基板を、酸素などの酸化剤を含む処理ガスに曝露するように構成される。
[0052] いくつかの実施形態では、第1のロボット610は、2つの中間移送チャンバ622、624との間で基板を移送することもできる。中間移送チャンバ622、624は、基板が一体型ツール600内で移送されることを可能にしつつ、超高真空条件を維持するために使用することができる。第2の中央移送チャンバ655は、中間移送チャンバ622、624と、第2の中央移送チャンバ655に連結された1つ又は複数の基板処理チャンバ632、634、635、636、638の第2のセットとの間で基板を移送することができる第2のロボット630を含む。基板処理チャンバ632、634、635、636、638は、物理的気相堆積処理(PVD)、化学気相堆積処理(CVD)、選択的金属堆積、エッチング、配向、及び他の基板処理に加えて、上述の方法300、400を含む様々な基板処理操作を実行するために装着されうる。基板処理チャンバ612、614、616、618、632、634、635、636、638のいずれも、一体型ツール600によって実行される特定の処理のために必要でない場合には、一体型ツール600から除去されてもよい。
[0053] いくつかの実施形態では、本開示は、実行されると、基板の上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積させることと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層を、低誘電率誘電体材料の流動性層をアニールして密度高めるのに十分な条件下で、酸素と接触させることとを含む、半導体デバイスを製造する方法を実行させる命令を記憶した非一時的コンピュータ可読媒体に関する。
[0054] いくつかの実施形態では、本開示は、実行されると、反応チャンバに、基板上の1つ又は複数の安定化処理されたフィーチャ上に低誘電率誘電体材料の流動性層を堆積させることと、低誘電率誘電体材料の流動性層をアニールして密度を高めるために低誘電率誘電体材料の流動性層全体にわたって酸素を注入することとを含む、半導体デバイスを製造する方法を実行させる命令を記憶した非一時的コンピュータ可読媒体に関し、1つ又は複数の安定化処理されたフィーチャは、1つ又は複数の安定化処理されたフィーチャの金属部分に酸素が接触するのを防止するのに十分な厚さを有する保護層を含む。
[0055] いくつかの実施形態では、本開示は、基板の上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、上面、第1の側面、及び第2の側面の上にパッシベーション層を堆積することと、1つ又は複数の金属線を覆うのに十分な厚さで、パッシベーション層の上に酸化物材料の流動性層を堆積することと、低誘電率誘電体材料の流動性層をアニールして密度を高めるのに十分な条件下で、酸化物材料の流動性層を酸素と接触させることとを含む、半導体デバイスを製造する方法に関する。実施形態では、酸化物材料の流動性層は、酸化物層を含む。実施形態では、酸化物層の材料は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、酸炭化ケイ素(SiOC)、又はこれらの組み合わせを含む。いくつかの実施形態では、酸化物材料の流動性層と酸素との接触は、760Torr~40,000Torrの圧力においてである。
[0056] 上記は、本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の及びさらなる実施形態が考案されうる。

Claims (18)

  1. 半導体デバイスの製造方法であって、
    基板の1つ又は複数の安定化処理されたフィーチャの上に低誘電率誘電体材料の流動性層を堆積させることと、
    低誘電率誘電体材料の前記流動性層をアニールし密度を高めるために、低誘電率誘電体材料の前記流動性層の全体にわたって酸素を注入することであって、前記1つ又は複数の安定化処理されたフィーチャが、前記1つ又は複数の安定化処理されたフィーチャの金属部分に酸素が接触するのを防止するため、十分な厚さを有する保護層を備える、酸素を注入することと、
    を含む、方法。
  2. 前記保護層が、約1~2ナノメートルの厚さを有する、請求項1に記載の方法。
  3. 前記保護層が酸素を含まない、請求項1又は2に記載の方法。
  4. 低誘電率誘電性材料の前記流動性層を堆積させる前に、
    基板上に配置された金属層をエッチングして、上面、第1の側面、及び第2の側面を有する1つ又は複数の金属線を形成することと、
    保護層を形成するために、前記1つ又は複数の金属線との酸素接触を低減又は排除するのに十分な条件下で、前記上面、前記第1の側面、及び前記第2の側面の上にパッシベーション層を堆積することと、をさらに含み、
    低誘電率誘電体材料の前記流動性層は、前記1つ又は複数の金属線を覆うのに十分な厚さで前記パッシベーション層の上に堆積され、
    酸素を注入することは、低誘電率誘電体材料の前記流動性層を、低誘電率誘電体材料の前記流動性層をアニールして密度を高めるのに十分な条件下で、酸素に接触させることを含む、請求項1に記載の方法。
  5. 低誘電率誘電体材料の前記流動性層が、酸化物層、窒化物層、炭化物層、酸窒化物層、又はこれらの組み合わせを含む、請求項4に記載の方法。
  6. 低誘電率誘電体材料の前記流動性層が、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はこれらの組み合わせを含む、請求項5に記載の方法。
  7. 低誘電率誘電体材料の前記流動性層と酸素との接触は、760Torr~40,000Torrの圧力においてである、請求項4又は5に記載の方法。
  8. 低誘電率誘電体材料の前記流動性層と酸素との接触は、100℃~400℃の温度においてである、請求項4又は5に記載の方法。
  9. 低誘電率誘電体材料の前記流動性層と酸素との接触は、最大10分間の持続時間である、請求項4又は5に記載の方法。
  10. 前記酸素は、低誘電率誘電体材料の前記流動性層の上部及び下部を貫通する、請求項4又は5に記載の方法。
  11. 前記金属層が、ルテニウム、モリブデン、銅、タングステン、又はアルミニウムのうちの1つ又は複数を含む、請求項4又は5に記載の方法。
  12. 前記酸素が、反応ガス内に配置され、前記反応ガスが、水素、窒素、又はこれらの組み合わせのうちの1つ又は複数を含む、請求項4又は5に記載の方法。
  13. 前記保護層が、窒化ケイ素(Si)、炭化ケイ素(SiC)、カルボキシ窒化ケイ素(SiCN)、又はこれらの組み合わせを含む、請求項1、2、4、又は5のいずれか一項に記載の方法。
  14. 前記半導体デバイスが、3.0~3.2の静電容量(積算された誘電率値)で5MV/cmより大きいEbdによって特徴付けられる、請求項1、2、4、又は5のいずれか一項に記載の方法。
  15. 低誘電率誘電体材料の前記流動性層を紫外線と接触させることをさらに含む、請求項1、2、4、又は5のいずれか一項に記載の方法。
  16. 実行されると、請求項1、2、4、又は5のいずれか一項に記載の方法を含む半導体デバイスを製造するための方法をもたらす命令を記憶した非一時的コンピュータ可読媒体。
  17. 前記保護層が酸素を含まない、請求項16に記載の非一時的コンピュータ可読媒体。
  18. 低誘電率誘電体材料の前記流動性層を紫外線と接触させることをさらに含む、請求項16に記載の非一時的コンピュータ可読媒体。
JP2022562341A 2020-04-14 2021-04-13 誘電体材料の充填及び処理の方法 Pending JP2023521840A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/848,784 US11615984B2 (en) 2020-04-14 2020-04-14 Method of dielectric material fill and treatment
US16/848,784 2020-04-14
PCT/US2021/027006 WO2021211528A1 (en) 2020-04-14 2021-04-13 Methods of dielectric material fill and treatment

Publications (1)

Publication Number Publication Date
JP2023521840A true JP2023521840A (ja) 2023-05-25

Family

ID=78006097

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022562341A Pending JP2023521840A (ja) 2020-04-14 2021-04-13 誘電体材料の充填及び処理の方法

Country Status (7)

Country Link
US (2) US11615984B2 (ja)
EP (1) EP4136671A4 (ja)
JP (1) JP2023521840A (ja)
KR (1) KR20220166338A (ja)
CN (1) CN115398617A (ja)
TW (1) TW202205438A (ja)
WO (1) WO2021211528A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220223704A1 (en) * 2021-01-08 2022-07-14 Changxin Memory Technologies, Inc. Semiconductor structure and formation method thereof
US20220367361A1 (en) * 2021-05-07 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100659394B1 (ko) * 2005-08-08 2006-12-19 삼성전자주식회사 절연막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP2010283256A (ja) * 2009-06-08 2010-12-16 Toshiba Corp 半導体装置およびnand型フラッシュメモリの製造方法
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9184089B2 (en) 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20160079034A1 (en) 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US10840154B2 (en) * 2017-11-28 2020-11-17 Taiwan Semiconductor Manufacturing Co.. Ltd. Method for forming semiconductor structure with high aspect ratio

Also Published As

Publication number Publication date
KR20220166338A (ko) 2022-12-16
EP4136671A1 (en) 2023-02-22
WO2021211528A1 (en) 2021-10-21
CN115398617A (zh) 2022-11-25
US11615984B2 (en) 2023-03-28
US20210317580A1 (en) 2021-10-14
EP4136671A4 (en) 2024-05-29
TW202205438A (zh) 2022-02-01
US20230187276A1 (en) 2023-06-15

Similar Documents

Publication Publication Date Title
US10403542B2 (en) Methods of forming self-aligned vias and air gaps
US9312168B2 (en) Air gap structure integration using a processing system
US10553485B2 (en) Methods of producing fully self-aligned vias and contacts
TWI546919B (zh) 半導體元件及其製造方法
US8399317B2 (en) Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate, and method therefor
US10600688B2 (en) Methods of producing self-aligned vias
US10410921B2 (en) Fully self-aligned via
US20230187276A1 (en) Method of dielectric material fill and treatment
US10593594B2 (en) Selectively etched self-aligned via processes
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
US10573555B2 (en) Methods of producing self-aligned grown via
US10840186B2 (en) Methods of forming self-aligned vias and air gaps
TWI796741B (zh) 形成電晶體及接觸插塞的方法及積體電路結構
TWI821732B (zh) 半導體結構及其製造方法
CN109786254B (zh) 后栅极工艺中的选择性高k形成
CN114072902A (zh) 包含复合电介质层的集成电路
US20230029739A1 (en) Semiconductor Device With A Core-Shell Feature And Method For Forming The Same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240430