JP2023510506A - 自己整合誘電体ピラーを有するナノシート・トランジスタ - Google Patents

自己整合誘電体ピラーを有するナノシート・トランジスタ Download PDF

Info

Publication number
JP2023510506A
JP2023510506A JP2022537873A JP2022537873A JP2023510506A JP 2023510506 A JP2023510506 A JP 2023510506A JP 2022537873 A JP2022537873 A JP 2022537873A JP 2022537873 A JP2022537873 A JP 2022537873A JP 2023510506 A JP2023510506 A JP 2023510506A
Authority
JP
Japan
Prior art keywords
dielectric
forming
region
substrate
present
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022537873A
Other languages
English (en)
Inventor
シエ、ルイロン
チェン、カングオ
フロウギアー、ジュリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023510506A publication Critical patent/JP2023510506A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

本発明の実施形態は、半導体構造体、およびトレンチ・シリサイド-ゲート間の寄生容量を低減するための自己整合誘電体ピラーを有する半導体構造体を形成するための方法を対象とする。本発明の非限定的な実施形態において、ナノシート・スタックは、基板の上に形成される。誘電体ピラーは、ナノシート・スタックに隣接して、基板の浅いトレンチ分離領域上に配置される。ナノシート・スタックは、浅いトレンチ分離領域の表面を露出させるようにリセスされ、ソースまたはドレイン(S/D)領域は、浅いトレンチ分離領域の露出表面上に形成される。S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。

Description

本発明は、一般に、半導体デバイスの製造方法および結果として得られる構造に関し、より詳細には、寄生容量を低減するための自己整合誘電体ピラーを有するナノシート・トランジスタ・アーキテクチャに関する。
既知の金属酸化物半導体電界効果トランジスタ(MOSFET)製造技術は、プレーナ型電界効果トランジスタ(FET)を構築するためのプロセス・フローを含む。プレーナ型FETは、基板(シリコン・スラブとも呼ばれる)と、基板の上に形成されたゲートと、ゲートの両端に形成されたソースおよびドレイン領域と、ゲートの下の基板の表面付近のチャネル領域と、を含む。チャネル領域は、ソース領域をドレイン領域に電気的に接続し、一方、ゲートがチャネルの電流を制御する。ゲート電圧は、ドレインからソースへの経路が開回路(「オフ」)であるか、または抵抗性経路(「オン」)であるかを制御する。
近年、研究は、非平面トランジスタ・アーキテクチャの開発に向けられてきた。例えば、ナノシートFETは、横型デバイスよりも高いデバイス密度およびいくらか高い性能を提供する。ナノシートFETでは、従来のFETとは対照的に、チャネルは、離間したナノシートのスタックとして実装され、ゲートスタックは、各ナノシートの全周囲を包み込み、したがって、チャネル領域をより完全に空乏化することができ、サブスレッショルド・スイング(SS)がより急峻になり、ドレイン誘起障壁低下(DIBL:drain induced barrier lowering)がより小さくなるため短チャネル効果が低減する。また、ナノシート・デバイスで使用されるラップアラウンド・ゲート構造(wrap-around gate structure)およびソース/ドレイン・コンタクトによって、駆動電流が増加した場合でも、活性領域における漏れ電流および寄生容量のより優れた管理が可能になる。
本発明の実施形態は、トレンチ・シリサイド-ゲート間の寄生容量を低減するための自己整合誘電体ピラーを有する半導体構造体を形成するための方法を対象とする。本方法の非限定的な例は、基板の上にナノシート・スタックを形成することを含む。誘電体ピラーは、ナノシート・スタックに隣接して、基板の浅いトレンチ分離領域上に配置される。ナノシート・スタックは、浅いトレンチ分離領域の表面を露出させるようにリセスされ、ソースまたはドレイン(S/D)領域は、浅いトレンチ分離領域の露出表面上に形成される。S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。
本発明の実施形態は、半導体構造体を対象とする。この半導体デバイスの非限定的な例は、基板の上に配置されたナノシート・スタックを含む。誘電体ピラーは、ナノシート・スタックに隣接して、基板の浅いトレンチ分離領域上に配置される。浅いトレンチ分離領域の表面上にS/D領域が配置され、S/D領域の表面上および誘電体ピラーの表面上にトレンチ・シリサイドが形成される。
本発明の実施形態は、トレンチ・シリサイド-ゲート間の寄生容量を低減するための自己整合誘電体ピラーを有する半導体構造体を形成するための方法を対象とする。本方法の非限定的な例は、基板上に底部分離構造を形成することと、底部分離構造上にナノシート・スタックを形成することと、を含む。底部分離構造は、ナノシート・スタックと基板との間に配置される。誘電体ピラーは、ナノシート・スタックに隣接して、基板の浅いトレンチ分離領域上に配置される。共形のライナがS/D領域および誘電体ピラーの上に形成され、層間誘電体が共形のライナの上に配置される。層間誘電体の一部および共形のライナの一部を除去して、S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチを形成する。コンタクト・トレンチ内にトレンチ・シリサイドが形成される。
本発明の実施形態は、トレンチ・シリサイド-ゲート間の寄生容量を低減するための自己整合誘電体ピラーを有する半導体構造体を形成するための方法を対象とする。本方法の非限定的な例は、基板の上に半導体フィンを形成することを含む。誘電体ピラーは、半導体フィンに隣接して、基板の浅いトレンチ分離領域上に配置される。浅いトレンチ分離領域の表面を露出させるように半導体フィンがリセスされ、浅いトレンチ分離領域の露出表面上にS/D領域が形成される。S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。
本発明の実施形態は、半導体構造体を対象とする。半導体デバイスの非限定的な例は、基板の上に配置された半導体フィンを含む。誘電体ピラーは、半導体フィンに隣接して、基板の浅いトレンチ分離領域上に配置される。浅いトレンチ分離領域の表面上にS/D領域が配置され、S/D領域の表面上および誘電体ピラーの表面上にトレンチ・シリサイドが形成される。
追加の技術的特徴および利点は、本発明の技術によって実現される。本発明の実施形態および態様は、本明細書で詳細に説明され、特許請求される主題の一部とみなされる。より良い理解のために、詳細な説明および図面を参照されたい。
本明細書に記載される排他的権利の詳細は、本明細書の最後の特許請求の範囲において特に指摘され、明確に請求される。本発明の実施形態の前述および他の特徴および利点は、添付の図面と併せて以下の詳細な説明から明らかである。
本発明の1つまたは複数の実施形態による処理作業後の半導体構造体の上面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿った半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Xに沿ったフィン型半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による処理作業後の図1の線Yに沿ったフィン型半導体構造体の断面図である。 本発明の1つまたは複数の実施形態による方法を示す流れ図である。 本発明の1つまたは複数の実施形態による方法を示す流れ図である。 本発明の1つまたは複数の実施形態による方法を示す流れ図である。
本明細書に示される図は、例示的なものである。本発明の範囲から逸脱することなく、本明細書に記載された図または動作に対して多くの変形形態が存在し得る。例えば、動作を異なる順序で実行することができ、または動作を追加、削除または修正することができる。
添付図面および本発明の記載された実施形態の以下の詳細な説明において、図面に示された様々な要素には、2桁または3桁の参照番号が与えられている。わずかな例外を除いて、各参照番号の最も左の桁は、その要素が最初に示される図に対応する。
本発明の例示的な実施形態は、特定のトランジスタ・アーキテクチャ(ナノシート・トランジスタ)に関連して説明されるが、本発明の実施形態は、本明細書に記載される特定のトランジスタ・アーキテクチャまたは材料に限定されないことを予め理解されたい。むしろ、本発明の実施形態は、現在知られているまたは今後開発される任意の他のタイプのトランジスタ・アーキテクチャ(例えば、FinFET)または材料と併せて実装することができる。
簡潔にするために、半導体デバイスおよび集積回路(IC)の製造に関連する従来の技術については、本明細書で詳細に説明する場合もあれば、説明しない場合もある。さらに、本明細書で説明される様々なタスクおよびプロセス・ステップを、本明細書で詳細に説明されない追加のステップまたは機能を有するより包括的な手順またはプロセスに組み込むことができる。特に、半導体デバイスおよび半導体ベースのICの製造における様々なステップはよく知られており、したがって、簡潔にするために、多くの従来のステップは、本明細書では簡潔に言及されるだけであり、またはよく知られているプロセスの詳細を提供することなく完全に省略される。
ここで、本発明の態様により具体的に関連する技術の概要に目を向けると、FETの継続的なスケーリングは、現在、ゲートピッチの減少に関連付けられた寄生容量の増加により制限されている。例えば、従来のナノシート・プロセス・フロー(認証済みプロセス(process of record)またはPOR)では、ソース/ドレインのトレンチ・コンタクト(TSまたはトレンチ・シリサイドと呼ばれることもある)は、層間誘電体(ILD)をエッチングすることによって形成される。ソース/ドレイン・トレンチ・コンタクトをソース/ドレイン領域上にランディングさせることは、この配置によりデバイスの接触抵抗が低減するため有益ではあるが、ソース/ドレイン・トレンチ・コンタクトをナノシート・トランジスタ領域のナノシート間の分離部(例えば、STIとも呼ばれる浅いトレンチ分離)上にランディングさせることは、TS-ゲート間容量を望ましくないほど増加させる。寄生容量の増加は、最終デバイスの回路速度を低下させるだけでなく、電力消費も増加させる。
ここで本発明の態様の概要に目を向けると、本発明の1つまたは複数の実施形態は、新しい半導体構造体、およびトレンチ・シリサイド-ゲート間の寄生容量を低減するための自己整合誘電体ピラーを有する半導体構造体を形成するための方法を提供することによって、従来技術の上述の欠点に対処する。本発明の態様では、本方法は、ナノシート構造に自己整合した埋め込み誘電体ピラーを形成することを含む。本発明の一部の実施形態では、誘電体ピラーは、隣接するナノシート・スタックのソース/ドレイン領域間に配置される。誘電体ピラーは、基板から上方に延在し、ソース/ドレイン・トレンチ・コンタクトのトレンチ・パターニングのためのエッチ・ストップとして機能する。したがって、STI上のソース/ドレイン・トレンチ・コンタクトの垂直方向の深さが減少する。その結果、TS-ゲート間容量が低減する。有利なことには、誘電体ピラーは、FinFETなどの他のトランジスタ・アーキテクチャに同様に組み込むことができ、寄生容量の同等の低減を達成することができる。
ここで本発明の態様のより詳細な説明に目を向けると、図1は、本発明の1つまたは複数の実施形態による最終的な半導体デバイスを製造する方法の一部として製造作業の初期セットが適用された後の半導体構造体100の上面図を示す。本発明の一部の実施形態では、最終的な半導体デバイスは、1つまたは複数のナノシート・スタック104(またはFinFET実施態様ではフィン)の上に形成された1つまたは複数のゲート102を含むことができる。本発明の一部の実施形態では、ゲート・スペーサ106が1つまたは複数のゲート102の側壁上にある。本発明の一部の実施形態では、最終的な半導体デバイスは、(ソース/ドレイン領域のナノシートを横切る)線Xに対して1つまたは複数のナノシート・スタック104の隣接するナノシート・スタック間に配置されたソース/ドレイン・トレンチ・コンタクト108を含むことができる。本発明の一部の実施形態では、最終的な半導体デバイスは、(フィン領域のゲートを横切る)線Yに対して1つまたは複数のナノシート・スタック104の隣接するナノシート・スタック間に配置された誘電体ピラー110を含むことができる。最終的な半導体デバイスは、例えば、n型ナノシート電界効果トランジスタ(NSNFET)、p型フィールド・ナノシート電界効果トランジスタ(NSPFET)、n型FinFET、およびp型FinFETを含む、様々なタイプのMOSFETとすることができる。
図2Aおよび図2Bは、本発明の1つまたは複数の実施形態による最終的な半導体デバイスを製造する方法の一部として製造作業の初期セットが適用された後の、図1の(ソース/ドレイン領域のナノシートを横切る)線Xおよび(フィン領域のゲートを横切る)線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、基板204の上に底部分離構造202が形成されている。本発明の一部の実施形態では、ナノシート・スタック206が底部分離構造202の上に形成されている。
底部分離構造202は、例えば、低k誘電体、窒化物、窒化ケイ素、酸化ケイ素、SiON、SiC、SiOCN、またはSiBCNなどの任意の適切な誘電体材料で作製することができる。本発明の一部の実施形態では、底部分離構造202は、単層の分離構造である。本発明の一部の実施形態では、底部分離構造202は、多層の分離構造である。例えば、底部分離構造202は、窒化物-酸化物-窒化物のtr層スタック(例えば、SiN/SiO/SiN)を含むことができる。
基板204は、例えば、単結晶Si、シリコン・ゲルマニウム(SiGe)、III-V族化合物半導体、II-VI族化合物半導体、またはセミコンダクタ・オン・インシュレータ(SOI)などの任意の適切な基板材料で作製することができる。III-V族化合物半導体は、例えば、アルミニウム・ガリウム砒素(AlGaAs)、アルミニウム・ガリウム窒化物(AlGaN)、アルミニウム砒素(AlAs)、アルミニウム・インジウム砒素(AlIAs)、アルミニウム窒化物(AlN)、ガリウム・アンチモン(GaSb)、ガリウム・アルミニウム・アンチモン(GaAlSb)、ガリウム砒素(GaAs)、ガリウム砒素アンチモン(GaAsSb)、ガリウム窒化物(GaN)、インジウム・アンチモン(InSb)、インジウム砒素(InAs)、インジウム・ガリウム砒素(InGaAs)、インジウム・ガリウム砒素リン(InGaAsP)、インジウム・ガリウム窒化物(InGaN)、インジウム窒化物(InN)、インジウム・リン(InP)、および前述の材料の少なくとも1つを含む合金の組合せのうちの1つまたは複数などの、少なくとも1つのIII族元素および少なくとも1つのV族元素を有する材料を含む。合金の組合せは、二元合金(2つの元素、例えばガリウム(III族)砒素(GaAs))、三元合金(3つの元素、例えばInGaAs)、および四元合金(4つの元素、例えばアルミニウム・ガリウム・インジウム・リン(AlInGaP))を含むことができる。
本発明の一部の実施形態では、基板204は、埋め込み酸化物層(図示せず)を含むことができる。埋め込み酸化物層は、例えば、酸化ケイ素などの任意の適切な誘電体材料で作製することができる。本発明の一部の実施形態では、埋め込み酸化物層は、約145nmの厚さに形成されるが、他の厚さも本発明の企図される範囲内である。
本発明の一部の実施形態では、ナノシート・スタック206は、1つまたは複数の犠牲層210と交互に配置された1つまたは複数の半導体層208を含むことができる。本発明の一部の実施形態では、半導体層208および犠牲層210は、エピタキシャル成長層である。議論を容易にするために、3つのナノシート(例えば、半導体層208)が3つの犠牲層(例えば、犠牲層210)と交互に配置されたナノシート・スタック206に対して実行される作業について言及する。しかしながら、ナノシート・スタック206は、対応する数の犠牲層と交互に配置された任意の数のナノシートを含むことができることが分かっている。例えば、ナノシート・スタック206は、対応する数の犠牲層とともに、単一のナノシート、2つのナノシート、5つのナノシート、8つのナノシート、または任意の数のナノシートを含むことができる(すなわち、最も下のナノシートの下に最も下の犠牲層を有し、隣接するナノシートの各対の間に犠牲層を有するナノシート・スタックを形成するのに適切なように)。
半導体層208は、例えば単結晶シリコンまたはシリコン・ゲルマニウムなどの任意の適切な材料で作製することができる。本発明の一部の実施形態では、半導体層208は、nFETナノシートである。本発明の一部の実施形態では、nFETナノシートは、シリコンnFETナノシートである。本発明の一部の実施形態では、半導体層208は、約4nm~約10nm、例えば6nmの厚さを有するが、他の厚さも本発明の企図される範囲内である。本発明の一部の実施形態では、基板204および半導体層208は、同じ半導体材料で作製することができる。本発明の他の実施形態では、基板204は、第1の半導体材料で作製することができ、半導体層208は、第2の半導体材料で作製することができる。
犠牲層210は、半導体層208の材料に応じて、シリコン層またはシリコン・ゲルマニウム層とすることができる。例えば、半導体層208がシリコン・ナノシートである実施形態では、犠牲層210はシリコン・ゲルマニウム層とすることができる。本発明の一部の実施形態では、犠牲層210は、約25パーセントのゲルマニウム濃度を有するシリコン・ゲルマニウム層(SiGe25と呼ばれることもある)であるが、他のゲルマニウム濃度も本発明の企図される範囲内である。本発明の一部の実施形態では、犠牲層210は、約12nm~約15nm、例えば10nmの厚さを有するが、他の厚さも本発明の企図される範囲内である。本発明の一部の実施形態では、犠牲層210は、底部分離構造202の中間犠牲層210と同じ材料で作製されている。
図2Aに示すように、ナノシート・スタック206および底部分離構造202に隣接して浅いトレンチ分離領域212(STI領域とも呼ばれる)を形成することができる。本発明の一部の実施形態では、トレンチは、ナノシート・スタック206および底部分離構造202の一部を除去することによって形成され、基板204の露出表面がリセスされる。次いで、トレンチを、低k誘電体、窒化物、窒化ケイ素、酸化ケイ素、SiON、SiC、SiOCN、またはSiBCNなどの誘電体材料で充填することができる。浅いトレンチ分離領域212は、ナノシート・スタック206と基板204上の他の隣接するデバイス(他のナノシート・スタックまたは任意の他の能動デバイスなど)との間の電気的分離を提供する。
図2Bに示すように、1つまたは複数の犠牲ゲート214(ダミー・ゲートと呼ばれることもある)が、ナノシート・スタック206の上に形成される。ゲートが形成されるナノシート・スタックの部分は、チャネル領域と呼ばれる。犠牲ゲート214は、例えばアモルファス・シリコンまたはポリシリコンなどの任意の適切な材料で作製することができる。犠牲ゲートをパターニングするための任意の既知の方法、例えば、ウェット・エッチング、ドライ・エッチング、あるいは、連続的なウェット・エッチングまたはドライ・エッチングあるいはその両方の組合せを使用することができる。
本発明の一部の実施形態では、犠牲ゲート214上にハード・マスク216が形成される。本発明の一部の実施形態では、犠牲ゲート214は、ハード・マスク216をパターニングし、ウェットまたはドライ・エッチング・プロセスを使用して、パターニングされたハード・マスク216によって覆われていない犠牲ゲート214の部分を選択的に除去することによって形成される。本発明の一部の実施形態では、薄い酸化物層(図示せず)が、ナノシート・スタック206と犠牲ゲート214との間に形成される。
ハード・マスク216は、例えば、窒化ケイ素などの任意の適切な材料で作製することができる。本発明の一部の実施形態では、第2のハード・マスク(図示せず)がハード・マスク216上に形成されて、2層ハード・マスクを形成する。一部の実施形態では、第2のハード・マスクは、例えば二酸化ケイ素などの酸化物を含む。
図2Bにさらに示すように、本発明の一部の実施形態では、スペーサ218(側壁スペーサまたはゲート・スペーサとしても知られている)が、犠牲ゲート214の側壁上に形成される。本発明の一部の実施形態では、スペーサ218は、化学気相堆積(CVD)、プラズマCVD(PECVD)、超高真空化学気相堆積(UHVCVD)、急速熱化学気相堆積(RTCVD)、有機金属化学気相堆積(MOCVD)、低圧化学気相堆積(LPCVD)、限定反応処理CVD(LRPCVD)、原子層堆積(ALD)、物理的気相堆積(PVD)、化学溶液堆積、分子線エピタキシ(MBE)、またはウェットもしくはドライ・エッチング・プロセスと組み合わせた他の同様のプロセスを使用して形成される。例えば、スペーサ材料を半導体構造体100の上に共形に堆積させ、RIEを用いて選択的に除去してスペーサ218を形成することができる。
スペーサ218は、例えば、低k誘電体、窒化物、窒化ケイ素、酸化ケイ素、SiON、SiC、SiOCN、またはSiBCNなどの任意の適切な材料で作製することができる。本発明の一部の実施形態では、スペーサ218は、窒化ケイ素を含む。スペーサ218は、約5~40nmの厚さに形成することができるが、他の厚さも本発明の企図される範囲内である。
図3Aおよび図3Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、犠牲領域302が、ナノシート・スタック206、底部分離構造202、および浅いトレンチ分離領域212の上に形成される。
本発明の一部の実施形態では、犠牲領域302は、ナノシート・スタック206に対してエッチング選択性を提供するように選択されたゲルマニウム濃度を有するシリコン・ゲルマニウム層を含む。例えば、本発明の一部の実施形態では、犠牲層210は、約25パーセントのゲルマニウム濃度を有するシリコン・ゲルマニウム層であり、犠牲領域302は、約60パーセントのゲルマニウム濃度を有するシリコン・ゲルマニウム(SiGe60と呼ばれることもある)から作製されている。
犠牲領域302は、(図5Aおよび図5Bに示すように)最終デバイスにおけるソース/ドレイン領域の拡がりを提供する。本発明の一部の実施形態では、犠牲領域302は任意である。フィンは典型的には細く、フィン間の間隙は大きいため、ソース/ドレインを拡げることはFinFETにとって有利である。ナノシートのソース/ドレインの拡がりは、幅が約20nmより大きい比較的広いナノシートに対しては、ナノシート間の間隙がすでに小さいため任意選択であるが、幅が約20nm未満の細いフィンに対しては、拡がりは有用である。
図4Aおよび図4Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、ナノシート・スタック206と隣接するナノシート・スタックとの間に誘電体ピラー402が形成される。
本発明の一部の実施形態では、誘電体ピラー402は、炭化ケイ素(SiC)を含むが、他の誘電体材料も本発明の企図される範囲内である。本発明の一部の実施形態では、誘電体ピラー402は、誘電体材料の共形な堆積とそれに続くエッチ・バックによって犠牲領域302間(例えば、拡大されたソース/ドレイン領域間)の間隙を充填することによって形成される。
図5Aおよび図5Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、犠牲領域302を除去することができ、ナノシート・スタック206をリセスして、底部分離構造202の表面および浅いトレンチ分離領域212の表面を露出させることができる。ウェット・エッチング、ドライ・エッチング、あるいはその両方の組合せを使用して、犠牲領域302を除去することができ、ナノシート・スタック206をリセスすることができる。本発明の一部の実施形態では、底部分離構造202に対して選択的な1つまたは複数のエッチングを使用して、犠牲領域302が除去され、ナノシート・スタックがリセスされる。例えば、シリコン、SiGe25、およびSiGe60は、他の選択肢の中でも気相HClまたは気相ClFを使用して、窒化ケイ素に対して選択的に除去することができる。
図5Bにさらに示すように、犠牲層210をリセスすることができ、内部スペーサ502を犠牲層210のリセスされた側壁上に形成することができる。例えば、犠牲層210の側壁をリセスして、ナノシート・スタック206に空洞を形成することができる。本発明の一部の実施形態では、内部スペーサ502は、これらの空洞を誘電体材料で充填することによって、犠牲層210のリセスされた側壁上に形成される。本発明の一部の実施形態では、ナノシート・スタック206の側壁を越えて延在する内部スペーサ502の部分は、例えば反応性イオン・エッチング(RIE)を用いて除去される。このようにして、内部スペーサ502の側壁は、半導体層208の側壁と共平面になる。
本発明の一部の実施形態では、内部スペーサ502は、CVD、PECVD、ALD、PVD、化学溶液堆積、またはウェットもしくはドライ・エッチング・プロセスと組み合わせた他の同様のプロセスを使用して形成される。内部スペーサ502は、例えば、低k誘電体、窒化物、窒化ケイ素、二酸化ケイ素、SiON、SiC、SiOCN、またはSiBCNなどの任意の適切な材料で作製することができる。
図6Aおよび図6Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、ソースおよびドレイン領域602が、誘電体ピラー402の対向する側壁間の底部分離構造202上に形成される。本発明の一部の実施形態では、ソースおよびドレイン領域602は、10nm以上、例えば40nm~70nmの厚さ(高さ)に形成されるが、他の厚さも本発明の企図される範囲内である。
ソースおよびドレイン領域602は、例えば、気相エピタキシ(VPE)、分子線エピタキシ(MBE)、液相エピタキシ(LPE)、または他の適切なプロセスを使用してエピタキシャル成長させることができる。ソースおよびドレイン領域602は、気体前駆体または液体前駆体からエピタキシャル成長させた半導体材料とすることができる。
本発明の一部の実施形態では、半導体材料のエピタキシャル堆積のためのガス源は、シリコン含有ガス源、ゲルマニウム含有ガス源、またはこれらの組合せを含む。例えば、Si層は、シラン、ジシラン、トリシラン、テトラシラン、ヘキサクロロジシラン、テトラクロロシラン、ジクロロシラン、トリクロロシラン、メチルシラン、ジメチルシラン、エチルシラン、メチルジシラン、ジメチルジシラン、ヘキサメチルジシランおよびこれらの組合せからなる群から選択されたシリコン・ガス源からエピタキシャル堆積(または成長)させることができる。ゲルマニウム層は、ゲルマン、ジゲルマン、ハロゲルマン、ジクロロゲルマン、トリクロロゲルマン、テトラクロロゲルマンおよびこれらの組合せからなる群から選択されたゲルマニウム・ガス源からエピタキシャル堆積させることができる。このようなガス源の組合せを利用して、シリコン・ゲルマニウム合金層をエピタキシャルに形成することができる。水素、窒素、ヘリウムおよびアルゴンのようなキャリア・ガスを使用することができる。本発明の一部の実施形態では、エピタキシャル半導体材料は、炭素ドープ・シリコン(Si:C)を含む。このSi:C層は、他のエピタキシ・ステップのために使用される同じチャンバ内で、または専用のSi:Cエピタキシ・チャンバ内で成長させることができる。Si:Cは、約0.2%~約3.0%の範囲の炭素を含むことができる。
エピタキシャル成長させたシリコンおよびシリコン・ゲルマニウムは、n型ドーパント(例えば、PまたはAs)またはp型ドーパント(例えば、Ga、B、BF、またはAl)を添加することによってドープすることができる。本発明の一部の実施形態では、ソースおよびドレイン領域602は、例えば、その場ドープ・エピタキシ(堆積中にドープ)、エピタキシ後にドープ、または注入およびプラズマドーピングなどの様々な方法によってエピタキシャルに形成され、ドープされ得る。ドープ領域におけるドーパント濃度は、1×1019cm-3~2×1021cm-3、または1×1020cm-3~1×1021cm-3の範囲とすることができる。
本発明の一部の実施形態では、ソースおよびドレイン領域602は、シリコンまたはシリコン・ゲルマニウムから作製される。本発明の一部の実施形態では、ソースおよびドレイン領域602は、約10~約65パーセント、例えば50パーセントのゲルマニウム濃度を有するシリコン・ゲルマニウムから作製されるが、他のゲルマニウム濃度も本発明の企図される範囲内である。
図7Aおよび図7Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、ライナ702が、ソースおよびドレイン領域602ならびに誘電体ピラー402の上に形成される。
本発明の一部の実施形態では、ライナ702は、例えばALDを使用して共形に堆積させているが、他の共形堆積プロセスも本発明の企図される範囲内である。ライナ702は、例えば、低k誘電体、窒化物、窒化ケイ素、SiON、SiC、SiOCN、またはSiBCNなどの任意の適切な材料で作製することができる。本発明の一部の実施形態では、ライナ702は、窒化ケイ素(例えば、SiN)を含む。ライナ702は、約5nm以下、または3nm以下の公称(共形)厚さに形成することができるが、他の厚さも本発明の企図される範囲内である。
本発明の一部の実施形態では、ライナ702の上に層間誘電体704が形成される。層間誘電体704は、半導体デバイス100の分離構造として機能する。層間誘電体704は、例えば、多孔質ケイ酸塩、炭素ドープ酸化物、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素(SiC)、または他の誘電体材料などの任意の適切な誘電体材料で作製することができる。本発明の一部の実施形態では、層間誘電体704は、SiOを含む。例えば、CVD、PECVD、ALD、流動性CVD、スピンオン誘電体、またはPVDなどの、層間誘電体704を形成する任意の既知の方法を利用することができる。本発明の一部の実施形態では、層間誘電体704および浅いトレンチ分離領域212は、同じ誘電体材料で作製される。
図7Bに示すように、犠牲層210、犠牲ゲート214、およびハード・マスク216を除去し、ゲート706(アクティブ・ゲートまたは導電性ゲートと呼ばれることもある)で置き換えることができる。
ゲート706は、例えば、既知の置換金属ゲート(RMG)プロセス、またはいわゆるゲート・ファースト・プロセスを使用して、ナノシート・スタック206のチャネル領域の上に形成された高k金属ゲート(HKMG)とすることができる。本明細書で使用される場合、「チャネル領域」とは、半導体層208の部分を指し、この層の上にゲート706が形成され、最終デバイス(図示せず)において電流がこの層を通ってソースからドレインに通過する。本発明の一部の実施形態では、ゲート706は、犠牲ゲート214を除去し、犠牲層210を選択的に除去してナノシート・チャネル(チャネル領域の半導体層208)を解放し、犠牲ゲート214および犠牲層210を除去した後に残されたキャビティ内に高k/金属ゲート材料を堆積させることによって形成される。
本発明の一部の実施形態では、ゲート706は、ゲート誘電体(図示せず)および仕事関数金属スタック(図示せず)を含むことができる。一部の実施形態では、ゲート706は、バルク導電性ゲート材料から形成された本体を含む。
本発明の一部の実施形態では、ゲート誘電体は、半導体層208の表面(側壁)上に形成された高k誘電体膜である。高k誘電体膜は、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、窒化ホウ素、高k材料、またはこれらの材料の任意の組合せから作製することができる。高k材料の例としては、酸化ハフニウム、酸化ハフニウム・シリコン、酸窒化ハフニウム・シリコン、酸化ランタン、酸化ランタン・アルミニウム、酸化ジルコニウム、酸化ジルコニウム・シリコン、酸窒化ジルコニウム・シリコン、酸化タンタル、酸化チタン、酸化バリウム・ストロンチウム・チタン、酸化バリウム・チタン、酸化ストロンチウム・チタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウム・タンタル、およびニオブ酸鉛亜鉛などの金属酸化物が挙げられるが、これらに限定されない。高k材料は、ランタンおよびアルミニウムなどのドーパントをさらに含むことができる。本発明の一部の実施形態では、高k誘電体膜は、約0.5nm~約4nmの厚さを有することができる。本発明の一部の実施形態では、高k誘電体膜は、酸化ハフニウムを含み、約1nmの厚さを有するが、他の厚さも本発明の企図される範囲内である。
本発明の一部の実施形態では、ゲート706は、高k誘電体膜とバルク・ゲート材料との間に形成された1つまたは複数の仕事関数層(仕事関数金属スタックと呼ばれることもある)を含む。本発明の一部の実施形態では、ゲート706は、1つまたは複数の仕事関数層を含むが、バルク・ゲート材料は含まない。
存在する場合、仕事関数層は、例えば、アルミニウム、酸化ランタン、酸化マグネシウム、チタン酸ストロンチウム、酸化ストロンチウム、窒化チタン、窒化タンタル、窒化ハフニウム、窒化タングステン、窒化モリブデン、窒化ニオブ、窒化ハフニウム・シリコン、窒化チタン・アルミニウム、窒化タンタル・シリコン、炭化チタン・アルミニウム、炭化タンタル、およびこれらの組合せから作製することができる。仕事関数層は、ゲート706の仕事関数を修正する役割を果たすことができ、デバイスのしきい値電圧の調整を可能にする。仕事関数層は、約0.5~6nmの厚さに形成することができるが、他の厚さも本発明の企図される範囲内である。本発明の一部の実施形態では、仕事関数層のそれぞれを異なる厚さに形成することができる。本発明の一部の実施形態では、仕事関数層は、TiN/TiC/TiCAlスタックを含む。
一部の実施形態では、ゲート706は、仕事関数層またはゲート誘電体あるいはその両方の上に堆積させたバルク導電性ゲート材料から形成された本体を含む。バルク・ゲート材料は、例えば、金属(例えば、タングステン、チタン、タンタル、ルテニウム、ジルコニウム、コバルト、銅、アルミニウム、鉛、白金、スズ、銀、金)、導電性金属化合物材料(例えば、窒化タンタル、窒化チタン、炭化タンタル、炭化チタン、炭化チタン・アルミニウム、タングステン・シリサイド、窒化タングステン、酸化ルテニウム、コバルト・シリサイド、ニッケル・シリサイド)、導電性炭素、グラフェン、またはこれらの材料の任意の適切な組合せなどの任意の適切な導電性材料を含むことができる。導電性ゲート材料は、堆積中または堆積後に組み込まれるドーパントをさらに含むことができる。
図7Bにさらに示すように、ゲート706は、ゲート・ハード・マスク708を含むことができる。ゲート・ハード・マスク708は、例えば、窒化ケイ素などの任意の適切な材料で作製することができる。ゲート・ハード・マスク708は、スペーサ218間の空間でゲート706に位置合わせされるため、ゲート・ハード・マスク708は、自己整合ハード・マスク(SACキャップと呼ばれることもある)と考えることができる。
図8Aおよび図8Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、ライナ702および層間誘電体704の一部を除去して、ソースおよびドレイン領域602の表面を露出させるコンタクト・トレンチ802を形成する。
本発明の一部の実施形態では、ライナ702および層間誘電体704の一部は、誘電体ピラー402に対して選択的に除去される。換言すれば、誘電体ピラー402は、TSのトレンチ・パターニングのためのエッチ・ストップとして機能することができる。誘電体材料をパターニングするための任意の既知の方法、例えば、ウェット・エッチング、ドライ・エッチング、あるいは、連続的なウェット・エッチングまたはドライ・エッチングあるいはその両方の組合せを使用することができる。本発明の一部の実施形態では、パターニングされたマスク(図示せず)が層間誘電体704の上に形成され、ライナ702および層間誘電体704の露出部分が、例えば1つまたは複数のRIEを使用して除去される。
図9Aおよび図9Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られた半導体構造体100の断面図を示す。本発明の一部の実施形態では、コンタクト・トレンチ802は、トレンチ・シリサイド902を形成するために導電性材料(例えば、Co)で充填される。本発明の一部の実施形態では、トレンチ・シリサイド902は、コンタクト・トレンチ802を過剰充填し、層間誘電体704の表面から延在するオーバーバーデンを形成する。本発明の一部の実施形態では、オーバーバーデンは、例えば化学機械平坦化(CMP)を使用して除去される。
図9Aに示すように、トレンチ・シリサイド902は、ソースおよびドレイン領域602の上面ならびに誘電体ピラー402の上面にランディングする。前述したように、トレンチ・シリサイド902をソースおよびドレイン領域602の上面にランディングさせることにより接触抵抗が低減され、一方、(従来のプロセス・フローにおけるような浅いトレンチ分離上ではなく)誘電体ピラー402の上面にランディングさせることにより、浅いトレンチ分離212上のトレンチ・シリサイド902の垂直深さが低減することによってTS-ゲート間の寄生容量が低減する。
図10Aおよび図10Bは、本発明の1つまたは複数の実施形態による処理作業後の図1の線Xおよび線Yに沿って取られたフィン型半導体構造体1000の断面図を示す。図9Aおよび図9Bに示すナノシート・タイプの実施態様とは対照的に、図10Aおよび図10Bに示す半導体構造体1000は、寄生容量を低減するための誘電体ピラーのFinFETタイプの実施態様を示す。
本発明の一部の実施形態では、半導体構造体1000は、図9Aのナノシート・スタック206に隣接して誘電体ピラー402が形成されたのと同様のやり方で半導体フィン1004間に形成された誘電体ピラー1002を含むことができる。本発明の一部の実施形態では、半導体構造体1000は、図9Aおよび9Bに関して示したのと同様のやり方で、基板1008の上に形成されたソース/ドレイン領域1006を含むことができる。本発明の一部の実施形態では、半導体構造体1000は、図9Aおよび図9Bに関して示したのと同様のやり方で、半導体フィン1004の隣接するフィン間に浅いトレンチ分離領域1010を含むことができる。
本発明の一部の実施形態では、半導体構造体1000は、図9Aおよび図9Bに関して示したのと同様のやり方で、層間誘電体1014の対向する側壁間に形成されたトレンチ・シリサイド1012を含むことができる。本発明の一部の実施形態では、半導体構造体1000は、図9Aおよび図9Bに関して示したのと同様のやり方で、層間誘電体1014と誘電体ピラー1002との間にライナ1016を含むことができる。
本発明の一部の実施形態では、半導体構造体1000は、図9Aおよび図9Bに関して示したのと同様のやり方で、半導体フィン1004のチャネル領域の上に形成されたゲート(例えば、高k金属ゲート)1018を含むことができる。本発明の一部の実施形態では、ゲート1018は、図9Aおよび図9Bに関して示したのと同様のやり方で、ゲート・スペーサ1020間に形成される。本発明の一部の実施形態では、ゲート1018は、図9Aおよび図9Bに関して示したのと同様のやり方で、ゲート・キャップ1022を含む。
図11は、本発明の1つまたは複数の実施形態による半導体デバイスを形成するための方法を示す流れ図1100を示す。ブロック1102に示すように、ナノシート・スタックが基板の上に形成される。ブロック1104において、ナノシート・スタックに隣接して誘電体ピラーが形成される。誘電体ピラーは、基板の浅いトレンチ分離領域上に配置される。
ブロック1106において、ナノシート・スタックをリセスして、浅いトレンチ分離領域の表面を露出させる。本発明の一部の実施形態では、ナノシート・スタックをリセスすることは、犠牲領域を除去することを含む。ブロック1108において、ソースまたはドレイン(S/D)領域が、浅いトレンチ分離領域の露出表面上に形成される。
ブロック1110において、S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。本発明の一部の実施形態では、誘電体ピラーは、コンタクト・トレンチを形成している間、エッチ・ストップとして機能する。本発明の一部の実施形態では、コンタクト・トレンチ内にトレンチ・シリサイドが形成される。
本方法は、基板とナノシート・スタックとの間に底部分離構造を形成することをさらに含むことができる。本発明の一部の実施形態では、誘電体ピラーを形成する前に、犠牲領域がナノシート・スタックの上に形成される。犠牲領域は、本明細書で前述したように、ソース/ドレイン領域を拡げる働きをすることができる。
本発明の一部の実施形態では、共形のライナが、S/D領域および誘電体ピラーの上に形成される。本発明の一部の実施形態では、共形のライナの上に層間誘電体が形成される。本発明の一部の実施形態では、コンタクト・トレンチを形成することは、層間誘電体の一部分および共形のライナの一部分を除去することを含む。
図12は、本発明の1つまたは複数の実施形態による半導体デバイスを形成するための方法を示す流れ図1200を示す。ブロック1202に示すように、基板上に底部分離構造が形成される。ブロック1204において、ナノシート・スタックが底部分離構造上に形成される。底部分離構造は、ナノシート・スタックと基板との間に配置される。
ブロック1206において、ナノシート・スタックに隣接して誘電体ピラーが形成される。誘電体ピラーは、基板の浅いトレンチ分離領域上に配置される。本発明の一部の実施形態では、ブロック1212においてコンタクト・トレンチを形成している間、誘電体ピラーは、エッチ・ストップとして機能する。
ブロック1208において、S/D領域および誘電体ピラーの上に共形のライナが形成される。ブロック1210において、共形のライナの上に層間誘電体が形成される。ブロック1212において、層間誘電体の一部および共形のライナの一部が除去され、S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。ブロック1214において、コンタクト・トレンチ内にトレンチ・シリサイドが形成される。
本発明の一部の実施形態では、誘電体ピラーを形成する前に、犠牲領域がナノシート・スタックの上に形成される。本発明の一部の実施形態では、ナノシート・スタックは、浅いトレンチ分離領域の表面を露出させるようにリセスされる。本発明の一部の実施形態では、ナノシート・スタックをリセスすることは、犠牲領域を除去することを含む。
図13は、本発明の1つまたは複数の実施形態による半導体デバイスを形成するための方法を示す流れ図1300を示す。ブロック1302に示すように、半導体フィンが基板の上に形成される。ブロック1304において、半導体フィンに隣接して誘電体ピラーが形成される。誘電体ピラーは、基板の浅いトレンチ分離領域上に配置される。
ブロック1306において、半導体フィンをリセスして、浅いトレンチ分離領域の表面を露出させる。本発明の一部の実施形態では、半導体フィンをリセスすることは、犠牲領域を除去することを含む。ブロック1308において、浅いトレンチ分離領域の露出表面上にソースまたはドレイン(S/D)領域が形成される。
ブロック1310において、S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチが形成される。本発明の一部の実施形態では、誘電体ピラーは、コンタクト・トレンチを形成している間、エッチ・ストップとして機能する。本発明の一部の実施形態では、コンタクト・トレンチ内にトレンチ・シリサイドが形成される。
本発明の一部の実施形態では、誘電体ピラーを形成する前に、半導体フィンの上に犠牲領域が形成される。犠牲領域は、本明細書で前述したように、ソース/ドレイン領域を拡げる働きをすることができる。
本発明の一部の実施形態では、共形のライナが、S/D領域および誘電体ピラーの上に形成される。本発明の一部の実施形態では、共形のライナの上に層間誘電体が形成される。本発明の一部の実施形態では、コンタクト・トレンチを形成することは、層間誘電体の一部分および共形のライナの一部分を除去することを含む。
本明細書に記載の方法および結果として得られる構造は、ICチップの製造に使用することができる。結果として得られるICチップは、生ウエハの形態で(すなわち、複数のパッケージ化されていないチップを有する単一のウエハとして)、ベア・ダイとして、またはパッケージ化された形態で、製造業者によって配布され得る。後者の場合、チップは、シングル・チップ・パッケージ(マザーボードまたは他のより高レベルのキャリアに固定されたリードを有するプラスチック・キャリアなど)またはマルチチップ・パッケージ(表面相互接続または埋め込み相互接続のいずれかまたは両方を有するセラミック・キャリアなど)に実装される。いずれの場合も、チップは、(a)マザーボードなどの中間製品、あるいは、(b)最終製品のいずれかの一部として、他のチップ、ディスクリート回路素子または他の信号処理デバイスあるいはその組合せと一体化される。最終製品は、玩具および他のローエンドアプリケーションから、ディスプレイ、キーボードまたは他の入力デバイス、および中央プロセッサを有する高度なコンピュータ製品に至るまでの、ICチップを含むあらゆる製品とすることができる。
本発明の様々な実施形態が、関連する図面を参照して本明細書に記載されている。本発明の範囲から逸脱することなく、代替の実施形態を考案することができる。様々な接続および位置関係(例えば、の上、の下、隣接するなど)が、以下の説明および図面において要素間に記載されるが、当業者は、本明細書で説明される位置関係の多くが、たとえ向きが変わったとしても説明される機能が維持される場合は向きに依存しないことを認識するであろう。これらの接続または位置関係あるいはその両方は、別段の指定がない限り、直接的または間接的であり得て、本発明は、この点において限定することは意図されていない。同様に、「結合された」という用語およびその変形は、2つの要素間に通信経路を有することを説明しており、それらの間に介在する要素/接続がない要素間の直接接続を意味するものではない。これらの変形形態はすべて、本明細書の一部とみなされる。したがって、エンティティの結合は、直接的または間接的な結合のいずれかを指すことができ、エンティティ間の位置関係は、直接的または間接的な位置関係であり得る。間接的な位置関係の例として、本明細書における層「B」の上に層「A」を形成することへの言及は、層「A」および層「B」の関連する特性および機能性が中間層によって実質的に変化しない限り、1つまたは複数の中間層(例えば、層「C」)が層「A」と層「B」との間にある状況を含む。
以下の定義および略語は、特許請求の範囲および本明細書の解釈のために使用される。本明細書で使用される場合、用語「備える(comprises)」、「備えている(comprising)」、「含む(includes)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「含有する(contains)」もしくは「含有している(containing)」またはそれらの任意の他の変形は、非排他的な包含をカバーすることが意図されている。例えば、要素のリストを含む組成物、混合物、プロセス、方法、物品、または装置は、必ずしもそれらの要素のみに限定されず、明示的に列挙されていない、またはそのような組成物、混合物、プロセス、方法、物品、もしくは装置に固有の他の要素を含むことができる。
さらに、「例示的」という用語は、本明細書では、「例、事例、または例示として働くこと」を意味するために使用される。「例示的」として本明細書に記載される任意の実施形態または設計は、必ずしも、他の実施形態または設計よりも好ましいまたは有利であると解釈されるべきではない。「少なくとも1つ」および「1つまたは複数」という用語は、1以上の任意の整数、すなわち1、2、3、4などを含むと理解される。「複数」という用語は、2以上の任意の整数、すなわち、2、3、4、5などを含むと理解される。「接続」という用語は、間接的な「接続」および直接的な「接続」を含むことができる。
本明細書における「一実施形態」、「実施形態」、「例示的な実施形態」などへの言及は、記載される実施形態が特定の特徴、構造、または特性を含むことができるが、すべての実施形態が特定の特徴、構造、または特性を含んでも含まなくてもよいことを示す。さらに、そのような語句は、必ずしも同じ実施形態を指すものではない。さらに、特定の特徴、構造、または特性が実施形態に関連して記載されている場合、明示的に記載されているかどうかにかかわらず、他の実施形態に関連してそのような特徴、構造、または特性に影響を与えることは当業者の知識の範囲内であることが提示される。
以下の説明の目的のために、用語「上」、「下」、「右」、「左」、「垂直」、「水平」、「頂部」、「底部」、およびそれらの派生語は、図面において配向されているような、説明される構造および方法に関連するものとする。「上にある(overlying)」、「の上に(atop)」、「上に(on top)」、「上に位置する(positioned on)」または「の上に位置する(positioned atop)」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素上に存在し、界面構造などの介在要素が第1の要素と第2の要素との間に存在し得ることを意味する。「直接接触」という用語は、第1の構造などの第1の要素と、第2の構造などの第2の要素とが、2つの要素の界面において中間の導電層、絶縁層または半導体層なしに接続されることを意味する。
空間的に相対的な用語、例えば、「真下」、「下方」、「下側」、「上方」、「上側」などは、本明細書では、説明を容易にするために、図に示すような1つの要素または特徴の別の要素または特徴に対する関係を説明するために使用される。空間的に相対的な用語は、図に示される向きに加えて、使用または動作中のデバイスの異なる向きを包含することが意図されていることが理解されるであろう。例えば、図中のデバイスがひっくり返された場合、他の要素または特徴の「下方」または「真下」として記載される要素は、他の要素または特徴の「上方」に配向される。したがって、「下方」という用語は、上方および下方の両方の向きを包含することができる。デバイスは、その他の方法で配向させる(例えば、90度または他の配向で回転させる)ことができ、本明細書で使用される空間的に相対的な記述子は、それに応じて解釈されるべきである。
用語「約」、「実質的に」、「およそ」およびそれらの変形は、本出願の出願時に利用可能な機器に基づく特定の量の測定に関連する誤差の程度を含むことが意図されている。例えば、「約」は、所与の値の±8%または5%または2%の範囲を含むことができる。
例えば、「第2の要素に対して選択的な第1の要素」などの「に対して選択的な」という語句は、第1の要素がエッチングされ得て、第2の要素がエッチ・ストップとして作用し得ることを意味する。
用語「共形の」(例えば、共形層または共形堆積)は、層の厚さがすべての表面上で実質的に同じであること、または厚さ変動が層の公称厚さの15%未満であることを意味する。
「エピタキシャル成長または堆積あるいはその両方」および「エピタキシャルに形成されたまたは成長させたあるいはその両方」という用語は、ある半導体材料(結晶材料)の、別の半導体材料(結晶材料)の堆積表面上での成長を意味し、成長させる半導体材料(結晶オーバレイヤ)は、堆積表面の半導体材料(シード材料)と実質的に同じ結晶特性を有する。エピタキシャル堆積プロセスでは、ソースガスによって提供される化学反応物を制御することができ、システム・パラメータを設定することができ、その結果、堆積原子が堆積表面の原子の結晶配列に配向するように、表面上を動き回るのに十分なエネルギーで堆積原子が半導体基板の堆積表面に到達する。エピタキシャル成長半導体材料は、エピタキシャル成長材料が形成される堆積表面と実質的に同じ結晶特性を有することができる。例えば、<100>配向結晶表面上に堆積させたエピタキシャル成長半導体材料は、<100>配向をとることができる。本発明の一部の実施形態では、エピタキシャル成長プロセスまたはエピタキシャル堆積プロセスあるいはその両方は、半導体表面上での形成に対して選択的であり得て、二酸化ケイ素または窒化ケイ素表面などの他の露出表面上に材料を堆積させてもよく、堆積させなくてもよい。
本明細書で先に述べたように、簡潔にするために、半導体デバイスおよび集積回路(IC)の製造に関する従来の技術は、本明細書で詳細に説明することもあれば、説明しないこともある。しかしながら、背景として、本発明の1つまたは複数の実施形態を実施する際に利用することができる半導体デバイス製造プロセスのより一般的な説明をここで提供する。本発明の1つまたは複数の実施形態を実施する際に使用される特定の製造作業は、個々に知ることができるが、本発明の作業の説明された組合せまたは結果として得られる構造あるいはその両方は、独特である。したがって、本発明による半導体デバイスの製造に関連して説明される作業の独特の組合せは、半導体(例えば、シリコン)基板上で行われる様々な個々に知られている物理的および化学的プロセスを利用し、それらの一部は、すぐ後の段落に記載されている。
一般に、ICにパッケージングされるマイクロチップを形成するために使用される様々なプロセスは、4つの一般的なカテゴリ、すなわち、膜堆積、除去/エッチング、半導体ドーピング、およびパターニング/リソグラフィに分類される。堆積は、ウエハ上に材料を成長させ、コーティングし、または他の方法で転写させる任意のプロセスである。利用可能な技術としては、とりわけ、物理的気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、およびより最近では原子層堆積(ALD)が挙げられる。除去/エッチングは、ウエハから材料を除去する任意のプロセスである。例としては、エッチング・プロセス(ウェットまたはドライのいずれか)、化学機械平坦化(CMP)などが挙げられる。例えば、反応性イオン・エッチング(RIE)は、化学的反応性プラズマを使用して、露出表面から材料の一部を除去するイオンの衝撃に材料を曝すことによって、マスクされたパターンの半導体材料などの材料を除去するドライ・エッチングの一種である。プラズマは、典型的には低圧(真空)下で電磁場によって生成される。半導体ドーピングは、一般に拡散またはイオン注入あるいはその両方によって、例えばトランジスタのソースおよびドレインをドーピングすることによる電気的特性の変更である。これらのドーピング・プロセスに続いて、炉アニールまたは急速熱アニール(RTA)が行われる。アニーリングは、注入されたドーパントを活性化させる働きをする。導体(例えば、ポリシリコン、アルミニウム、銅など)および絶縁体(例えば、様々な形態の二酸化ケイ素、窒化ケイ素など)の両方の膜を使用して、トランジスタおよびそれらの構成要素を接続および分離する。半導体基板の様々な領域を選択的にドーピングすることにより、電圧の印加によって基板の導電性を変化させることができる。これらの様々な構成要素の構造を作成することによって、何百万ものトランジスタを構築し、一緒に配線して、現代のマイクロ電子デバイスの複雑な回路を形成することができる。半導体リソグラフィとは、半導体基板上に3次元レリーフ像またはパターンを形成し、その後そのパターンを基板に転写することである。半導体リソグラフィでは、パターンは、フォトレジストと呼ばれる感光性ポリマによって形成される。トランジスタを構成する複雑な構造と、回路の何百万ものトランジスタを接続する多数のワイヤとを構築するために、リソグラフィ・ステップおよびエッチング・パターン転写ステップが複数回繰り返される。ウエハ上に印刷される各パターンは、前もって形成されたパターンに位置合わせされ、導体、絶縁体および選択的にドープされた領域が徐々に構築されて、最終的なデバイスが形成される。
図中の流れ図およびブロック図は、本発明の様々な実施形態による製造方法または作業方法あるいはその両方の可能な実施態様を示す。本方法の様々な機能/作業は、ブロックによって流れ図に表されている。一部の代替の実施態様では、ブロックに記された機能は、図に記された順序とは異なる順序で行うことができる。例えば、連続して示される2つのブロックは、実際には、実質的に同時に実行することができ、またはブロックは、関与する機能に応じて、時には逆の順序で実行することができる。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であること、または説明される実施形態に限定されることは意図されていない。記載された実施形態の範囲から逸脱することなく、多くの修正形態および変形形態が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、実際の用途、または市場で見出される技術に対する技術的改善を最もよく説明するために、または他の当業者が本明細書で説明される実施形態を理解することを可能にするために選択された。
本明細書に記載する本発明の好ましい実施形態では、半導体デバイスを形成するための方法であって、基板の上に半導体フィンを形成することと、半導体フィンに隣接し、基板の浅いトレンチ分離領域上に配置された誘電体ピラーを形成することと、浅いトレンチ分離領域の表面を露出させるように半導体フィンをリセスすることと、浅いトレンチ分離領域の露出表面上にソースまたはドレイン(S/D)領域を形成することと、S/D領域の表面および誘電体ピラーの表面を露出させるコンタクト・トレンチを形成することと、を含む方法が提供される。本方法は、好ましくは、誘電体ピラーを形成する前に、半導体フィンの上に犠牲領域を形成することをさらに含む。半導体フィンをリセスすることは、好ましくは、犠牲領域を除去することを含む。本明細書に記載する本発明の別の好ましい実施形態では、基板の上に配置された半導体フィンと、半導体フィンに隣接し、基板の浅いトレンチ分離領域上に配置された誘電体ピラーと、浅いトレンチ分離領域の表面上のソースまたはドレイン(S/D)領域と、S/D領域の表面上および誘電体ピラーの表面上のトレンチ・シリサイドと、を備える半導体デバイスが提供される。S/D領域は、好ましくは、誘電体ピラーの対向する側壁間に閉じ込められる。デバイスは、好ましくは、誘電体ピラーの上の共形のライナをさらに含む。デバイスは、好ましくは、共形のライナの上の層間誘電体をさらに含み、トレンチ・シリサイドは、層間誘電体の対向する側壁間に配置される。

Claims (16)

  1. 半導体デバイスを形成するための方法であって、
    基板の上にナノシート・スタックを形成することと、
    前記ナノシート・スタックに隣接して誘電体ピラーを形成することであり、前記誘電体ピラーが前記基板の浅いトレンチ分離領域上に配置される、前記形成することと、
    前記ナノシート・スタックをリセスして、前記浅いトレンチ分離領域の表面を露出させることと、
    前記浅いトレンチ分離領域の露出表面上にソースまたはドレイン(S/D)領域を形成することと、
    前記S/D領域の表面および前記誘電体ピラーの表面を露出させるコンタクト・トレンチを形成することと、
    を含む、方法。
  2. 前記基板と前記ナノシート・スタックとの間に底部分離構造を形成することをさらに含む、請求項1に記載の方法。
  3. 前記S/D領域および前記誘電体ピラーの上に共形のライナを形成することをさらに含む、請求項1に記載の方法。
  4. 前記共形のライナの上に層間誘電体を形成することをさらに含む、請求項3に記載の方法。
  5. 前記コンタクト・トレンチを形成することが、前記層間誘電体の一部分および前記共形のライナの一部分を除去することを含む、請求項4に記載の方法。
  6. 前記コンタクト・トレンチ内にトレンチ・シリサイドを形成することをさらに含む、請求項1に記載の方法。
  7. 基板上に底部分離構造を形成することと、
    前記底部分離構造上に前記ナノシート・スタックを形成することであって、前記底部分離構造が前記ナノシート・スタックと前記基板との間にある、前記形成することと、
    前記S/D領域および前記誘電体ピラーの上に共形のライナを形成することと、
    前記共形のライナの上に層間誘電体を形成することと、
    前記層間誘電体の一部分および前記共形のライナの一部分を除去して前記コンタクト・トレンチを形成することと、
    前記コンタクト・トレンチ内にトレンチ・シリサイドを形成することと、
    を含む、請求項1に記載の方法。
  8. 前記誘電体ピラーを形成する前に、前記ナノシート・スタックの上に犠牲領域を形成することをさらに含む、請求項1または請求項7に記載の方法。
  9. 前記ナノシート・スタックをリセスして、前記浅いトレンチ分離領域の表面を露出させることさらに含む、請求項8に記載の方法。
  10. 前記ナノシート・スタックをリセスすることが、前記犠牲領域を除去することを含む、請求項8に記載の方法。
  11. 前記誘電体ピラーが、前記コンタクト・トレンチを形成している間、エッチ・ストップとして機能する、請求項5または7に記載の方法。
  12. 基板の上に配置されたナノシート・スタックと、
    前記ナノシート・スタックに隣接する、前記基板の浅いトレンチ分離領域上に配置された誘電体ピラーと、
    前記浅いトレンチ分離領域の表面上のソースまたはドレイン(S/D)領域と、
    前記S/D領域の表面上および前記誘電体ピラーの表面上のトレンチ・シリサイドと、
    を備える、半導体デバイス。
  13. 前記S/D領域が、前記誘電体ピラーの対向する側壁間に閉じ込められている、請求項12に記載の半導体デバイス。
  14. 前記基板と前記ナノシート・スタックとの間に底部分離構造をさらに備える、請求項12に記載の半導体デバイス。
  15. 前記誘電体ピラーの上に共形のライナをさらに備える、請求項12に記載の半導体デバイス。
  16. 前記共形のライナの上に層間誘電体をさらに備え、前記トレンチ・シリサイドが前記層間誘電体の対向する側壁間に配置されている、請求項15に記載の半導体デバイス。
JP2022537873A 2020-01-13 2020-12-23 自己整合誘電体ピラーを有するナノシート・トランジスタ Pending JP2023510506A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/740,954 US11195746B2 (en) 2020-01-13 2020-01-13 Nanosheet transistor with self-aligned dielectric pillar
US16/740,954 2020-01-13
PCT/IB2020/062389 WO2021144644A1 (en) 2020-01-13 2020-12-23 Nanosheet transistor with self-aligned dielectric pillar

Publications (1)

Publication Number Publication Date
JP2023510506A true JP2023510506A (ja) 2023-03-14

Family

ID=76763517

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022537873A Pending JP2023510506A (ja) 2020-01-13 2020-12-23 自己整合誘電体ピラーを有するナノシート・トランジスタ

Country Status (8)

Country Link
US (2) US11195746B2 (ja)
JP (1) JP2023510506A (ja)
KR (1) KR20220106992A (ja)
CN (1) CN114946036A (ja)
AU (1) AU2020423612B2 (ja)
DE (1) DE112020005273B4 (ja)
GB (1) GB2607481A (ja)
WO (1) WO2021144644A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11195746B2 (en) 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11777033B2 (en) * 2020-02-27 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having vertical nanostructures
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
EP2143141A4 (en) 2007-04-18 2011-04-13 Invisage Technologies Inc MATERIAL SYSTEMS AND METHOD FOR OPTOELECTRONIC ARRANGEMENTS
CN104254282B (zh) * 2012-02-13 2017-09-12 皇家飞利浦有限公司 用于对参数值的稳健估计的简化方法
US8921181B2 (en) 2012-12-27 2014-12-30 Intermolecular, Inc. Flourine-stabilized interface
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9287404B2 (en) 2013-10-02 2016-03-15 Infineon Technologies Austria Ag Semiconductor device and method of manufacturing a semiconductor device with lateral FET cells and field plates
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9196499B2 (en) 2014-03-26 2015-11-24 Globalfoundries Inc. Method of forming semiconductor fins
US9281382B2 (en) 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9812449B2 (en) 2015-11-20 2017-11-07 Samsung Electronics Co., Ltd. Multi-VT gate stack for III-V nanosheet devices with reduced parasitic capacitance
US9577038B1 (en) 2015-12-15 2017-02-21 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US9685539B1 (en) 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
US9716158B1 (en) 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
US9735269B1 (en) 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US9842914B1 (en) 2016-08-19 2017-12-12 International Business Machines Corporation Nanosheet FET with wrap-around inner spacer
US9966456B1 (en) * 2016-11-08 2018-05-08 Globalfoundries Inc. Methods of forming gate electrodes on a vertical transistor device
US9984936B1 (en) 2017-07-17 2018-05-29 Globalfoundries Inc. Methods of forming an isolated nano-sheet transistor device and the resulting device
US10347751B2 (en) * 2017-08-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned epitaxy layer
US10943830B2 (en) 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices
DE112017008046T5 (de) * 2017-12-28 2020-06-18 Intel Corporation Pmos- und nmos-kontakte in einem gemeinsamen trench
US10424639B1 (en) 2018-04-19 2019-09-24 International Business Machines Corporation Nanosheet transistor with high-mobility channel
US10566248B1 (en) * 2018-07-27 2020-02-18 Globalfoundries Inc. Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US10804398B2 (en) * 2018-10-15 2020-10-13 Globalfoundries Inc. Method of forming wrap-around-contact and the resulting device
US11444171B2 (en) * 2019-03-06 2022-09-13 Intel Corporation Self-aligned gate endcap (SAGE) architecture having gate or contact plugs
US10950610B2 (en) * 2019-07-18 2021-03-16 Globalfoundries U.S. Inc. Asymmetric gate cut isolation for SRAM
US11114529B2 (en) * 2019-08-23 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around field-effect transistor device
US11482610B2 (en) * 2019-09-26 2022-10-25 Taiwan Semiconductor Manufacturing Co. Method of forming a gate structure
US11195746B2 (en) 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11222892B2 (en) * 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof

Also Published As

Publication number Publication date
US20220028729A1 (en) 2022-01-27
CN114946036A (zh) 2022-08-26
GB202211293D0 (en) 2022-09-14
AU2020423612A1 (en) 2022-06-23
WO2021144644A1 (en) 2021-07-22
GB2607481A (en) 2022-12-07
KR20220106992A (ko) 2022-08-01
US11195746B2 (en) 2021-12-07
US20210217654A1 (en) 2021-07-15
US11688626B2 (en) 2023-06-27
DE112020005273B4 (de) 2024-01-18
AU2020423612B2 (en) 2024-02-15
DE112020005273T5 (de) 2022-08-18

Similar Documents

Publication Publication Date Title
US10998234B2 (en) Nanosheet bottom isolation and source or drain epitaxial growth
US11869893B2 (en) Stacked field effect transistor with wrap-around contacts
CN113491014B (zh) 具有通过鳍状桥接区耦合的垂直堆叠的纳米片的晶体管沟道
US11637179B2 (en) Airgap vertical transistor without structural collapse
US10832954B2 (en) Forming a reliable wrap-around contact without source/drain sacrificial regions
US10923590B2 (en) Wrap-around contact for vertical field effect transistors
US11688626B2 (en) Nanosheet transistor with self-aligned dielectric pillar
US11646235B2 (en) Vertical tunneling field effect transistor with dual liner bottom spacer
US10749011B2 (en) Area selective cyclic deposition for VFET top spacer
JP2023526893A (ja) 非対称ゲート・スタックをもつナノシート・トランジスタ
US11031295B2 (en) Gate cap last for self-aligned contact
US11587837B2 (en) Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor
US11152464B1 (en) Self-aligned isolation for nanosheet transistor
US11201089B2 (en) Robust low-k bottom spacer for VFET
US11705504B2 (en) Stacked nanosheet transistor with defect free channel
US20230187514A1 (en) Co-integrating gate-all-around nanosheet transistors and comb nanosheet transistors
US20230085628A1 (en) Hybrid stacked field effect transistors
US10978571B2 (en) Self-aligned contact with metal-insulator transition materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230525