JP2023127323A - Method for measuring thickness of film, and processing apparatus - Google Patents

Method for measuring thickness of film, and processing apparatus Download PDF

Info

Publication number
JP2023127323A
JP2023127323A JP2022031041A JP2022031041A JP2023127323A JP 2023127323 A JP2023127323 A JP 2023127323A JP 2022031041 A JP2022031041 A JP 2022031041A JP 2022031041 A JP2022031041 A JP 2022031041A JP 2023127323 A JP2023127323 A JP 2023127323A
Authority
JP
Japan
Prior art keywords
light
substrate
film
thickness
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022031041A
Other languages
Japanese (ja)
Inventor
拓 後平
Taku Gohira
健治 永井
Kenji Nagai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2022031041A priority Critical patent/JP2023127323A/en
Publication of JP2023127323A publication Critical patent/JP2023127323A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

To provide a technique capable of measuring the thickness of a film provided on a substrate.SOLUTION: A method for measuring the thickness of a film comprises the steps of: emitting light to a substrate arranged in a chamber and including a surface having a film; calculating the temperature of the substrate on the basis of first light interference by light reflected from the front and rear surfaces of the substrate corresponding to the light emitted by the emitting step and the known thickness of the substrate; and calculating the thickness of the film on the basis of second light interference by light reflected from the front and rear surfaces corresponding to the light emitted by the emitting step and the calculated temperature of the substrate.SELECTED DRAWING: Figure 6

Description

本開示の例示的実施形態は、膜の厚さを測定する方法、及び、処理装置に関する。 Exemplary embodiments of the present disclosure relate to a method of measuring film thickness and a processing apparatus.

特許文献1は、光干渉システムを開示する。このシステムは、測定光を発生する光源と、コリメータと、光源とコリメータとを接続する光ファイバと、演算装置とを備える。コリメータは、測定光を平行光線に調整し、調整された測定光を測定対象物へ出射する。コリメータは、測定対象物からの反射光を取得する。演算装置は、反射光に基づいて測定対象物の厚さ又は温度を計測する。 Patent Document 1 discloses an optical interference system. This system includes a light source that generates measurement light, a collimator, an optical fiber that connects the light source and the collimator, and a calculation device. The collimator adjusts the measurement light into parallel light beams and emits the adjusted measurement light to the object to be measured. A collimator acquires reflected light from an object to be measured. The arithmetic device measures the thickness or temperature of the object to be measured based on the reflected light.

特開2013-242267号公報JP2013-242267A

本開示は、基板に設けられた膜の厚さを測定できる技術を提供する。 The present disclosure provides a technique that can measure the thickness of a film provided on a substrate.

一つの例示的実施形態において、膜の厚さを測定する方法が提供される。方法は、光を出射する工程、基板の温度を算出する工程、及び、膜の厚さを算出する工程を含む。光を出射する工程では、チャンバ内に配置され、膜が設けられた表面を有する基板に光を出射する。基板の温度を算出する工程では、出射する工程によって出射された光に応じて基板の表面及び裏面から反射された光による第1光干渉と、基板の既知の厚さとに基づいて、基板の温度を算出する。膜の厚さを算出する工程では、出射する工程によって出射された光に応じて膜の表面及び裏面から反射された光による第2光干渉と、算出された基板の温度とに基づいて、膜の厚さを算出する。 In one exemplary embodiment, a method of measuring membrane thickness is provided. The method includes the steps of emitting light, calculating the temperature of the substrate, and calculating the thickness of the film. In the step of emitting light, light is emitted to a substrate placed in a chamber and having a surface provided with a film. In the step of calculating the temperature of the substrate, the temperature of the substrate is calculated based on the first light interference caused by the light reflected from the front and back surfaces of the substrate according to the light emitted in the emitting step and the known thickness of the substrate. Calculate. In the step of calculating the thickness of the film, the thickness of the film is calculated based on the second light interference caused by the light reflected from the front and back surfaces of the film according to the light emitted in the emitting step and the calculated temperature of the substrate. Calculate the thickness of

一つの例示的実施形態によれば、基板に設けられた膜の厚さを測定できる。 According to one exemplary embodiment, the thickness of a film provided on a substrate can be measured.

一つの例示的実施形態に係る処理装置の縦断面構成を示す図である。1 is a diagram illustrating a longitudinal cross-sectional configuration of a processing device according to an exemplary embodiment; FIG. ウエハの反射光の一例を示す図である。FIG. 3 is a diagram showing an example of reflected light from a wafer. 反射光スペクトルをフーリエ変換して得られた信号の一例を示すグラフである。It is a graph showing an example of a signal obtained by Fourier transforming a reflected light spectrum. ウエハの反射光の他の例を示す図である。FIG. 7 is a diagram showing another example of reflected light from a wafer. ウエハの反射光のさらに他の例を示す図である。FIG. 7 is a diagram showing still another example of reflected light from a wafer. 膜の厚さを測定するフローチャートの一例である。This is an example of a flowchart for measuring the thickness of a film.

以下、種々の例示的実施形態について説明する。 Various exemplary embodiments are described below.

従来の光干渉システムは、光干渉の測定結果に基づいて測定対象物の厚みに対応する光路長を算出する。このシステムは、測定結果に基づく光路長と、温度と光路長との関係を示す既知のデータとに基づいて、測定対象物の温度を測定する。つまり、このシステムは、測定対象物の厚さが既知である場合に、測定対象物の温度を算出できる。そして、このシステムは、測定対象物の温度が既知である場合には、測定対象物の厚さを測定できる。 A conventional optical interference system calculates an optical path length corresponding to the thickness of an object to be measured based on optical interference measurement results. This system measures the temperature of the object to be measured based on the optical path length based on the measurement results and known data indicating the relationship between temperature and optical path length. In other words, this system can calculate the temperature of the object to be measured when the thickness of the object is known. This system can measure the thickness of the object if the temperature of the object is known.

半導体装置の構造の微細化、及び、品質向上に伴い、半導体装置の製造工程において、プロセス処理中の膜厚(エッチング量)などをリアルタイムに把握することが要請されている。プロセス処理中の膜は、厚さ及び温度が変化する。従来のシステムは、測定対象物の温度が既知でなければ、測定対象物の厚さを測定することができない。本開示は、基板に設けられた膜の厚さを測定できる技術を提供する。 2. Description of the Related Art With the miniaturization of semiconductor device structures and improvement in quality, there is a need to grasp film thickness (etching amount), etc. during processing in real time in the manufacturing process of semiconductor devices. Films undergo changes in thickness and temperature during processing. Conventional systems cannot measure the thickness of an object unless the temperature of the object is known. The present disclosure provides a technique that can measure the thickness of a film provided on a substrate.

一つの例示的実施形態において、膜の厚さを測定する方法が提供される。方法は、光を出射する工程、基板の温度を算出する工程、及び、膜の厚さを算出する工程を含む。光を出射する工程では、チャンバ内に配置され、膜が設けられた表面を有する基板に光を出射する。基板の温度を算出する工程では、出射する工程によって出射された光に応じて基板の表面及び裏面から反射された光による第1光干渉と、基板の既知の厚さとに基づいて、基板の温度を算出する。膜の厚さを算出する工程では、出射する工程によって出射された光に応じて膜の表面及び裏面から反射された光による第2光干渉と、算出された基板の温度とに基づいて、膜の厚さを算出する。 In one exemplary embodiment, a method of measuring membrane thickness is provided. The method includes the steps of emitting light, calculating the temperature of the substrate, and calculating the thickness of the film. In the step of emitting light, light is emitted to a substrate placed in a chamber and having a surface provided with a film. In the step of calculating the temperature of the substrate, the temperature of the substrate is calculated based on the first light interference caused by the light reflected from the front and back surfaces of the substrate according to the light emitted in the emitting step and the known thickness of the substrate. Calculate. In the step of calculating the thickness of the film, the thickness of the film is calculated based on the second light interference caused by the light reflected from the front and back surfaces of the film according to the light emitted in the emitting step and the calculated temperature of the substrate. Calculate the thickness of

この方法によれば、既知の厚さを有する基板の温度が光干渉を利用して測定される。そして、基板に設けられた膜の厚さが基板の温度に基づいて算出される。このように、測定された基板の温度が基板に設けられた膜の温度であるとみなされることにより、膜の温度が不明な場合であっても膜の厚さが算出される。これにより、プロセス処理中の基板であっても、基板の温度、及び、膜の厚さ、さらにはエッチング量が、同時にリアルタイムでモニタリングされ得る。 According to this method, the temperature of a substrate having a known thickness is measured using optical interference. Then, the thickness of the film provided on the substrate is calculated based on the temperature of the substrate. In this way, the measured temperature of the substrate is considered to be the temperature of the film provided on the substrate, so that even if the temperature of the film is unknown, the thickness of the film can be calculated. As a result, the temperature of the substrate, the thickness of the film, and even the amount of etching can be simultaneously monitored in real time even when the substrate is being processed.

一つの例示的実施形態において、第1光干渉を発生させる光が照射される基板の第1領域と、第2光干渉を発生させる光が照射される基板の第2領域とがオーバーラップしてもよい。この場合、第1領域と第2領域とが異なる領域である場合と比べて、測定された基板の温度と、基板に設けられた膜の温度との差分が小さくなる。よって、この方法によれば、第1領域と第2領域とが異なる領域である場合と比べて、基板に設けられた膜の厚さが正確に測定される。 In one exemplary embodiment, a first area of the substrate that is irradiated with the light that causes the first optical interference and a second area of the substrate that is irradiated with the light that causes the second optical interference overlap. Good too. In this case, the difference between the measured temperature of the substrate and the temperature of the film provided on the substrate is smaller than when the first region and the second region are different regions. Therefore, according to this method, the thickness of the film provided on the substrate can be measured more accurately than when the first region and the second region are different regions.

一つの例示的実施形態において、第1光干渉と第2光干渉とは同時に取得されてもよい。この場合、基板の温度の測定と膜の厚さの測定とが同時に実行され得る。よって、この方法によれば、第1光干渉と第2光干渉とが別々に取得される場合と比べて、基板に設けられた膜の厚さが短時間で測定される。 In one exemplary embodiment, the first optical interference and the second optical interference may be acquired simultaneously. In this case, the measurement of the temperature of the substrate and the measurement of the thickness of the film can be performed simultaneously. Therefore, according to this method, the thickness of the film provided on the substrate can be measured in a shorter time than when the first optical interference and the second optical interference are obtained separately.

一つの例示的実施形態において、出射する工程においては、プロセス処理中の基板に光を出射してもよい。この方法によれば、プロセス処理中の基板の温度、及び、膜の厚さ、さらにはエッチング量が、同時にリアルタイムでモニタリングされる。 In one exemplary embodiment, the step of emitting light may include emitting light to a substrate being processed. According to this method, the temperature of the substrate, the thickness of the film, and the amount of etching are simultaneously monitored in real time during processing.

一つの例示的実施形態において、出射する工程においては、基板の裏面へ光を出射してもよい。この方法によれば、基板の表面へ光が出射される場合と比べて、基板の表面に設けられた膜に影響されることなく第1干渉光が取得される。よって、この方法によれば、基板の表面へ光が出射される場合と比べて、基板に設けられた膜の厚さが正確に測定される。 In one exemplary embodiment, the step of emitting light may include emitting light to the back side of the substrate. According to this method, the first interference light is obtained without being influenced by the film provided on the surface of the substrate, compared to the case where the light is emitted to the surface of the substrate. Therefore, according to this method, the thickness of the film provided on the substrate can be measured more accurately than when light is emitted to the surface of the substrate.

さらに他の例示的実施形態において、処理装置が提供される。処理装置は、チャンバ、基板支持器、光源、少なくとも1つの光学素子、受光器、及び制御装置を備える。基板支持器は、チャンバに収容され、基板を支持するように構成される。光源は、光を発生するように構成される。少なくとも1つの光学素子は、光源に接続され、光源で発生した光を基板に向けて出射するとともに、基板において反射した戻り光を入射するように構成される。受光器は、少なくとも1つの光学素子に接続され、戻り光に応じた信号を出力するように構成される。制御装置は、受光器に接続される。制御装置は、光を出射する工程、基板の温度を算出する工程、及び、膜の厚さを算出する工程を実行するように構成される。光を出射する工程では、チャンバ内に配置され、膜が設けられた表面を有する基板に光を出射する。基板の温度を算出する工程では、出射する工程によって出射された光に応じて基板の表面及び裏面から反射された光による第1光干渉と、基板の既知の厚さとに基づいて、基板の温度を算出する。膜の厚さを算出する工程では、出射する工程によって出射された光に応じて膜の表面及び裏面から反射された光による第2光干渉と、算出された基板の温度とに基づいて、膜の厚さを算出する。 In yet another exemplary embodiment, a processing device is provided. The processing apparatus includes a chamber, a substrate support, a light source, at least one optical element, a light receiver, and a controller. A substrate support is housed in the chamber and configured to support a substrate. The light source is configured to generate light. The at least one optical element is connected to the light source and configured to emit light generated by the light source toward the substrate and to receive return light reflected from the substrate. The light receiver is connected to at least one optical element and configured to output a signal in response to the returned light. A control device is connected to the light receiver. The control device is configured to execute the steps of emitting light, calculating the temperature of the substrate, and calculating the thickness of the film. In the step of emitting light, light is emitted to a substrate placed in a chamber and having a surface provided with a film. In the step of calculating the temperature of the substrate, the temperature of the substrate is calculated based on the first light interference caused by the light reflected from the front and back surfaces of the substrate according to the light emitted in the emitting step and the known thickness of the substrate. Calculate. In the step of calculating the thickness of the film, the thickness of the film is calculated based on the second light interference caused by the light reflected from the front and back surfaces of the film according to the light emitted in the emitting step and the calculated temperature of the substrate. Calculate the thickness of

この処理装置は、上述した方法と同一の効果を奏する。 This processing device has the same effect as the method described above.

以下、図面を参照して、本開示の例示的実施形態について説明する。なお、以下の説明において、同一又は相当要素には同一符号を付し、重複する説明は繰り返さない。 Hereinafter, exemplary embodiments of the present disclosure will be described with reference to the drawings. In the following description, the same or equivalent elements are given the same reference numerals, and overlapping descriptions will not be repeated.

[処理装置の概要]
図1は、一つの例示的実施形態に係る処理装置10の縦断面構成を示す図である。図1に示されるように、処理装置10は、ウエハWを収容してプラズマにより処理するためのチャンバ本体12を備える。チャンバ本体12は、その内部空間をチャンバ12cとして提供する。チャンバ本体12は、接地される。チャンバ12cは、真空排気可能に構成される。
[Overview of processing equipment]
FIG. 1 is a diagram illustrating a longitudinal cross-sectional configuration of a processing device 10 according to one exemplary embodiment. As shown in FIG. 1, the processing apparatus 10 includes a chamber body 12 for accommodating a wafer W and processing it with plasma. The chamber body 12 provides its internal space as a chamber 12c. Chamber body 12 is grounded. The chamber 12c is configured to be evacuated.

チャンバ12c内、且つ、チャンバ本体12の底部上には、支持部14が設けられる。支持部14は、絶縁材料から構成される。支持部14は、略円筒形状を有する。支持部14は、チャンバ12c内において、チャンバ本体12の底部から上方に延在する。支持部14は、その上側部分において載置台16を支持する。 A support portion 14 is provided within the chamber 12c and on the bottom of the chamber body 12. The support portion 14 is made of an insulating material. The support portion 14 has a substantially cylindrical shape. The support portion 14 extends upward from the bottom of the chamber body 12 within the chamber 12c. The support portion 14 supports the mounting table 16 at its upper portion.

載置台16は、下部電極18及び静電チャック20(基板支持器の一例)を含む。下部電極18は、第1部材18a及び第2部材18bを含む。第1部材18a及び第2部材18bは、導電性材料から形成され、略円盤形状である。第2部材18bは、第1部材18a上に設けられ、第1部材18aに電気的に接続される。この下部電極18上に静電チャック20が設けられる。 The mounting table 16 includes a lower electrode 18 and an electrostatic chuck 20 (an example of a substrate supporter). The lower electrode 18 includes a first member 18a and a second member 18b. The first member 18a and the second member 18b are made of a conductive material and have a substantially disk shape. The second member 18b is provided on the first member 18a and electrically connected to the first member 18a. An electrostatic chuck 20 is provided on this lower electrode 18 .

静電チャック20は、チャンバ12cに収容され、その上に載置されたウエハW(基板の一例)及びエッジリングERを保持するように構成される。静電チャック20は、円盤形状の絶縁層、及び、当該絶縁層内に設けられた膜状の電極を有する。静電チャック20の電極には、直流電源22が電気的に接続される。静電チャック20は、直流電源22からの直流電圧により生じた静電力によりウエハWを吸着する。 The electrostatic chuck 20 is accommodated in the chamber 12c and is configured to hold a wafer W (an example of a substrate) and an edge ring ER placed thereon. The electrostatic chuck 20 has a disc-shaped insulating layer and a film-like electrode provided within the insulating layer. A DC power supply 22 is electrically connected to the electrodes of the electrostatic chuck 20 . The electrostatic chuck 20 attracts the wafer W by electrostatic force generated by a DC voltage from a DC power supply 22 .

下部電極18の周縁部上には、ウエハWのエッジの周囲を囲むようにエッジリングERが配置されている。エッジリングERは、環状部材である。エッジリングERは、ウエハWのプラズマ処理の面内均一性を向上させるために設けられる。エッジリングERは、ウエハWとともに静電チャック20に吸着される。エッジリングERは、メンテナンス時に載置台16上から取り外され、新たなエッジリングERに交換され得る。エッジリングERは、図示しないリフトピンで上昇されて取り外されてもよい。 An edge ring ER is arranged on the peripheral edge of the lower electrode 18 so as to surround the edge of the wafer W. Edge ring ER is an annular member. The edge ring ER is provided to improve the in-plane uniformity of plasma processing on the wafer W. The edge ring ER is attracted to the electrostatic chuck 20 together with the wafer W. The edge ring ER can be removed from the mounting table 16 during maintenance and replaced with a new edge ring ER. The edge ring ER may be lifted and removed using a lift pin (not shown).

静電チャック20には、下方から上方に延びる貫通孔が形成されている。リフトピン61は、貫通孔内に上下動可能に設けられている。リフトピン61は、リフト駆動機構60により上下駆動される。リフトピン61が上昇するとき、リフトピン61の先端がウエハWを支持し、ウエハWを押し上げることによって、ウエハWが上昇する。リフトピン61は、リフト駆動機構60によって、搬送装置の搬送ハンドからウエハWを受け取り、載置台16に載置するとともに、ウエハWを載置台16より持ち上げて搬送ハンドに受け渡す。 The electrostatic chuck 20 has a through hole extending from the bottom to the top. The lift pin 61 is provided within the through hole so as to be movable up and down. The lift pin 61 is driven up and down by the lift drive mechanism 60. When the lift pins 61 rise, the tips of the lift pins 61 support the wafer W and push the wafer W upward, thereby causing the wafer W to rise. The lift pin 61 receives the wafer W from the transfer hand of the transfer device by the lift drive mechanism 60, places it on the mounting table 16, lifts the wafer W from the mounting table 16, and transfers it to the transfer hand.

処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャック20の上面とウエハWの裏面との間に供給する。 The processing device 10 is provided with a gas supply line 28 . The gas supply line 28 supplies a heat transfer gas, for example, He gas, from a heat transfer gas supply mechanism between the top surface of the electrostatic chuck 20 and the back surface of the wafer W.

処理装置10は、上部電極として機能する対向電極30を更に備える。対向電極30は、載置台16の上方において、当該載置台16と対面するように配置される。対向電極30は、所謂シャワーヘッドによって構成されており、載置台16上に載置されたウエハWに対して、シャワー状に所定の処理ガスを供給できるように構成される。対向電極30は、絶縁性の部材32を介して、チャンバ本体12の上部に支持される。この対向電極30は、天板34及び支持体36を含み得る。天板34は、チャンバ12cに面している。天板34には、複数のガス吐出孔34aが形成される。 The processing device 10 further includes a counter electrode 30 that functions as an upper electrode. The counter electrode 30 is arranged above the mounting table 16 so as to face the mounting table 16 . The counter electrode 30 is configured as a so-called shower head, and is configured to be able to supply a predetermined processing gas in the form of a shower to the wafer W placed on the mounting table 16. The counter electrode 30 is supported on the upper part of the chamber body 12 via an insulating member 32. This counter electrode 30 may include a top plate 34 and a support 36. The top plate 34 faces the chamber 12c. A plurality of gas discharge holes 34a are formed in the top plate 34.

支持体36は、天板34を着脱自在に支持し、導体で形成される。支持体36の内部には、ガス拡散室36aが設けられる。このガス拡散室36aからは、複数のガス吐出孔34aにそれぞれ連通する複数の孔36bが下方に延びている。また、支持体36には、ガス拡散室36aに処理ガスを導くポート36cが形成され、このポート36cには、配管38が接続される。 The support body 36 detachably supports the top plate 34 and is made of a conductor. A gas diffusion chamber 36a is provided inside the support body 36. A plurality of holes 36b extend downward from the gas diffusion chamber 36a, each communicating with a plurality of gas discharge holes 34a. Further, a port 36c is formed in the support body 36 to guide the processing gas to the gas diffusion chamber 36a, and a pipe 38 is connected to this port 36c.

チャンバ本体12には、排気口12eが設けられる。排気口12eには、排気管52を介して排気装置50が接続される。排気装置50は、真空ポンプを有する。排気装置50は、チャンバ12cの圧力を減圧することができる。また、チャンバ本体12の側壁には、ウエハWの搬入又は搬出のための開口12pが設けられる。この開口12pは、ゲートバルブGVにより開閉可能である。 The chamber body 12 is provided with an exhaust port 12e. An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52. The exhaust device 50 has a vacuum pump. The exhaust device 50 can reduce the pressure in the chamber 12c. Furthermore, an opening 12p for loading or unloading the wafer W is provided in the side wall of the chamber body 12. This opening 12p can be opened and closed by a gate valve GV.

処理装置10は、第1高周波電源62及び第2高周波電源64を更に備える。第1高周波電源62は、プラズマ生成用の第1の高周波を発生する電源である。第1の高周波の周波数は、27~100MHzの周波数であり、一例においては40MHzの周波数である。第1高周波電源62は、整合器66を介して下部電極18に接続される。整合器66は、第1高周波電源62の出力インピーダンスと負荷側(下部電極18側)の入力インピーダンスを整合させるための回路を有する。なお、第1高周波電源62は、整合器66を介して、対向電極30に接続されてもよい。 The processing device 10 further includes a first high frequency power source 62 and a second high frequency power source 64. The first high frequency power source 62 is a power source that generates a first high frequency wave for plasma generation. The frequency of the first high frequency is a frequency of 27 to 100 MHz, and in one example is a frequency of 40 MHz. The first high frequency power source 62 is connected to the lower electrode 18 via a matching box 66. The matching box 66 has a circuit for matching the output impedance of the first high frequency power supply 62 and the input impedance on the load side (lower electrode 18 side). Note that the first high frequency power source 62 may be connected to the counter electrode 30 via a matching box 66.

第2高周波電源64は、ウエハWにイオンを引き込むための第2の高周波を発生する電源である。第2の高周波の周波数は、400kHz~13.56MHzの範囲内の周波数であり、一例においては3MHzの周波数である。第2高周波電源64は、整合器68を介して下部電極18に接続される。整合器68は、第2高周波電源64の出力インピーダンスと負荷側(下部電極18側)の入力インピーダンスを整合させるための回路を有する。 The second high frequency power source 64 is a power source that generates a second high frequency wave for drawing ions into the wafer W. The frequency of the second radio frequency is within the range of 400 kHz to 13.56 MHz, and in one example is a frequency of 3 MHz. The second high frequency power supply 64 is connected to the lower electrode 18 via a matching box 68. The matching box 68 has a circuit for matching the output impedance of the second high-frequency power supply 64 and the input impedance on the load side (lower electrode 18 side).

処理装置10は、直流電源部69を更に備え得る。直流電源部69は、対向電極30に接続されている。直流電源部69は、負の直流電圧を発生し、当該直流電圧を対向電極30に与えることが可能である。 The processing device 10 may further include a DC power supply section 69. The DC power supply section 69 is connected to the counter electrode 30. The DC power supply unit 69 is capable of generating a negative DC voltage and applying the DC voltage to the counter electrode 30 .

処理装置10は、制御部CUを更に備え得る。この制御部CUは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータである。制御部CUは、システム制御装置MCと通信可能に構成され、処理装置10の各部を制御する。この制御部CUでは、入力装置を用いて、オペレータが処理装置10を管理するためにコマンドの入力操作などを行うことができる。また、表示装置により、処理装置10の稼働状況を表示することができる。さらに、制御部CUの記憶部には、処理装置10で実行される各種処理をプロセッサにより制御するための制御プログラム、及び、レシピデータが格納されている。例えば、制御部CUの記憶部には、後述する方法を処理装置10で実行するための制御プログラム及びレシピデータが記憶されている。 The processing device 10 may further include a control unit CU. This control unit CU is a computer including a processor, a storage unit, an input device, a display device, and the like. The control unit CU is configured to be able to communicate with the system control device MC, and controls each section of the processing device 10. In the control unit CU, an operator can input commands to manage the processing device 10 using an input device. Further, the operating status of the processing device 10 can be displayed by the display device. Furthermore, the storage unit of the control unit CU stores a control program for controlling various processes executed by the processing device 10 by the processor, and recipe data. For example, the storage unit of the control unit CU stores a control program and recipe data for the processing device 10 to execute a method to be described later.

処理装置10は、光干渉システム7を備える。光干渉システム7は、光源70、光サーキュレータ71、フォーカサ72(光学素子の一例)、及び、分光器73(受光器の一例)を備える。分光器73は制御装置74と通信可能に接続される。制御装置74は、プロセッサ、メモリといった記憶装置、表示装置、入出力装置、通信装置等を備えるコンピュータであり得る。後述する光干渉システム7の一連の動作は、記憶装置に記憶されたプログラムに従った制御装置74による光干渉システム7の各部の制御により、実現される。制御装置74は、制御部CUと一体であってもよい。なお、光源70、光サーキュレータ71、フォーカサ72、及び、分光器73のそれぞれは、光ファイバを用いて接続される。 The processing device 10 includes an optical interference system 7 . The optical interference system 7 includes a light source 70, an optical circulator 71, a focuser 72 (an example of an optical element), and a spectrometer 73 (an example of a light receiver). Spectrometer 73 is communicably connected to control device 74 . The control device 74 may be a computer including a processor, a storage device such as a memory, a display device, an input/output device, a communication device, and the like. A series of operations of the optical interference system 7, which will be described later, are realized by controlling each part of the optical interference system 7 by the control device 74 according to a program stored in a storage device. The control device 74 may be integrated with the control unit CU. Note that each of the light source 70, optical circulator 71, focuser 72, and spectrometer 73 is connected using an optical fiber.

光源70は、計測対象を透過する波長を有する測定光を発生する。光源70として、例えばSLD(Super Luminescent Diode)が用いられる。計測対象は、例えば板状を呈し、表面及び表面に対向する裏面を有している。計測対象とするウエハWは、例えばSi(シリコン)、SiO(石英)又はAl(サファイア)で形成される。 The light source 70 generates measurement light having a wavelength that passes through the measurement target. As the light source 70, for example, an SLD (Super Luminescent Diode) is used. The measurement object has a plate shape, for example, and has a front surface and a back surface opposite to the front surface. The wafer W to be measured is made of, for example, Si (silicon), SiO 2 (quartz), or Al 2 O 3 (sapphire).

光サーキュレータ71は、光源70、フォーカサ72及び分光器73に接続される。光サーキュレータ71は、光源70で発生した測定光をフォーカサ72へ伝播する。フォーカサ72は、載置台16の下方に配置され、貫通孔12q及び窓16a(光導入路の一例)を介して測定光をチャンバ12cへ照射する。フォーカサ72には、フォーカサ72を水平方向に移動させるアクチュエータが接続されてもよい。アクチュエータは、電気的に制御可能な駆動機構であり、例えばステッピングモータなどである。載置台16には、上述した光導入路と同一構成の光導入路が複数設けられてもよい。この場合、それぞれの光導入路には、対応するフォーカサが配置される。このように、載置台16の下方には、窓、貫通孔及びフォーカサのセットが複数形成されてもよい。フォーカサ72は、それぞれ平行光線として調整された測定光を出射する。そして、フォーカサ72は、ウエハWにおいて反射した戻り光(反射光)を入射する。反射光には、表面の反射光だけでなく裏面の反射光が含まれる。ウエハWに膜が設けられている場合には、反射光には、膜の表面及び裏面の反射光も含まれる。 Optical circulator 71 is connected to light source 70, focuser 72, and spectrometer 73. Optical circulator 71 propagates measurement light generated by light source 70 to focuser 72 . The focuser 72 is arranged below the mounting table 16, and irradiates the chamber 12c with measurement light through the through hole 12q and the window 16a (an example of a light introduction path). An actuator that moves the focuser 72 in the horizontal direction may be connected to the focuser 72. The actuator is an electrically controllable drive mechanism, such as a stepping motor. The mounting table 16 may be provided with a plurality of light introduction paths having the same configuration as the light introduction path described above. In this case, a corresponding focuser is arranged in each light introduction path. In this way, a plurality of sets of windows, through holes, and focusers may be formed below the mounting table 16. The focusers 72 each emit measurement light adjusted as parallel light beams. Then, the return light (reflected light) reflected from the wafer W enters the focuser 72 . The reflected light includes not only the light reflected from the front surface but also the light reflected from the back surface. When a film is provided on the wafer W, the reflected light includes light reflected from the front and back surfaces of the film.

図2は、ウエハの反射光の一例を示す図である。図2に示される例では、ウエハWの上に膜L1及びマスクMAが順に積層された積層体へ光が出射される。フォーカサ72は、ウエハWの裏面に向けて光を出射する。フォーカサ72は、膜L1のエッチング領域に対応するウエハWの領域RLに光を出射し得る。この場合、フォーカサ72は、ウエハWの裏面における反射光AとウエハWの表面における反射光Bとを入射する。フォーカサ72は、膜L1が設けられていないウエハWの領域RWに光を出射してもよい。この場合、フォーカサ72は、ウエハWの裏面における反射光CとウエハWの表面における反射光Dとを入射する。フォーカサ72は、マスクMAに対応するウエハWの領域RMに光を出射してもよい。このように、フォーカサ72は、ウエハWのいずれの領域に光を出射してもよい。なお、ウエハWの屈折率をn、厚さをdとすると、反射光Aと反射光Bとの光路長差は2ndとなる。反射光Cと反射光Dとの光路長差も同様に2ndとなる。 FIG. 2 is a diagram showing an example of reflected light from a wafer. In the example shown in FIG. 2, light is emitted to a stacked body in which a film L1 and a mask MA are sequentially stacked on a wafer W. Focuser 72 emits light toward the back surface of wafer W. Focuser 72 can emit light to region RL of wafer W corresponding to the etched region of film L1. In this case, the focuser 72 receives reflected light A from the back surface of the wafer W and reflected light B from the front surface of the wafer W. Focuser 72 may emit light to region RW of wafer W where film L1 is not provided. In this case, the focuser 72 receives reflected light C from the back surface of the wafer W and reflected light D from the front surface of the wafer W. Focuser 72 may emit light to region RM of wafer W corresponding to mask MA. In this way, the focuser 72 may emit light to any region of the wafer W. Note that when the refractive index of the wafer W is n and the thickness is d, the optical path length difference between the reflected light A and the reflected light B is 2nd. Similarly, the difference in optical path length between reflected light C and reflected light D is 2nd.

フォーカサ72は、反射光を光サーキュレータ71へ伝播する。光サーキュレータ71は、反射光を分光器73へ伝播する。分光器73は、反射光に応じた信号を出力するように構成される。より具体的な一例として、分光器73は、反射光スペクトル(第1光干渉の一例)を出力する。反射光スペクトルは、反射光の波長又は周波数に依存した強度分布である。分光器73は、反射光スペクトルを制御装置74へ出力する。制御装置74は、反射光スペクトルをフーリエ変換することで、2nd周期で強め合う信号を取得する。図3は、反射光スペクトルをフーリエ変換して得られた信号の一例を示すグラフである。横軸は位置x、縦軸が強度(振幅)である。制御装置74は、ウエハWの厚さdと、ウエハWにおけるndの温度依存性のデータとを予め記憶部に記憶している。制御装置74は、測定して得られたndと、記憶部に記憶されたndの温度依存性のデータと、に基づいて、ウエハWの温度を算出する。 Focuser 72 propagates the reflected light to optical circulator 71 . Optical circulator 71 propagates the reflected light to spectroscope 73 . The spectrometer 73 is configured to output a signal according to the reflected light. As a more specific example, the spectrometer 73 outputs a reflected light spectrum (an example of first light interference). The reflected light spectrum is an intensity distribution that depends on the wavelength or frequency of the reflected light. Spectrometer 73 outputs the reflected light spectrum to control device 74 . The control device 74 obtains signals that strengthen each other in the 2nd period by Fourier transforming the reflected light spectrum. FIG. 3 is a graph showing an example of a signal obtained by Fourier transforming a reflected light spectrum. The horizontal axis is the position x, and the vertical axis is the intensity (amplitude). The control device 74 stores in advance the thickness d of the wafer W and data on the temperature dependence of nd on the wafer W in a storage unit. The control device 74 calculates the temperature of the wafer W based on the measured nd and the temperature dependence data of the nd stored in the storage unit.

上述した手法は、ウエハWだけでなく、膜L1の膜厚測定にも適用することができる。図4は、ウエハの反射光の他の例を示す図である。図4に示される例では、ウエハWの上に膜L1及びマスクMAが順に積層された積層体へ光が出射される。フォーカサ72は、ウエハWの領域RMへ光を出射してもよい。この場合、フォーカサ72は、膜L1の裏面における反射光Eと膜L1の表面における反射光Fとを入射する。フォーカサ72は、ウエハWの領域RLへ光を出射してもよい。この場合、フォーカサ72は、膜L1の裏面における反射光Gと膜L1のエッチングされている表面における反射光Hとを入射する。ウエハWの測定と同様に、膜L1の屈折率をn、イニシャルの厚さをd1、残存する厚さをd2とすると、反射光Eと反射光Fとの光路長差は2nd1となる。反射光Gと反射光Hとの光路長差は2nd2となる。分光器73は、反射光スペクトル(第2光干渉の一例)を出力する。制御装置74は、反射光スペクトルをフーリエ変換することで、所定周期で強め合う信号を取得し、厚さ又は温度を算出する。 The method described above can be applied not only to the wafer W but also to the film thickness measurement of the film L1. FIG. 4 is a diagram showing another example of reflected light from a wafer. In the example shown in FIG. 4, light is emitted to a stacked body in which a film L1 and a mask MA are sequentially stacked on a wafer W. Focuser 72 may emit light to region RM of wafer W. In this case, the focuser 72 receives reflected light E on the back surface of the film L1 and reflected light F on the front surface of the film L1. Focuser 72 may emit light to region RL of wafer W. In this case, the focuser 72 receives reflected light G from the back surface of the film L1 and reflected light H from the etched surface of the film L1. As in the measurement of the wafer W, if the refractive index of the film L1 is n r , the initial thickness is d1, and the remaining thickness is d2, the optical path length difference between the reflected light E and the reflected light F is 2n r d1. Become. The optical path length difference between the reflected light G and the reflected light H is 2n r d2. The spectrometer 73 outputs a reflected light spectrum (an example of second light interference). The control device 74 obtains signals that strengthen each other at a predetermined period by Fourier transforming the reflected light spectrum, and calculates the thickness or temperature.

なお、エッチングなどのプロセス処理中においては、膜L1の厚さd2は変数となる。このため、制御装置74は、膜L1の温度を算出又は取得し、それから膜L1の厚さd2を算出する。膜L1の温度は、光干渉によって算出されたウエハWの温度としてもよい。例えば、膜L1の測定したい箇所を含む領域とオーバーラップしたウエハWの領域の温度が採用され得る。つまり、図2の反射光C及び反射光Dよりも、反射光A及び反射光Bが採用される。この場合、膜L1とウエハWの温度との差分が大きくなることが回避される。なお、図2の反射光A及び反射光Bと、図4の反射光G及び反射光Hとは、一度の光で同時に測定可能である。膜L1の温度は、既知のイニシャルの厚さd1に基づいて、反射光Eと反射光Fとによる反射光スペクトルから算出されてもよい。膜L1の温度が決定すると、膜L1の厚さd2を反射光スペクトルから算出することができる。また、イニシャルの厚さd1とエッチング中の残存厚さd2とに基づいて、エッチング量d3を算出することも可能である。 Note that during a process such as etching, the thickness d2 of the film L1 becomes a variable. For this reason, the control device 74 calculates or obtains the temperature of the film L1, and then calculates the thickness d2 of the film L1. The temperature of the film L1 may be the temperature of the wafer W calculated by optical interference. For example, the temperature of a region of the wafer W that overlaps the region of the film L1 that includes the location to be measured may be used. That is, reflected light A and reflected light B are employed rather than reflected light C and reflected light D in FIG. In this case, the difference in temperature between the film L1 and the wafer W is prevented from becoming large. Note that reflected light A and reflected light B in FIG. 2 and reflected light G and reflected light H in FIG. 4 can be measured simultaneously with one light beam. The temperature of the film L1 may be calculated from the reflected light spectrum of the reflected light E and the reflected light F based on the known initial thickness d1. Once the temperature of the film L1 is determined, the thickness d2 of the film L1 can be calculated from the reflected light spectrum. It is also possible to calculate the etching amount d3 based on the initial thickness d1 and the remaining thickness d2 during etching.

光干渉システム7による測定は、プラズマによるエッチング中だけでなく、他のプロセス処理中にも実行可能である。例えば、光干渉システム7による測定は、プラズマを使わないウェットエッチング中又はガスによるエッチング中においても実行可能である。あるいは、光干渉システム7による測定は、エッチング中だけではなく、CMP(Chemical Mechanical Polishing)工程中、又は成膜中においても実行可能である。成膜は、プラズマを使用する成膜だけでなく、プラズマを使用しない成膜も含む。例えば、光干渉システム7は、成膜中の膜の厚さを測定することもできる。図5は、ウエハの反射光のさらに他の例を示す図である。図5に示される例では、ウエハWの上に膜L2が順に積層された積層体へ光が出射される。フォーカサ72は、成膜されたウエハWの領域RLへ光を出射してもよい。この場合、フォーカサ72は、膜L2の裏面における反射光Jと膜L2の表面における反射光Kとを入射する。膜L2の厚さの算出手法は、上述した膜L1の厚さの算出手法と同一である。このように、光干渉システム7は、成膜中であっても厚さをリアルタイムで測定できる。 Measurements by the optical interference system 7 can be carried out not only during plasma etching but also during other processes. For example, measurements by the optical interference system 7 can also be performed during wet etching without plasma or during etching with gas. Alternatively, the measurement by the optical interference system 7 can be performed not only during etching but also during a CMP (Chemical Mechanical Polishing) process or during film formation. Film formation includes not only film formation using plasma but also film formation not using plasma. For example, the optical interference system 7 can also measure the thickness of a film being deposited. FIG. 5 is a diagram showing still another example of reflected light from a wafer. In the example shown in FIG. 5, light is emitted to a stacked body in which films L2 are sequentially stacked on a wafer W. Focuser 72 may emit light to region RL of wafer W on which a film has been formed. In this case, the focuser 72 receives reflected light J from the back surface of the film L2 and reflected light K from the front surface of the film L2. The method for calculating the thickness of the film L2 is the same as the method for calculating the thickness of the film L1 described above. In this way, the optical interference system 7 can measure the thickness in real time even during film formation.

[制御装置の動作]
図6は、膜の厚さを測定するフローチャートの一例である。図6に示されるフローチャートは、例えば作業員の開始指示に従って実行される。
[Operation of control device]
FIG. 6 is an example of a flowchart for measuring the thickness of a film. The flowchart shown in FIG. 6 is executed, for example, according to a start instruction from a worker.

最初に、制御装置74は、光を照射する工程(ステップS10)を実行する。制御装置74は、ウエハWの裏面へ光を照射する。続いて、制御装置74は、基板の温度を算出する工程(ステップS12)を実行する。制御装置74は、ウエハWの既知の厚さdと、ndの既知の温度依存性と、ウエハWの表裏面の反射光スペクトルから算出されるndとに基づいて、ウエハWの温度を算出する。続いて、制御装置74は、膜の厚さを算出する工程(ステップS14)を実行する。制御装置74は、算出されたウエハWの温度と、ndの既知の温度依存性と、膜の表裏面の反射光スペクトルから算出されるndとに基づいて、膜の厚さを算出する。 First, the control device 74 executes a step of irradiating light (step S10). The control device 74 irradiates the back surface of the wafer W with light. Subsequently, the control device 74 executes a step of calculating the temperature of the substrate (step S12). The control device 74 calculates the temperature of the wafer W based on the known thickness d of the wafer W, the known temperature dependence of nd, and nd calculated from the reflected light spectra of the front and back surfaces of the wafer W. . Subsequently, the control device 74 executes a step of calculating the thickness of the film (step S14). The control device 74 calculates the thickness of the film based on the calculated temperature of the wafer W, the known temperature dependence of nd, and nd calculated from the reflected light spectra of the front and back surfaces of the film.

膜の厚さを算出する工程(ステップS14)が終了した場合、図6に示されるフローチャートは終了する。図6に示されるフローチャートが実行されることで、処理装置10は、リアルタイムでウエハWの温度及びウエハWに設けられた膜の厚さを測定できる。 When the process of calculating the film thickness (step S14) is completed, the flowchart shown in FIG. 6 is completed. By executing the flowchart shown in FIG. 6, the processing apparatus 10 can measure the temperature of the wafer W and the thickness of the film provided on the wafer W in real time.

以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。 Although various exemplary embodiments have been described above, various omissions, substitutions, and changes may be made without being limited to the exemplary embodiments described above. Also, elements from different embodiments may be combined to form other embodiments.

例えば、処理装置10は、容量結合型のプラズマ処理装置であるが、別の実施形態に係る処理装置は、異なるタイプのプラズマ処理装置であってもよい。そのようなプラズマ処理装置は、任意のタイプのプラズマ処理装置であり得る。そのようなプラズマ処理装置としては、誘導結合型のプラズマ処理装置、マイクロ波といった表面波によってプラズマを生成するプラズマ処理装置が例示される。処理装置10は、プラズマを使わない処理装置(ウェットエッチング又はガスによるエッチング)、研磨装置、又は成膜装置(プラズマを使用する成膜だけでなく、プラズマを使用しない成膜も含む)であってもよい。 For example, the processing apparatus 10 is a capacitively coupled plasma processing apparatus, but the processing apparatus according to another embodiment may be a different type of plasma processing apparatus. Such plasma processing equipment may be any type of plasma processing equipment. Examples of such plasma processing apparatuses include inductively coupled plasma processing apparatuses and plasma processing apparatuses that generate plasma using surface waves such as microwaves. The processing device 10 is a processing device that does not use plasma (wet etching or gas etching), a polishing device, or a film forming device (including not only film forming using plasma but also film forming not using plasma). Good too.

また、処理装置10は、下部電極18に2系統の高周波電源が接続され、対向電極30に直流電源が接続される例を示したが、これに限定されない。例えば、処理装置10は、対向電極30は備えていなくてもよい。例えば、処理装置10は、下部電極18及び対向電極30に高周波電源が接続されてもよい。処理装置10は、プラズマを使わない場合には、プラズマを生成するための機器を備える必要はない。例えば、ガスによる処理装置は、ガス源及び流量制御装置などを含む。例えば、研磨装置は、回転する研磨パッドなどを含む。 Moreover, although the processing apparatus 10 has shown an example in which two systems of high-frequency power sources are connected to the lower electrode 18 and a DC power source is connected to the counter electrode 30, the present invention is not limited to this. For example, the processing device 10 does not need to include the counter electrode 30. For example, in the processing device 10, a high frequency power source may be connected to the lower electrode 18 and the counter electrode 30. The processing apparatus 10 does not need to include equipment for generating plasma if plasma is not used. For example, a gas treatment device includes a gas source, a flow rate control device, and the like. For example, a polishing device includes a rotating polishing pad or the like.

また、処理装置10は基板を支持する機器として静電チャックを有する例を示したが、これに限定されない。例えば、処理装置は、クランプ等で基板を載置台に固定してもよいし、基板の裏面を真空引きすることで基板を載置台に固定してもよいし、あるいは、基板を載置台に載置するだけでもよい。 Further, although an example has been shown in which the processing apparatus 10 has an electrostatic chuck as a device for supporting the substrate, the present invention is not limited to this. For example, the processing device may fix the substrate to the mounting table using a clamp or the like, may fix the substrate to the mounting table by vacuuming the back side of the substrate, or may fix the substrate to the mounting table by placing the substrate on the mounting table. You can just leave it there.

以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。 From the foregoing description, it will be understood that various embodiments of the disclosure are described herein for purposes of illustration and that various changes may be made without departing from the scope and spirit of the disclosure. Will. Therefore, the various embodiments disclosed herein are not intended to be limiting, with the true scope and spirit being indicated by the following claims.

10…処理装置、12c…チャンバ、20…静電チャック、70…光源、72…フォーカサ(光学素子の一例)、73…分光器(受光器の一例)、74…制御装置。

DESCRIPTION OF SYMBOLS 10... Processing device, 12c... Chamber, 20... Electrostatic chuck, 70... Light source, 72... Focuser (an example of an optical element), 73... Spectrometer (an example of a light receiver), 74... Control device.

Claims (6)

チャンバ内に配置され、膜が設けられた表面を有する基板に光を出射する工程と、
前記出射する工程によって出射された光に応じて前記基板の表面及び裏面から反射された光による第1光干渉と、前記基板の既知の厚さとに基づいて、前記基板の温度を算出する工程と、
前記出射する工程によって出射された光に応じて前記膜の表面及び裏面から反射された光による第2光干渉と、前記算出された前記基板の温度とに基づいて、前記膜の厚さを算出する工程と、
を含む、膜の厚さを測定する方法。
emitting light to a substrate disposed within a chamber and having a surface provided with a film;
calculating the temperature of the substrate based on first light interference caused by light reflected from the front and back surfaces of the substrate according to the light emitted in the emitting step and a known thickness of the substrate; ,
Calculating the thickness of the film based on second light interference caused by light reflected from the front and back surfaces of the film according to the light emitted in the emitting step and the calculated temperature of the substrate. The process of
Methods of measuring membrane thickness, including:
前記第1光干渉を発生させる光が照射される前記基板の第1領域と、前記第2光干渉を発生させる光が照射される前記基板の第2領域とがオーバーラップする、請求項1に記載の方法。 2. The method according to claim 1, wherein a first area of the substrate irradiated with the light that causes the first optical interference and a second area of the substrate that is irradiated with the light that causes the second optical interference overlap. Method described. 前記第1光干渉と前記第2光干渉とは同時に取得される、請求項1又は2に記載の方法。 The method according to claim 1 or 2, wherein the first optical interference and the second optical interference are obtained simultaneously. 前記出射する工程においては、プロセス処理中の前記基板に光を出射する、請求項1~3の何れか一項に記載の方法。 4. The method according to claim 1, wherein in the step of emitting light, the light is emitted to the substrate undergoing processing. 前記出射する工程においては、前記基板の裏面へ光を出射する、請求項1~4の何れか一項に記載の方法。 5. The method according to claim 1, wherein in the step of emitting light, the light is emitted to the back surface of the substrate. チャンバと、
前記チャンバに収容され、基板を支持するように構成される基板支持器と、
光を発生するように構成される光源と、
前記光源に接続され、前記光源で発生した前記光を前記基板に向けて出射するとともに、前記基板において反射した戻り光を入射するように構成される少なくとも1つの光学素子と、
前記少なくとも1つの光学素子に接続され、前記戻り光に応じた信号を出力するように構成される受光器と、
前記受光器に接続された制御装置と、
を備え、
前記制御装置は、
チャンバ内に配置され、膜が設けられた表面を有する基板に光を出射する工程と、
前記出射する工程によって出射された光に応じて前記基板の表面及び裏面から反射された光による第1光干渉と、前記基板の既知の厚さとに基づいて、前記基板の温度を算出する工程と、
前記出射する工程によって出射された光に応じて前記膜の表面及び裏面から反射された光による第2光干渉と、前記算出された前記基板の温度とに基づいて、前記膜の厚さを算出する工程と、
を実行するように構成される、処理装置。

a chamber;
a substrate support housed in the chamber and configured to support a substrate;
a light source configured to generate light;
at least one optical element connected to the light source and configured to emit the light generated by the light source toward the substrate and to input return light reflected at the substrate;
a light receiver connected to the at least one optical element and configured to output a signal according to the returned light;
a control device connected to the light receiver;
Equipped with
The control device includes:
emitting light to a substrate disposed within a chamber and having a surface provided with a film;
calculating the temperature of the substrate based on first light interference caused by light reflected from the front and back surfaces of the substrate according to the light emitted in the emitting step and a known thickness of the substrate; ,
Calculating the thickness of the film based on second light interference caused by light reflected from the front and back surfaces of the film according to the light emitted in the emitting step and the calculated temperature of the substrate. The process of
A processing device configured to perform.

JP2022031041A 2022-03-01 2022-03-01 Method for measuring thickness of film, and processing apparatus Pending JP2023127323A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022031041A JP2023127323A (en) 2022-03-01 2022-03-01 Method for measuring thickness of film, and processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022031041A JP2023127323A (en) 2022-03-01 2022-03-01 Method for measuring thickness of film, and processing apparatus

Publications (1)

Publication Number Publication Date
JP2023127323A true JP2023127323A (en) 2023-09-13

Family

ID=87971576

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022031041A Pending JP2023127323A (en) 2022-03-01 2022-03-01 Method for measuring thickness of film, and processing apparatus

Country Status (1)

Country Link
JP (1) JP2023127323A (en)

Similar Documents

Publication Publication Date Title
US20230197501A1 (en) Plasma processing apparatus
TWI769237B (en) Position detecting system and processing apparatus
US8486221B2 (en) Focus ring heating method, plasma etching apparatus, and plasma etching method
JP2019186400A (en) Plasma processing apparatus, plasma control method, and plasma control program
WO2013114870A1 (en) Plasma processing device, and plasma processing method
US11393663B2 (en) Methods and systems for focus ring thickness determinations and feedback control
US10825662B2 (en) Method for driving member and processing apparatus
JP2018107202A (en) Plasma processing apparatus and plasma control method
KR101290676B1 (en) Plasma processing apparatus and plasma processing method
JP2014187402A (en) Plasma etching apparatus, and plasma etching method
JP2020092036A (en) Control method and plasma processing apparatus
US9812996B2 (en) Method for calculating distance, method for neutralizing electrostatic chuck, and processing apparatus
KR20210156199A (en) Film forming method and film forming apparatus
JP2023127323A (en) Method for measuring thickness of film, and processing apparatus
JP6745643B2 (en) Plasma processing apparatus and plasma processing method
US11437224B2 (en) Plasma processing apparatus
JP2004006571A (en) Plasma processing method and apparatus
WO2023223845A1 (en) Film thickness measurement method and substrate processing device
US20240241042A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
JPH10335308A (en) Plasma treating method
US20210287887A1 (en) Plasma measuring apparatus and plasma measuring method
WO2023002854A1 (en) Substrate processing method and substrate processing apparatus
TW202410181A (en) Substrate processing device control method and substrate processing system
KR20220134116A (en) Substrate processing apparatus
JP2023100573A (en) Determination method and substrate processing device