JP2022548021A - Monoalkoxysilanes and high-density organosilica films made therefrom - Google Patents

Monoalkoxysilanes and high-density organosilica films made therefrom Download PDF

Info

Publication number
JP2022548021A
JP2022548021A JP2022516031A JP2022516031A JP2022548021A JP 2022548021 A JP2022548021 A JP 2022548021A JP 2022516031 A JP2022516031 A JP 2022516031A JP 2022516031 A JP2022516031 A JP 2022516031A JP 2022548021 A JP2022548021 A JP 2022548021A
Authority
JP
Japan
Prior art keywords
methyl
silane
butyl
iso
propyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022516031A
Other languages
Japanese (ja)
Inventor
シアオ マンチャオ
ロバート エントレー ウィリアム
ピー.スペンス ダニエル
ニコラス バーティス レイモンド
リン アン アチタイル ジェニファー
ゴードン リッジウェイ ロバート
レイ シンチエン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2022548021A publication Critical patent/JP2022548021A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/188Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-O linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Abstract

改善された機械特性を有する高密度の有機ケイ素膜を製造するための方法であって、基材を反応チャンバー中に提供する工程;新規のモノアルコキシシランを含むガス状の組成物を反応チャンバー中に導入する工程;及び反応チャンバー中の新規のモノアルコキシシランを含むガス状の組成物にエネルギーを適用して、新規のモノアルコキシシランを含むガス状の組成物の反応を誘起して、基材に有機ケイ素膜を堆積する工程、を含み、有機ケイ素膜が、約2.80~約3.30の誘電率、約9~約32GPaの弾性率、及びXPSによって測定した場合に約10~約30at%の炭素を有する、方法。A method for producing dense organosilicon films with improved mechanical properties comprising the steps of providing a substrate in a reaction chamber; providing a gaseous composition containing the novel monoalkoxysilane in the reaction chamber. and applying energy to the gaseous composition comprising the novel monoalkoxysilane in the reaction chamber to induce reaction of the gaseous composition comprising the novel monoalkoxysilane to the substrate wherein the organosilicon film has a dielectric constant of from about 2.80 to about 3.30, an elastic modulus of from about 9 to about 32 GPa, and from about 10 to about A method having 30 at % carbon.

Description

関連出願の相互参照
本出願は、2019年9月13日に提出された米国仮特許出願第62/899824号の利益を主張する。その出願の開示は、参照によって、その全体が本明細書に組み込まれる。
CROSS-REFERENCE TO RELATED APPLICATIONS This application claims the benefit of US Provisional Patent Application No. 62/899,824, filed September 13, 2019. The disclosure of that application is incorporated herein by reference in its entirety.

モノアルコキシシランを前駆体として使用する、高密度の有機シリカ誘電体膜の、膜への形成のための組成物及び方法が本明細書において説明される。より具体的には、k≧2.7の誘電率を有する高密度膜を形成するための組成物及び化学気相堆積(CVD)方法であって、膜が、従来の前駆体から作られた膜と比較して、高い弾性率、及びプラズマ誘起損傷に対する優れた抵抗性を有する、組成物及び化学気相堆積(CVD)方法が本明細書において説明される。 Compositions and methods for the formation of high density organosilica dielectric films into films using monoalkoxysilanes as precursors are described herein. More specifically, compositions and chemical vapor deposition (CVD) methods for forming dense films with dielectric constants k≧2.7, wherein the films were made from conventional precursors Compositions and chemical vapor deposition (CVD) methods are described herein that have high elastic modulus and superior resistance to plasma-induced damage compared to films.

エレクトロニクス産業は、集積回路(IC)及び関連するエレクトロニクス装置の回路と構成要素との間の絶縁層として、誘電体材料を利用する。配線の寸法は、マイクロエレクトロニクス装置(例えばコンピュータチップ)の速度及びメモリストレージ能力を増加させるために、小さくされている。配線の寸法が小さくなるにつれて、層間誘電体(ILD)についての絶縁要件は、さらにより厳しくなっている。間隔を縮小することは、RC時定数を最小化するために、より低い誘電率を必要とし、ここで、Rは伝導性配線の抵抗であり、Cは絶縁誘電体中間層のキャパシタンスである。キャパシタンス(C)は間隔に反比例し、層間誘電体(ILD)の誘電率(k)に比例する。SiH4又はTEOS(Si(OCH2CH34、テトラエチルオルトシリケート)及びO2から製造された従来のシリカ(SiO2)CVD誘電体膜は、4.0超の誘電率kを有する。より低い誘電率を有するシリカベースのCVD膜を製造するために、当産業において試みられてきた種々の手法があり、最も成功しているものは、有機基による絶縁性酸化ケイ素膜のドープであり、約2.7~約3.5の誘電率を提供する。この有機シリカガラスは、典型的には、有機ケイ素前駆体、例えばメチルシラン又はシロキサンと、酸化剤、例えばO2又はN2Oとから、高密度膜(約1.5g/cm3の密度)として堆積される。本明細書において、有機シリカガラスはOSGといわれる。 The electronics industry utilizes dielectric materials as insulating layers between circuits and components in integrated circuits (ICs) and related electronic devices. Wiring dimensions are being reduced to increase the speed and memory storage capabilities of microelectronic devices (eg, computer chips). As the dimensions of interconnects shrink, the isolation requirements for interlayer dielectrics (ILDs) become even more stringent. Shrinking the spacing requires a lower dielectric constant to minimize the RC time constant, where R is the resistance of the conductive line and C is the capacitance of the insulating dielectric interlayer. Capacitance (C) is inversely proportional to spacing and proportional to the dielectric constant (k) of the interlevel dielectric (ILD). Conventional silica ( SiO2 ) CVD dielectric films made from SiH4 or TEOS (Si (OCH2CH3)4 , tetraethylorthosilicate ) and O2 have dielectric constants k greater than 4.0. There are various approaches that have been attempted in the industry to produce silica-based CVD films with lower dielectric constants, the most successful being the doping of insulating silicon oxide films with organic groups. , provides a dielectric constant of about 2.7 to about 3.5. This organosilica glass is typically prepared from an organosilicon precursor, such as methylsilane or siloxane, and an oxidizing agent, such as O 2 or N 2 O, as a dense film (density of about 1.5 g/cm 3 ). deposited. Organosilica glass is referred to herein as OSG.

CVD方法の分野による多孔性のILDの分野における特許、出願公開及び公表物は、欧州特許出願公開第1119035号明細書及び米国特許第6171945号明細書(N2O及び任意選択で過酸化物の存在の下で、不安定な基を有する有機ケイ素前駆体からOSG膜を堆積するプロセスを説明していて、続く熱アニールによって不安定な基の除去を伴って、多孔性のOSGを提供する);米国特許第6054206号及び6238751号明細書(酸化アニールによって、堆積されたOSGから本質的に全ての有機基を除去して、多孔性の無機SiO2を得ることを教示している);欧州特許出願公開第1037275号明細書(水素化された炭化ケイ素膜の堆積を記載していて、それが酸化プラズマによる続く処理によって多孔性の無機SiO2に変換される);並びに米国特許第6312793号明細書、国際公開第00/24050号(有機ケイ素前駆体及び有機化合物からの膜の共堆積と、ポリマー化された有機構成成分のうち一部が保持された複相のOSG/有機膜を提供するための続く熱アニールとを全て教示している)を含む。後者の参照において、膜の最終的な最終組成は、残留ポロゲンと、おおよそ80~90at%の多量の炭化水素膜含有量とを示している。さらに、最終膜は、有機基についての酸素原子の一部の置換を有する、SiO2に類似の網目構造を保持している。 Patents, application publications and publications in the field of porous ILDs by the field of CVD processes are EP 1 119 035 and US Pat. describes a process for depositing OSG films from organosilicon precursors having labile groups in the presence of which subsequent thermal annealing provides porous OSG with removal of the labile groups. US Pat. Nos. 6,054,206 and 6,238,751 (teaching the removal of essentially all organic groups from deposited OSG by an oxidative anneal to yield porous inorganic SiO 2 ); Patent Application Publication No. 1037275 (describing the deposition of a hydrogenated silicon carbide film, which is converted to porous inorganic SiO2 by subsequent treatment with an oxidizing plasma); and U.S. Patent No. 6,312,793. Specification, WO 00/24050 (Providing co-deposition of films from organosilicon precursors and organic compounds and multi-phase OSG/organic films in which some of the polymerized organic constituents are retained) followed by a thermal anneal to do so). In the latter reference, the final final composition of the film indicates residual porogen and a large hydrocarbon film content of approximately 80-90 at %. Furthermore, the final film retains a network structure similar to SiO 2 with some substitution of oxygen atoms for organic groups.

米国特許出願公開第2011/10113184号明細書は、約k=2.4~k=2.8の誘電率を有する絶縁膜を、PECVDプロセスによって堆積するのに使用することができる種類の材料を開示している。材料は、互いに対して結合して、Si原子とともに環状構造を形成することができる2つの炭化水素基を有するSi化合物、又は1つ以上の分岐鎖の炭化水素基を有するSi化合物を含む。分岐鎖の炭化水素基において、Si原子に結合されたC原子であるα-Cはメチレン基を構成し、メチレン基に結合されたC原子であるβ-C、又はβ-Cに結合されたC原子であるγ-Cは分岐点である。具体的には、Siに結合されたアルキル基のうち2つは、CH2CH(CH3)CH3、CH2CH(CH3)CH2CH3、CH2CH2CH(CH3)CH3、CH2C(CH32CH3及びCH2CH2CH(CH32CH3を含み、ケイ素に結合された第三の基は、OCH3及びOC25を含む。この手法には様々な欠点が存在する。第一には、前駆体構造中に分岐鎖のアルキル基を含む大きいアルキル基が必要であることである。このような分子は、合成するのにコストがかかり、それらの本質的に高い分子量のために、典型的には高い沸点及び低い揮発性を有する。高い沸点及び低い揮発性は、PECVDプロセスについて要求されるように、このような分子を気相で効率的に輸送することを困難にする。さらに、この手法において開示される低k膜中の高密度のSiCH2Si基は、堆積されたままの膜が紫外光照射にさらされた後に(すなわち膜がUV硬化された後に)形成される。しかし、紫外線照射への暴露の後のSiCH2Si基の形成は、例えばGrill,Aの“PECVD low and Ultralow Dielectric Constant Materials:From Invention and Research to Products”、J.Vac.Sci.Technol.B、2016、34、020801-1 - 020801-4において開示されているように、文献において良く記載されており、従って堆積プロセスのみの結果ではあり得ない。最後に、この手法における誘電率の報告されている値は低く、2.8以下である。従って、この手法は、後堆積処理(すなわちUV硬化)なしの高密度の低k膜の堆積についてのものというよりも、多孔性の低k膜を生成するための連結されたポロゲンの手法に、より近いものである。 US Patent Application Publication No. 2011/10113184 describes a class of materials that can be used to deposit dielectric films with dielectric constants between about k=2.4 and k=2.8 by a PECVD process. disclosed. The material includes a Si compound having two hydrocarbon groups that can bond to each other to form a ring structure with the Si atom, or a Si compound having one or more branched chain hydrocarbon groups. In a branched hydrocarbon group, α-C, which is a C atom bonded to a Si atom, constitutes a methylene group, and β-C, which is a C atom bonded to a methylene group, or β-C The C atom, γ-C, is the branching point. Specifically, two of the alkyl groups attached to Si are CH2CH( CH3 ) CH3 , CH2CH ( CH3 ) CH2CH3 , CH2CH2CH ( CH3 )CH 3 , CH2C ( CH3 ) 2CH3 and CH2CH2CH ( CH3 ) 2CH3 , and the silicon - bonded third group includes OCH3 and OC2H5 . There are various drawbacks to this approach. The first is the need for large alkyl groups, including branched chain alkyl groups, in the precursor structure. Such molecules are expensive to synthesize and typically have high boiling points and low volatility due to their inherently high molecular weight. High boiling points and low volatility make it difficult to efficiently transport such molecules in the gas phase, as required for PECVD processes. Furthermore, the high density of SiCH 2 Si groups in the low-k films disclosed in this approach form after the as-deposited film is exposed to UV light irradiation (i.e., after the film is UV cured). . However, the formation of SiCH 2 Si groups after exposure to UV radiation is described, for example, in Grill, A., "PECVD low and Ultralow Dielectric Constant Materials: From Invention and Research to Products," J. Am. Vac. Sci. Technol. B, 2016, 34, 020801-1-020801-4, is well documented in the literature and thus cannot be the result of the deposition process alone. Finally, reported values for the dielectric constant in this approach are low, less than 2.8. Thus, this approach is less about depositing dense low-k films without post-deposition treatment (i.e., UV curing), and more to the tethered porogen approach for producing porous low-k films. It is closer.

低k膜におけるプラズマ又はプロセス誘起損傷(PID)は、プラズマ暴露の間、特にはエッチング及びフォトレジストストリップ剥離プロセスの間の、膜からの炭素の除去によって引き起こされる。これは、プラズマ損傷領域を、疎水性から親水性に変化させる。損傷した層のような親水性のSiO2の、(界面活性剤などの添加剤を伴う、又は伴わない)希釈HFベースの湿式化学後プラズマ処理への暴露は、低k膜の有効な誘電率の増加、及びプラズマ損傷した層の急速な分解を引き起こす。これは、パターニングされた低kウエハにおいて、外形の浸食を引き起こす。低k膜におけるプロセス誘起損傷及び引き起こされる外形浸食は、低k材料をULSI相互接続において組み込むときに、装置製造者が解決しなければならない重大な問題である。 Plasma or process induced damage (PID) in low-k films is caused by removal of carbon from the film during plasma exposure, particularly during etching and photoresist stripping processes. This changes the plasma damaged area from hydrophobic to hydrophilic. Exposure of the hydrophilic SiO2 -like damaged layer to a dilute HF-based wet chemical post-plasma treatment (with or without additives such as surfactants) increases the effective dielectric constant of low-k films. , and rapid decomposition of the plasma-damaged layer. This causes feature erosion in patterned low-k wafers. Process-induced damage and induced profile erosion in low-k films is a critical issue that device manufacturers must address when incorporating low-k materials in ULSI interconnects.

向上した機械特性(より高い弾性率、より高い硬度)を有する膜は、パターニングされた特徴における配線エッジ粗さを減少させ、パターン崩壊を減少させ、相互接続におけるより大きい内部機械応力をもたらし、エレクトロマイグレーションに起因する欠陥を減少させる。従って、所与の誘電率で、好ましくは後堆積処理を、例えばUV硬化を必要とせずに、PIDに対する優れた抵抗性と、可能な限り高い機械特性とを有する高密度の低k膜のための要求が存在する。UV硬化は、処理量を減少させ、コストを増価させ、かつ複雑さを増加させるだけでなく、炭素含有量を減少させ、膜に多孔性を導入する。減少した炭素含有量及び増加した多孔性は、より大きいプラズマ誘起損傷を引き起こす。本発明における前駆体は、後堆積処理を必要とせずに、約2.8~3.3の誘電率を有し、先行技術の前駆体の機械強度を超える機械強度を有し、プラズマ誘起損傷に対する良好な抵抗性を有する高密度の低k膜を堆積するように設計されている。 Films with improved mechanical properties (higher modulus, higher hardness) reduce wire edge roughness in patterned features, reduce pattern collapse, lead to greater internal mechanical stress in interconnects, Reduce defects due to migration. Thus, for a given dielectric constant, preferably for dense low-k films with excellent resistance to PID and as high mechanical properties as possible without requiring post-deposition processing, e.g. UV curing. There is a demand for UV curing not only reduces throughput, increases cost, and increases complexity, but also reduces carbon content and introduces porosity into the film. Decreased carbon content and increased porosity cause greater plasma-induced damage. The precursors in the present invention have a dielectric constant of about 2.8-3.3, have mechanical strengths exceeding those of prior art precursors, and are free from plasma-induced damage without the need for post-deposition treatment. It is designed to deposit dense low-k films with good resistance to

本明細書において説明される方法及び組成物は、上で説明される1つ又は複数の要求を満たす。モノアルコキシシラン前駆体を使用して、後堆積処理を必要とせずに、約2.8~約3.3のkの値を有する高密度の低k膜を堆積することができ、このような膜は、予期されない高い弾性率/硬度、及びプラズマ誘起損傷に対する予期されない高い抵抗性を示す。 The methods and compositions described herein meet one or more of the needs set forth above. Monoalkoxysilane precursors can be used to deposit dense low-k films with k values of about 2.8 to about 3.3 without the need for post-deposition processing, such The films exhibit unexpectedly high modulus/hardness and unexpectedly high resistance to plasma-induced damage.

1つの態様において、本開示は、改善された機械特性を有する高密度の有機シリカ膜を製造するための方法であって、
基材を反応チャンバー中に提供する工程;
式(1)又は(2):
(1)R12MeSiOR3
(式中、R1及びR2が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル若しくはtert-ブチルから独立に選択され、R3が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル、イソ-ブチル若しくはtert-ブチル、より好ましくはイソ-プロピル、sec-ブチル、イソ-ブチル及びtert-ブチルから選択される)
(2)R4(Me)2SiOR5
(式中、R4が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、n-プロピル、イソ-プロピル、n-ブチル、sec-ブチル若しくはtert-ブチルから選択され、R5が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、プロピル(すなわちn-Pr若しくはPr-n)、イソ-プロピル(すなわちi-Pr、Pr-i、イソ-Pr、Pr-イソ若しくはPri)、ブチル(すなわちn-Bu、Bu-n若しくはBun)、sec-ブチル(すなわちsec-Bu、Bu-sec、s-Bu、Bu-s若しくはBus)、イソ-ブチル(すなわちイソ-Bu、Bu-イソ、i-Bu、Bu-i若しくはBui)若しくはtert-ブチル(tert-Bu、Bu-tert、t-Bu、Bu-t若しくはBut)、より好ましくはイソ-プロピル、sec-ブチル、イソ-ブチル及びtert-ブチルから選択される)
において与えられる構造を有するモノアルコキシシランを含むガス状の組成物を反応チャンバー中に導入する工程(上の式について、アルキル基の組み合わせは、分子の沸点が200℃未満であるように選択される。加えて、最適な性能のために、R基は、ホモリティック結合解離に際して二級又は三級ラジカルを形成する(例えば、SiO-R→SiO・+R・、ここで、Rは二級又は三級ラジカルであり、例えばイソプロピルラジカル、sec-ブチルラジカル又はtert-ブチルラジカルである)ように選択される);並びに
反応チャンバー中のモノアルコキシシランを含むガス状の組成物にエネルギーを適用して、モノアルコキシシランを含むガス状の組成物の反応を誘起して、基材に有機ケイ素膜を堆積する工程
を含み、有機シリカ膜が、約2.8~約3.3の誘電率及び約9~約32GPaの弾性率を有する、方法を提供する。
In one aspect, the present disclosure provides a method for producing dense organosilica films with improved mechanical properties, comprising:
providing a substrate in a reaction chamber;
Formula (1) or (2):
( 1 ) R1R2MeSiOR3
(wherein R 1 and R 2 are independently selected from linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, propyl, iso-propyl, butyl, sec-butyl or tert-butyl) , R 3 is linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, propyl, iso-propyl, butyl, sec-butyl, iso-butyl or tert-butyl, more preferably iso-propyl , sec-butyl, iso-butyl and tert-butyl)
( 2 ) R4 (Me) 2SiOR5
(wherein R 4 is selected from linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, n-propyl, iso-propyl, n-butyl, sec-butyl or tert-butyl, R 5 is linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, propyl (ie n-Pr or Pr-n), iso-propyl (ie i-Pr, Pr-i, iso- Pr, Pr-iso or Pr i ), butyl (ie n-Bu, Bu- n or Bun), sec-butyl (ie sec-Bu, Bu-sec, s-Bu, Bu-s or Bu s ), iso-butyl (ie iso-Bu, Bu-iso, i-Bu, Bu-i or Bu i ) or tert-butyl (tert-Bu, Bu-tert, t-Bu, Bu-t or Bu t ), and more preferably selected from iso-propyl, sec-butyl, iso-butyl and tert-butyl)
introducing into the reaction chamber a gaseous composition comprising a monoalkoxysilane having the structure given in Additionally, for optimum performance, the R groups form secondary or tertiary radicals upon homolytic bond dissociation (eg, SiO--R→SiO.+R., where R is a secondary or tertiary and applying energy to the gaseous composition comprising the monoalkoxysilane in the reaction chamber, inducing a reaction of a gaseous composition comprising a monoalkoxysilane to deposit an organosilicon film on a substrate, the organosilica film having a dielectric constant of about 2.8 to about 3.3 and a dielectric constant of about 9 A method is provided having a modulus of elasticity of to about 32 GPa.

別の態様において、本開示は、改善された機械特性を有する高密度の有機シリカ膜を製造するための方法であって、
基材を反応チャンバー中に提供する工程;
モノアルコキシシランを含むガス状の組成物を反応チャンバー中に導入する工程;及び
反応チャンバー中のモノアルコキシシランを含むガス状の組成物にエネルギーを適用して、モノアルコキシシランを含むガス状の組成物の反応を誘起して、基材に有機シリカ膜を堆積する工程
を含み、有機シリカ膜が、約2.8~約3.3の誘電率、約9~約32GPaの弾性率、及びXPSによって測定した場合に約10at%~約30at%の炭素を有する、方法を提供する。
In another aspect, the present disclosure provides a method for producing dense organosilica films with improved mechanical properties, comprising:
providing a substrate in a reaction chamber;
introducing a gaseous composition comprising a monoalkoxysilane into a reaction chamber; and applying energy to the gaseous composition comprising a monoalkoxysilane in the reaction chamber to form a gaseous composition comprising a monoalkoxysilane. inducing a reaction of matter to deposit an organosilica film on a substrate, the organosilica film having a dielectric constant of about 2.8 to about 3.3, an elastic modulus of about 9 to about 32 GPa, and an XPS from about 10 at% to about 30 at% carbon as measured by

薄膜中のSi-Me基の%に対する機械強度の関係を示すグラフである。4 is a graph showing the relationship between mechanical strength and percentage of Si—Me groups in a thin film. 例1において説明される方法に従って合成された、イソ-プロピルジメチル-イソ-プロポキシシランについてのGC-MSデータを示すチャートである。1 is a chart showing GC-MS data for iso-propyldimethyl-iso-propoxysilane synthesized according to the method described in Example 1. FIG. 3つの前駆体、ジ(エチル)メチル-イソプロポキシシラン(DEMIPS)、ジエトキシ-メチルシラン(DEMS(登録商標))及び1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MPSCP)から形成された高密度の低k膜の赤外スペクトルを示すグラフである。formed from three precursors, di(ethyl)methyl-isopropoxysilane (DEMIPS), diethoxy-methylsilane (DEMS®) and 1-methyl-1-isopropoxy-1-silacyclopentane (MPSCP) FIG. 4 is a graph showing infrared spectra of dense low-k films; FIG. 低k前駆体としてジエトキシ-メチルシラン(DEMS(登録商標))及び1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MPSCP)を使用して堆積された高密度の低k膜に対する、低k前駆体としてジ(エチル)メチル-イソプロポキシシラン(DEMIPS)を使用して堆積された例示的な高密度の低k膜についての、XPS炭素含有量に対する誘電率のプロットである。low-k for dense low-k films deposited using diethoxy-methylsilane (DEMS®) and 1-methyl-1-isopropoxy-1-silacyclopentane (MPSCP) as low-k precursors 4 is a plot of dielectric constant versus XPS carbon content for an exemplary dense low-k film deposited using di(ethyl)methyl-isopropoxysilane (DEMIPS) as a precursor.

改善された機械特性を有する高密度の有機シリカ膜を製造するための化学気相堆積方法であって、
基材を反応チャンバー中に提供する工程;
モノアルコキシシラン、O2又はN2Oなどのガス状の酸化剤、及びHeなどの不活性ガスを含むガス状の組成物を反応チャンバー中に導入する工程;反応チャンバー中のモノアルコキシシランを含むガス状の組成物にエネルギーを適用して、モノアルコキシシランを含むガス状の組成物の反応を誘起して、基材に有機シリカ膜を堆積する工程
を含み、有機シリカ膜が、約2.8~約3.3の誘電率、約9~約32GPaの弾性率、及びXPSによって測定した場合に約10at%~約30at%の炭素を、好ましくは約2.9~約3.2の誘電率、約10~約29GPaの弾性率、及びXPSによって測定した場合に約10at%~約30at%の炭素を有する、方法が本明細書において説明される。
A chemical vapor deposition method for producing dense organosilica films with improved mechanical properties, comprising:
providing a substrate in a reaction chamber;
introducing into the reaction chamber a gaseous composition comprising a monoalkoxysilane , a gaseous oxidizing agent such as O2 or N2O, and an inert gas such as He; applying energy to the gaseous composition to induce a reaction of the gaseous composition comprising the monoalkoxysilane to deposit an organosilica film on the substrate, wherein the organosilica film comprises about 2.2. a dielectric constant of about 8 to about 3.3, an elastic modulus of about 9 to about 32 GPa, and a dielectric of about 10 at% to about 30 at% carbon, preferably about 2.9 to about 3.2 as measured by XPS; A method is described herein having a modulus, a modulus of about 10 to about 29 GPa, and a carbon of about 10 at% to about 30 at% as measured by XPS.

さらに、改善された機械特性を有する高密度の有機シリカ膜を製造するための方法であって、
基材を反応チャンバー中に提供する工程;
モノアルコキシシラン、O2又はN2Oなどのガス状の酸化剤、及びHeなどの不活性ガスを含むガス状の組成物を反応チャンバー中に導入する工程;
モノアルコキシシランを含むガス状の組成物にエネルギーを適用して、有機シリカ膜を基材に堆積する工程
を含み、有機シリカ膜が、約2.70~約3.3の誘電率及び約9~約32GPaの弾性率を有する、方法も本明細書において説明される。
Further, a method for producing dense organosilica films with improved mechanical properties, comprising:
providing a substrate in a reaction chamber;
introducing into the reaction chamber a gaseous composition comprising a monoalkoxysilane , a gaseous oxidizing agent such as O2 or N2O, and an inert gas such as He;
applying energy to a gaseous composition comprising a monoalkoxysilane to deposit an organosilica film on a substrate, the organosilica film having a dielectric constant of about 2.70 to about 3.3 and a dielectric constant of about 9 A method is also described herein having a modulus of elasticity of to about 32 GPa.

モノアルコキシシランは、先行技術の構造形成前駆体、例えばジエトキシメチルシラン(DEMS(登録商標))及び1-イソプロポキシ-1-メチル-1-シラシクロペンタン(MESCP)と比較して、高密度の有機シリカ膜について、相対的に低い誘電率を達成し、驚くべきことに優れた機械特性を示すことを可能とする固有の性質を提供する。理論によって拘束されるものではないが、本発明のモノアルコキシシランは、R1及びR2が、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル又はtert-ブチルからなる群から選択され、R3が、先行技術において開示されるメチル、例えばMe3SiOMe又はMe3SiOEt(Bayer,C.ら“Overall Kinetics of SiOx Remote-PECVD using Different Organosilicon Monomers”、116-119、Surf.Coat.Technol.、874、(1999))と比較して、より安定であるラジカルを提供するメチル、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル、イソ-ブチル又はtertブチルからなる群から選択されるとき、プラズマ強化化学気相堆積の間に安定なラジカル、例えばCH3CH2・、(CH32CH・及び(CH33C・を提供することができると考えられる。プラズマ中の、より高密度の安定なラジカル、例えばCH3CH2・、(CH32CH・及び(CH33C・は、前駆体中の末端ケイ素メチル基(Si-CH3)からの水素原子の抽出(SiCH2の形成)の可能性を増加させ、堆積されたままの膜におけるジシリルメチレン基(すなわちSi-CH2-Si部分)の形成を促進する。おそらくは、R1Me2SiOR3型の分子において、前駆体中の、より高密度の末端ケイ素メチル基(ケイ素原子毎に2つ)は、堆積されたままの膜中の高密度のジシリルメチレン基(Si-CH2-Si)の形成をさらに助ける。 Monoalkoxysilanes have a higher density compared to prior art structure-forming precursors such as diethoxymethylsilane (DEMS®) and 1-isopropoxy-1-methyl-1-silacyclopentane (MESCP). organosilica films provide unique properties that allow them to achieve relatively low dielectric constants and exhibit surprisingly good mechanical properties. Without being bound by theory, the monoalkoxysilanes of the present invention have R 1 and R 2 selected from the group consisting of ethyl, propyl, iso-propyl, butyl, sec-butyl, or tert-butyl, and R 3 is methyl as disclosed in the prior art, such as Me 3 SiOMe or Me 3 SiOEt (Bayer, C. et al. "Overall Kinetics of SiOx Remote-PECVD using Different Organosilicon Monomers", 116-119, Surf. Coat. Technol. 874, (1999)), which provides radicals that are more stable when selected from the group consisting of methyl, ethyl, propyl, iso-propyl, butyl, sec-butyl, iso-butyl or tert-butyl, It is believed that stable radicals, such as CH3CH2 ., ( CH3 ) 2CH ., and ( CH3 ) 3C ., can be provided during plasma-enhanced chemical vapor deposition. A higher density of stable radicals in the plasma such as CH 3 CH 2 ., (CH 3 ) 2 CH. and ( CH 3 ) 3 C. increases the probability of extraction of hydrogen atoms from the SiCH 2 (formation of SiCH 2 ) and facilitates the formation of disilylmethylene groups (ie, Si--CH 2 --Si moieties) in the as-deposited film. Presumably, in molecules of the R 1 Me 2 SiOR 3 type, the higher density of terminal silicon methyl groups (two per silicon atom) in the precursor leads to a higher density of disilylmethylenes in the as-deposited film. It further aids in the formation of the group (Si--CH 2 --Si).

有機化学において、一級炭素ラジカル(例えばエチルラジカルCH3CH2・)を生成するために、二級炭素ラジカル(例えばイソプロピルラジカル(CH32CH・)よりも多くのエネルギーを供給しなければならないことは周知である。これは、エチルラジカルに対する、イソプロピルラジカルの、より高い安定性に起因する。同じ原理は、ケイ素アルコキシ基中の酸素-炭素結合のホモリティック結合解離に当てはまり;イソプロポキシシラン中の酸素-炭素結合を解離するために、エトキシシランにおけるよりも少ないエネルギーを必要とする。同様に、イソプロピルシラン中のケイ素-炭素結合を解離するために、エチルシランにおけるよりも少ないエネルギーを必要とする。切るのにより少ないエネルギーを必要とする結合は、プラズマ中で、より解離しやすいと推測される。従って、従って、Si-OPri、Si-OBus又はSi-OBut基を有するモノアルコキシシランは、プラズマ中で、Si-OEt基を有するものと比較して、より高い密度のSiO型のラジカルをもたらすことができる。同様に、Si-Et、Si-Pri、Si-Bus又はSi-But基を有するモノアルコキシシランは、プラズマ中で、Si-Me基のみを有するものと比較して、より高い密度のSi型のラジカルをもたらすことができる。おそらくは、これは、Si-OEtを有するモノアルコキシシランに対する、Si-OPri、Si-OBus又はSi-OBut基を有するモノアルコキシシランを使用して堆積されたものの、差別化された特性に寄与する。 In organic chemistry, more energy must be supplied to produce a primary carbon radical (e.g. the ethyl radical CH3CH2.) than a secondary carbon radical (e.g. the isopropyl radical ( CH3 ) 2CH .). It is well known. This is due to the higher stability of the isopropyl radical relative to the ethyl radical. The same principle applies to homolytic bond dissociation of oxygen-carbon bonds in silicon alkoxy groups; less energy is required to dissociate the oxygen-carbon bond in isopropoxysilane than in ethoxysilane. Similarly, less energy is required to dissociate the silicon-carbon bond in isopropylsilane than in ethylsilane. It is speculated that bonds that require less energy to break are more likely to dissociate in the plasma. Therefore, monoalkoxysilanes with Si--OPr i , Si-- OBus or Si--OBu t groups have a higher density of SiO-type radicals in plasma than those with Si--OEt groups. can bring Similarly, monoalkoxysilanes with Si--Et, Si--P i , Si--Bu s or Si--Bu t groups have higher densities in plasma compared to those with only Si--Me groups. Si-type radicals can be provided. Presumably, this is due to the differentiated properties of those deposited using monoalkoxysilanes with Si—OPr i , Si—OBu s or Si—OBu t groups versus monoalkoxysilanes with Si—OEt. contribute.

ケイ素前駆体としてのモノアルコキシシランによって達成される、先行技術に対する利点のうち幾つかは、以下に限定するものではないが、
合成のしやすさ及び低コスト
高い弾性率/高い硬度
広範囲のXPS炭素
高いジシリルメチレン密度
を含む。
Some of the advantages over the prior art achieved with monoalkoxysilanes as silicon precursors include, but are not limited to:
Ease of synthesis and low cost High modulus/high hardness Wide range of XPS carbons Contains high disilylmethylene densities.

表1は、式1及び2を有する選択されるモノアルコキシシランが列挙されている。多くの化合物が開示されているが、最も好ましい分子は、分子の沸点が200℃未満(好ましくは150℃未満)であるように選択されたアルキル基(R1、R2、R3、R4及びR5)の組み合わせを有する分子である。加えて、最適な性能のために、R1、R2、R3、R4及びR5基は、ホモリティック結合解離に際して、その幾つか又は全てが、二級又は三級ラジカルを形成する(例えば、Si-R2→Si・+R2・又はSiO-R3→SiO・+R3・、ここで、R2及びR3は二級又は三級ラジカルであり、例えばイソプロピルラジカル、sec-ブチルラジカル、tert-ブチルラジカル又はシクロヘキシルラジカルである)を形成するように選択することができる。最も好ましい例はジ-イソ-プロピルメチル(イソ-プロポキシ)シランであり、760Torrにおいて168℃の予測される沸点を有する。 Table 1 lists selected monoalkoxysilanes having formulas 1 and 2. Although many compounds are disclosed, the most preferred molecules are alkyl groups ( R1 , R2 , R3 , R4 ) selected such that the boiling point of the molecule is less than 200°C (preferably less than 150°C). and R 5 ). Additionally, for optimum performance, the R 1 , R 2 , R 3 , R 4 and R 5 groups, some or all of which upon homolytic bond dissociation form secondary or tertiary radicals ( For example, Si--R 2 →Si.+R 2. or SiO--R 3 →SiO.+R 3. , where R 2 and R 3 are secondary or tertiary radicals, such as isopropyl radical, sec-butyl radical. , a tert-butyl radical or a cyclohexyl radical). The most preferred example is di-iso-propylmethyl(iso-propoxy)silane, which has a predicted boiling point of 168°C at 760 Torr.

表1 式1及び2を有する例示的なモノアルコキシシランのリスト

Figure 2022548021000002
Figure 2022548021000003
Figure 2022548021000004
Figure 2022548021000005
Figure 2022548021000006
Table 1 List of exemplary monoalkoxysilanes having formulas 1 and 2
Figure 2022548021000002
Figure 2022548021000003
Figure 2022548021000004
Figure 2022548021000005
Figure 2022548021000006

先行技術のケイ素含有構造形成前駆体、例えばDEMS(登録商標)は、反応チャンバー中で励起された後にポリマー化して、ポリマー主鎖中に-O-結合を有する構造(例えば-Si-O-Si-又はSi-O-C-)を形成し、式(1)又は式(2)を有するモノアルコキシシラン化合物、例えばDEMIPS分子は、ポリマー化して、主鎖中の高い割合の-O-架橋が-CH2-メチレン又は-CH2CH2-エチレン架橋で置換されている構造を形成する。炭素が主にSi-Me基の形態で存在する構造形成前駆体として、DEMS(登録商標)を使用して堆積された膜において、%Si-Me(直接的には%Cに関する)と機械強度との間には、ある関係があり、例えば、図1に示されるモデルワークを参照せよ。ここでは、架橋Si-O-Si基を2つの末端Si-Me基で置換することが、網目構造が崩壊するために、機械特性を低下させている。式(1)又は式(2)のモノアルコキシシラン化合物の場合において、膜の堆積の間に前駆体構造が破壊されて、SiCH2Si又はSiCH2CH2Si架橋基を形成すると考えられる。このようにして、機械強度の観点から、膜中の炭素含有量の増加によって網目構造を崩壊させないように、架橋基の形態の炭素を組み込むことができる。理論によって拘束されるものではないが、この性質は、例えば膜のエッチング、フォトレジストのプラズマアッシング及び銅表面のNH3プラズマ処理などのプロセスによる高密度の膜の炭素欠乏に対して、膜を、より回復しやすいものにするように、膜に炭素を添加する。高密度の低k膜における炭素欠乏は、膜の有効な誘電率の増加、膜のエッチングに伴う問題、及び湿式洗浄工程の間の特徴の曲がり、及び/又は銅拡散バリアを堆積するときの統合の問題を引き起こす場合がある。先行技術の構造形成剤、例えばMESCPは、非常に高密度の架橋SiCH2Si及び/又はSiCH2CH2Si基を有する低k膜を堆積することができるが、これらの膜は、最終的にこの種類の先行技術の低k前駆体によって達成することができる最高の弾性率を限定する、非常に高いSi-Me密度及び合計の炭素含有量も有する。 Prior art silicon-containing structure-forming precursors, such as DEMS®, polymerize after being excited in a reaction chamber to form structures with --O-- bonds in the polymer backbone (eg, --Si--O--Si - or Si-O-C-) and having the formula (1) or formula (2), monoalkoxysilane compounds such as DEMIPS molecules polymerize to form a high proportion of -O- crosslinks in the main chain. -CH 2 -methylene or -CH 2 CH 2 -ethylene bridges to form structures that are substituted. %Si—Me (directly related to %C) and mechanical strength in films deposited using DEMS® as the structure-forming precursor, where carbon is predominantly present in the form of Si—Me groups. There is a relationship between, see, for example, the model work shown in FIG. Here, the replacement of the bridging Si--O--Si groups by two terminal Si--Me groups reduces the mechanical properties due to the disruption of the network structure. In the case of monoalkoxysilane compounds of formula (1) or formula (2), it is believed that the precursor structure is disrupted during film deposition to form SiCH 2 Si or SiCH 2 CH 2 Si bridging groups. In this way carbon in the form of bridging groups can be incorporated so that from a mechanical strength point of view an increase in the carbon content in the film does not disrupt the network structure. While not wishing to be bound by theory, this property makes the film susceptible to carbon depletion in dense films by processes such as film etching, photoresist plasma ashing, and copper surface NH3 plasma treatment. Carbon is added to the film to make it more resilient. Carbon depletion in dense low-k films increases the effective dielectric constant of the film, problems with film etching, and feature bending during wet clean steps and/or integration when depositing copper diffusion barriers. can cause problems. Prior art structuring agents, such as MESCP, can deposit low-k films with a very high density of crosslinked SiCH 2 Si and/or SiCH 2 CH 2 Si groups, but these films ultimately It also has a very high Si—Me density and total carbon content that limits the highest elastic modulus that can be achieved with this class of prior art low-k precursors.

好ましくは、本発明による式1及び2を有するモノアルコキシシラン、及び本発明による式1及び2を有するモノアルコキシシラン化合物を含む組成物は、ハライドイオンを実質的に含有しない。本明細書において使用されるとき、用語「実質的に含有しない」は、ハライドイオン(又はハライド)、例えば塩化物(すなわち塩化物含有種、例えばHCl又は少なくとも1つのSi-Cl結合を有するケイ素化合物)、フッ化物、臭化物及びヨウ化物に関するとき、イオンクロマトグラフィ(IC)によって測定した場合に(重量で)5ppm未満、好ましくはICによって測定した場合に3ppm未満、より好ましくはICによって測定した場合に1ppm未満、最も好ましくはICによって測定した場合に0ppmを意味する。塩化物は、ケイ素前駆体化合物についての分解触媒として作用することが知られている。最終生成物中の有意なレベルの塩化物は、ケイ素前駆体化合物を分解させる場合がある。ケイ素前駆体化合物の緩やかな分解は、膜堆積プロセスに直接的に影響を与えて、半導体製造者が膜の仕様を満たすことを困難にする場合がある。加えて、貯蔵寿命又は安定性は、ケイ素前駆体化合物の、より速い分解速度によって負に影響を受け、それによって1~2年の貯蔵寿命を保証することを困難にする。従って、ケイ素前駆体化合物の加速された分解は、これらの可燃性及び/又は自然発火性のガス状の副生成物の形成に関する安全性及び性能の懸念をもたらす。好ましくは、式1及び2を有するモノアルコキシシランは、金属イオン、例えばLi+、Na+、K+、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+を実質的に含有しない。本明細書において使用されるとき、用語「実質的に含有しない」は、Li、Na、K、Mg、Ca、Al、Fe、Ni、Crに関するとき、ICP-MSによって測定した場合に(重量で)5ppm未満、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0.1ppm未満を意味する。幾つかの実施態様において、式Aを有するケイ素前駆体化合物は、金属イオン、例えばLi+、Na+、K+、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+を含有しない。本明細書において使用されるとき、用語金属不純物を「含有しない」は、Li、Na、K、Mg、Ca、Al、Fe、Ni、Crに関するとき、1ppm未満、好ましくはICP-MSによって測定した場合に(重量で)0.1ppm未満、最も好ましくはICP-MS又は金属を測定するための他の分析方法によって測定した場合に(重量で)0.05ppm未満を意味する。加えて、好ましくは、式1及び2を有するモノアルコキシシランは、ケイ素含有膜を堆積するための前駆体として使用されるとき、GCによって測定した場合に、98wt%以上の純度、好ましくは99w%以上の純度を有する。 Preferably, compositions comprising monoalkoxysilanes having formulas 1 and 2 according to the invention and monoalkoxysilane compounds having formulas 1 and 2 according to the invention are substantially free of halide ions. As used herein, the term "substantially free" refers to halide ions (or halides), such as chlorides (ie, chloride-containing species such as HCl or silicon compounds having at least one Si—Cl bond ), for fluorides, bromides and iodides, less than 5 ppm (by weight) as measured by ion chromatography (IC), preferably less than 3 ppm as measured by IC, more preferably less than 1 ppm as measured by IC means less than, most preferably 0 ppm as measured by IC. Chlorides are known to act as decomposition catalysts for silicon precursor compounds. Significant levels of chloride in the final product can decompose the silicon precursor compound. Slow decomposition of the silicon precursor compound can directly affect the film deposition process and make it difficult for semiconductor manufacturers to meet film specifications. In addition, shelf life or stability is negatively impacted by the faster decomposition rate of silicon precursor compounds, thereby making it difficult to guarantee a shelf life of 1-2 years. Accordingly, accelerated decomposition of silicon precursor compounds raises safety and performance concerns regarding the formation of these flammable and/or pyrophoric gaseous by-products. Preferably, the monoalkoxysilanes having formulas 1 and 2 contain metal ions such as Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ and Cr 3+ are not substantially contained. As used herein, the term "substantially free" when relating to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, when measured by ICP-MS (by weight ) less than 5 ppm, preferably less than 3 ppm, more preferably less than 1 ppm, most preferably less than 0.1 ppm. In some embodiments, the silicon precursor compound having formula A contains metal ions such as Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Does not contain Fe 3+ , Ni 2+ , Cr 3+ . As used herein, the term "free of" metallic impurities is less than 1 ppm when referring to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, preferably measured by ICP-MS means less than 0.1 ppm (by weight), most preferably less than 0.05 ppm (by weight) as determined by ICP-MS or other analytical method for determining metals. Additionally, preferably the monoalkoxysilanes having formulas 1 and 2 have a purity of 98 wt% or greater, preferably 99 wt% as measured by GC when used as precursors for depositing silicon-containing films. or higher purity.

低k誘電体膜は、有機シリカガラス(「OSG」)膜又は材料である。有機シリケートは、エレクトロニクス産業において、例えば低k材料として用いられる。材料特性は、膜の化学組成及び構造に依存する。有機ケイ素前駆体の種類は、膜の構造及び組成に対して強い効果を有するため、所望の誘電率に達するのに必要とされる量の多孔性の付与が機械的に不健全な膜を製造しないことを確実にするために要求される膜の特性を提供する前駆体を使用することが有益である。本明細書において説明される方法及び組成物は、電気特性及び機械特性の所望のバランス、並びに高い炭素含有量などの他の有益な膜の特性を有する低k誘電体膜を生成して、改善された統合プラズマ抵抗を提供する手段を提供する。 The low-k dielectric film is an organic silica glass (“OSG”) film or material. Organosilicates are used, for example, as low-k materials in the electronics industry. Material properties depend on the chemical composition and structure of the film. The type of organosilicon precursor has such a strong effect on the structure and composition of the film that imparting the amount of porosity required to reach the desired dielectric constant produces a mechanically unsound film. It is beneficial to use precursors that provide the required film properties to ensure that no The methods and compositions described herein produce and improve low-k dielectric films with a desired balance of electrical and mechanical properties, as well as other beneficial film properties such as high carbon content. provide a means of providing a controlled integrated plasma resistance.

本明細書において説明される方法及び組成物の特定の実施態様において、ケイ素含有誘電体材料の層は、反応チャンバーを用いる化学気相堆積(CVD)プロセスを介して、基材の少なくとも一部に堆積される。従って、方法は、基材を反応チャンバー中に提供する工程を含む。適した基材は、以下に限定するものではないが、半導体材料、例えばヒ化ガリウム(「GaAs」)、ケイ素、並びにケイ素含有組成物、例えば結晶性ケイ素、ポリシリコン、非晶質ケイ素、エピタキシャルケイ素、二酸化ケイ素(「SiO2」)、ケイ素ガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ホウケイ酸ガラス及びそれらの組み合わせ、を含む。他の適した材料は、クロム、モリブデン、並びに半導体、集積回路、フラットパネルディスプレイ及びフレキシブルディスプレイ用途において一般に用いられる他の金属を含む。基材は、さらなる層、例えばケイ素、SiO2、有機シリケートガラス(OSG)、フッ素化シリケートガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化された炭化ケイ素、窒化ケイ素、水素化された窒化ケイ素、炭窒化ケイ素、水素化された炭窒化ケイ素、窒化ホウ素、有機-無機複合材料、フォトレジスト、有機ポリマー、多孔性の有機及び無機の材料及び複合物、酸化アルミニウム及び酸化ゲルマニウムなどの金属酸化物を有してよい。さらに、さらなる層は、ゲルマノシリケート、アルミノシリケート、銅及びアルミニウム、及び拡散バリア材料、例えば、以下に限定するものではないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWNであってよい。 In certain embodiments of the methods and compositions described herein, the layer of silicon-containing dielectric material is deposited on at least a portion of the substrate via a chemical vapor deposition (CVD) process using a reaction chamber. deposited. Accordingly, the method includes providing a substrate in the reaction chamber. Suitable substrates include, but are not limited to, semiconductor materials such as gallium arsenide (“GaAs”), silicon, and silicon-containing compositions such as crystalline silicon, polysilicon, amorphous silicon, epitaxial Silicon, silicon dioxide (“SiO 2 ”), silicon glass, silicon nitride, fused silica, glass, quartz, borosilicate glass and combinations thereof. Other suitable materials include chromium, molybdenum, and other metals commonly used in semiconductor, integrated circuit, flat panel display and flexible display applications. The substrate may be coated with further layers such as silicon, SiO2 , organosilicate glass (OSG), fluorinated silicate glass (FSG), boron carbonitride, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated nitride. Metal oxides such as silicon, silicon carbonitride, hydrogenated silicon carbonitride, boron nitride, organic-inorganic composites, photoresists, organic polymers, porous organic and inorganic materials and composites, aluminum oxide and germanium oxide You can have things. Further layers may include germanosilicates, aluminosilicates, copper and aluminum, and diffusion barrier materials such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta , W or WN.

典型的には、反応チャンバーは、例えば熱CVD若しくはプラズマ強化CVD反応器、又は様々な方法におけるバッチ炉型の反応器である。1つの実施態様において、液体輸送システムを利用することができる。液体輸送配合物において、本明細書において説明される前駆体は、未希釈の液体の形態で輸送することができるか、又は代替的に、本明細書において説明される前駆体を含む溶媒配合物又は組成物で用いることができる。従って、特定の実施態様において、前駆体配合物は、所与の最終使用用途において基材に膜を形成するために所望される及び有利である場合がある適した特徴の1つ又は複数の溶媒構成成分を含んでよい。 Typically, the reaction chamber is, for example, a thermal CVD or plasma-enhanced CVD reactor, or a batch furnace type reactor in various methods. In one embodiment, a liquid transport system can be utilized. In liquid delivery formulations, the precursors described herein can be delivered in undiluted liquid form or, alternatively, in solvent formulations containing the precursors described herein. or can be used in the composition. Thus, in certain embodiments, the precursor formulation comprises one or more solvents of suitable characteristics that may be desired and advantageous for forming a film on a substrate in a given end-use application. may contain constituents.

本明細書において開示される方法は、モノアルコキシシランを含むガス状の組成物を反応チャンバー中に導入する工程を含む。幾つかの実施態様において、組成物は、さらなる反応体、例えば酸素含有種、例えばO2、O3及びN2O、ガス状又は液体の有機物質、CO2若しくはCOを含んでよい。1つの特定の実施態様において、反応チャンバー中に導入される反応混合物は、O2、N2O、NO、NO2、CO2、水、H22、オゾン及びそれらの組み合わせからなる群から選択される少なくとも1つの酸化剤を含む。代替の実施態様において、反応混合物は酸化剤を含まない。 The methods disclosed herein include introducing a gaseous composition comprising a monoalkoxysilane into a reaction chamber. In some embodiments, the composition may include additional reactants such as oxygen - containing species such as O2 , O3 and N2O, gaseous or liquid organic substances, CO2 or CO. In one particular embodiment, the reaction mixture introduced into the reaction chamber is from the group consisting of O2 , N2O, NO, NO2 , CO2 , water, H2O2 , ozone and combinations thereof. At least one selected oxidizing agent is included. In an alternative embodiment, the reaction mixture does not contain an oxidizing agent.

本明細書において説明される誘電体膜を堆積するための組成物は、約40~約100wt%のモノアルコキシシランを含む。 The compositions for depositing dielectric films described herein contain from about 40 to about 100 wt% monoalkoxysilane.

複数の実施態様において、モノアルコキシシランを含むガス状の組成物を、硬化添加剤とともに使用して、堆積されたままの膜の弾性率をさらに増加させることができる。 In embodiments, gaseous compositions containing monoalkoxysilanes can be used with curing additives to further increase the modulus of as-deposited films.

複数の実施態様において、モノアルコキシシランを含むガス状の組成物は、ハライド、例えば塩化物を実質的に含有しないか、又は含有しない。 In embodiments, the gaseous composition comprising the monoalkoxysilane is substantially free or free of halides, such as chlorides.

モノアルコキシシランに加えて、堆積反応の前、間及び/又は後に、さらなる材料を反応チャンバー中に導入することができる。このような材料は、例えば不活性ガス(例えば、より低揮発性の前駆体のためのキャリアガスとして用いることができるか、及び/又は堆積されたままの材料の硬化を促進して、より安定な最終的な膜を提供することができる、He、Ar、N2、Kr、Xeなど)を含む。 In addition to the monoalkoxysilane, additional materials can be introduced into the reaction chamber before, during and/or after the deposition reaction. Such materials can be used, for example, as an inert gas (e.g., as a carrier gas for lower volatility precursors) and/or promote curing of the as-deposited material to make it more stable. He, Ar, N 2 , Kr, Xe, etc.), which can provide an excellent final film.

モノアルコキシシランを含む、用いられる任意の試剤を、別の供給源から別々に、又は混合物として、反応器中に輸送することができる。任意の多くの手段によって、好ましくは適したバルブを備えた、プロセス反応器への液体の輸送を可能とする加圧可能なステンレス鋼容器を使用して、試剤を反応器システムに輸送することができる。好ましくは、前駆体は、気体としてプロセス真空チャンバー中に輸送され、すなわち、液体は、プロセスチャンバー中に輸送される前に気化されなければならない。 Any of the reagents used, including the monoalkoxysilane, can be transported into the reactor either separately from another source or as a mixture. Reagents can be transported to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel, fitted with suitable valves, that allows transport of the liquid to the process reactor. can. Preferably, the precursor is transported into the process vacuum chamber as a gas, ie the liquid must be vaporized before being transported into the process chamber.

本明細書において開示される方法は、反応チャンバー中のモノアルコキシシランを含むガス状の組成物にエネルギーを適用して、モノアルコキシシランを含むガス状の組成物の反応を誘起して、基材に有機シリカ膜を堆積する工程を含み、有機シリカ膜は、幾つかの実施態様においては約2.8~約3.3、他の実施態様においては2.90~3.2、さらに好ましい実施態様においては3.0~3.2の誘電率、約9~約32GPa、好ましくは10~29GPaの弾性率、及びXPSによって測定した場合に約10~約30at%の炭素を有する。ガス状の試剤にエネルギーが適用されて、モノアルコキシシラン、及び存在する場合には他の反応体を誘起して反応させて、基材に膜を形成する。このようなエネルギーは、例えばプラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、リモートプラズマ、ホットフィラメント及び熱(すなわち非フィラメント)方法によって提供することができる。二次rf周波数源を使用して、基材表面におけるプラズマ特性を改質することができる。好ましくは、膜は、プラズマ強化化学気相堆積(「PECVD」)によって形成される。 The methods disclosed herein apply energy to a gaseous composition comprising a monoalkoxysilane in a reaction chamber to induce a reaction of the gaseous composition comprising a monoalkoxysilane to form a substrate. wherein the organosilica film is from about 2.8 to about 3.3 in some embodiments, from 2.90 to 3.2 in other embodiments, and more preferred implementations. Embodiments have a dielectric constant of 3.0 to 3.2, a modulus of elasticity of about 9 to about 32 GPa, preferably 10 to 29 GPa, and carbon of about 10 to about 30 at % as measured by XPS. Energy is applied to the gaseous reagent to induce the monoalkoxysilane, and other reactants, if present, to react to form a film on the substrate. Such energy can be provided by, for example, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, remote plasma, hot filament and thermal (ie, non-filament) methods. A secondary rf frequency source can be used to modify the plasma properties at the substrate surface. Preferably, the film is formed by plasma enhanced chemical vapor deposition (“PECVD”).

好ましくは、ガス状の試剤のそれぞれについての流量は、単一の300mmウエハ毎に、10~5000sccm、より好ましくは30~3000sccmである。必要とされる実際の流量は、ウエハのサイズ及びチャンバーの構成に依存してよく、決して300mmウエハ又は単一ウエハチャンバーに限定されない。 Preferably, the flow rate for each of the gaseous reagents is between 10 and 5000 sccm, more preferably between 30 and 3000 sccm, per single 300 mm wafer. The actual flow rate required may depend on wafer size and chamber configuration and is in no way limited to 300 mm wafers or single wafer chambers.

特定の実施態様において、膜は、約5~約700ナノメートル(nm)毎分の堆積速度で堆積される。他の実施態様において、膜は、約30~200ナノメートル(nm)毎分の堆積速度で堆積される。 In certain embodiments, the film is deposited at a deposition rate of about 5 to about 700 nanometers (nm) per minute. In another embodiment, the film is deposited at a deposition rate of about 30-200 nanometers (nm) per minute.

典型的には、堆積の間の、反応チャンバー中の圧力は、約0.01~約600torr又は約1~15torrである。 Typically, the pressure in the reaction chamber during deposition is from about 0.01 to about 600 torr, or from about 1 to 15 torr.

好ましくは、膜は0.001~500ミクロンの厚さに堆積されるが、厚さは要求に応じて変えることができる。パターニングされていない表面に堆積されるブランケット膜は、妥当なエッジエクスクルージョンで(例えば基材の最も外側のエッジ5mmは、均一性の統計的計算において含まれない)、基材にわたって、3%/1標準偏差未満の厚さの変化を伴う優れた均一性を有する。 Preferably, the film is deposited to a thickness of 0.001 to 500 microns, although the thickness can vary as desired. A blanket film deposited on an unpatterned surface has a 3% It has excellent uniformity with thickness variations of less than /1 standard deviation.

本発明のOSG製品に加えて、本発明は、その製品を製造するプロセス、その製品を使用する方法、並びにその製品を調製するために有用な化合物及び組成物を含む。例えば、半導体装置上に集積回路を製造するためのプロセスは、参照によって本明細書に組み込まれる米国特許第6583049号明細書において開示されている。 In addition to the OSG products of the invention, the invention includes processes for making the products, methods of using the products, and compounds and compositions useful for preparing the products. For example, a process for manufacturing integrated circuits on semiconductor devices is disclosed in US Pat. No. 6,583,049, incorporated herein by reference.

開示される方法によって製造される高密度の有機シリカ膜は、特にエッチング及びフォトレジスト剥離プロセスの間の、プラズマ誘起損傷に対する良好な抵抗性を示す。 Dense organosilica films produced by the disclosed method exhibit good resistance to plasma-induced damage, especially during etching and photoresist stripping processes.

開示される方法によって製造される高密度の有機シリカ膜は、同じ誘電率を有するが、モノアルコキシシランではない前駆体から作られる高密度の有機シリカ膜と比較して、所与の誘電率について優れた機械特性を示す。典型的には、得られる(堆積されたままの)有機シリカ膜は、幾つかの実施態様においては約2.8~約3.3、他の実施態様においては約2.9~約3.2、さらに他の実施態様においては約3.0~約3.2の誘電率、約9~約32GPaの弾性率、及びXPSによって測定した場合に約10~約30at%の炭素を有する。他の実施態様において、得られる有機シリカ膜は、幾つかの実施態様においては約2.9~約3.2、他の実施態様においては約3.0~約3.20の誘電率、及び約9~約32GPaの弾性率を有する。他の実施態様において、得られる有機シリカ膜は、幾つかの実施態様においては約10~約29、他の実施態様においては約11~約29の弾性率、及びXPSによって測定した場合に約10~約30at%の炭素を有する。 For a given dielectric constant, dense organosilica films produced by the disclosed method have the same dielectric constant, but are compared to dense organosilica films made from precursors that are not monoalkoxysilanes. Exhibits excellent mechanical properties. Typically, the resulting (as-deposited) organosilica films have a thickness of from about 2.8 to about 3.3 in some embodiments and from about 2.9 to about 3.0 in other embodiments. 2, in still other embodiments having a dielectric constant of from about 3.0 to about 3.2, an elastic modulus of from about 9 to about 32 GPa, and from about 10 to about 30 at% carbon as measured by XPS. In other embodiments, the resulting organosilica films have a dielectric constant of from about 2.9 to about 3.2 in some embodiments, from about 3.0 to about 3.20 in other embodiments, and It has a modulus of elasticity from about 9 to about 32 GPa. In other embodiments, the resulting organosilica films have an elastic modulus of from about 10 to about 29 in some embodiments, from about 11 to about 29 in other embodiments, and a modulus of about 10 as measured by XPS. - about 30 at% carbon.

得られる高密度の有機シリカ膜は、堆積された後に後処理プロセスを受けてもよい。従って、本明細書において使用されるとき、用語「後処理」は、膜をエネルギー(例えば熱、プラズマ、フォトン、電子、マイクロ波など)又は化学物質で処理して、材料の特性をさらに向上させることを意味する。 The resulting dense organosilica film may undergo post-treatment processes after being deposited. Thus, as used herein, the term "post-treatment" refers to treating the film with energy (e.g., heat, plasma, photons, electrons, microwaves, etc.) or chemicals to further enhance the properties of the material. means that

後処理が行われる条件は、大きく変えることができる。例えば、後処理は、高圧の下で、又は真空雰囲気の下で行われてよい。 The conditions under which the post-treatment takes place can vary greatly. For example, post-treatment may be performed under high pressure or under a vacuum atmosphere.

UVアニールは、以下の条件の下で行われる好ましい方法である。 UV annealing is the preferred method performed under the following conditions.

環境は、不活性(例えば窒素、CO2、貴ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)又は還元性(希薄若しくは濃縮水素、炭化水素(飽和、不飽和、直鎖若しくは分岐鎖、芳香族)など)であってよい。好ましくは、圧力は約1Torr~約1000Torrである。しかし、熱アニール並びに任意の他の後処理手段のためには、真空雰囲気が好ましい。好ましくは、温度は200~500℃であり、温度傾斜率は0.1~100℃/分である。好ましくは、合計のUVアニール時間は、0.01分~12時間である。 The environment can be inert (e.g. nitrogen, CO2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g. oxygen, air, lean oxygen environment, rich oxygen environment, ozone, nitrous oxide). etc.) or reducible (lean or enriched hydrogen, hydrocarbons (saturated, unsaturated, straight or branched chain, aromatic), etc.). Preferably, the pressure is from about 1 Torr to about 1000 Torr. However, for thermal annealing as well as any other post-treatment means, a vacuum atmosphere is preferred. Preferably, the temperature is 200-500° C. and the temperature ramp rate is 0.1-100° C./min. Preferably, the total UV annealing time is 0.01 minutes to 12 hours.

本発明は、以下の例を参照して、より詳細に例示されるが、以下の例に限定されるものと認められるとは理解されるべきでない。本発明において説明される前駆体を使用して、既存の多孔性の低k膜に対する類似のプロセスの利点(すなわち、所与の誘電率の値についてのプラズマ誘起損傷に対するより高い抵抗性、及びより高い弾性率)を有する多孔性の低k膜を堆積することもできることも認識される。 The invention is illustrated in more detail with reference to the following examples, but it should not be understood that they are considered to be limited to the following examples. Using the precursors described in this invention, similar process advantages over existing porous low-k films (i.e., higher resistance to plasma-induced damage for a given value of dielectric constant, and higher It is also recognized that porous low-k films with high elastic modulus) can also be deposited.

例1:ジ(エチル)メチル-イソ-プロポキシシランの合成
500mLのフラスコにおいて、Ru3(CO)12を、20gのTHF中に溶解した。次いで、200g(3.33mol)のIPA(イソプロピルアルコール)を添加した。この溶液を75℃に加熱した。撹拌しながら、200g(1.96mol)のジ(エチル)メチルシランを、添加漏斗を通して滴下した。反応は発熱性であり、水素泡が観察された。添加が完了した後、室温で30分間、反応混合物を撹拌した。余剰のIPA及びTHFを、大気圧における蒸留によって除去した。分別真空蒸留によって、55mmHgにおいて63℃の沸点を有する250gのジ(エチル)メチル-イソ-プロポキシシラン(純度99.3%)を製造した。収率は80%であった。GC-MS:160(M+)、145、131、101、88、73、61、45。
Example 1: Synthesis of di(ethyl)methyl-iso-propoxysilane In a 500 mL flask Ru 3 (CO) 12 was dissolved in 20 g THF. Then 200 g (3.33 mol) of IPA (isopropyl alcohol) was added. The solution was heated to 75°C. While stirring, 200 g (1.96 mol) of di(ethyl)methylsilane was added dropwise through an addition funnel. The reaction was exothermic and hydrogen bubbles were observed. After the addition was complete, the reaction mixture was stirred at room temperature for 30 minutes. Excess IPA and THF were removed by distillation at atmospheric pressure. 250 g of di(ethyl)methyl-iso-propoxysilane (99.3% purity) with a boiling point of 63° C. at 55 mm Hg was produced by fractional vacuum distillation. Yield was 80%. GC-MS: 160 (M+), 145, 131, 101, 88, 73, 61, 45.

例2:ジ(メチル)-イソ-プロピル-イソ-プロポキシシランの合成
THF中の992mL(1.98mol)の2Mイソプロピルマグネシウムクロリドを、室温で、1Lのヘキサン中の303.0g(1.98mol)のジ(メチル)-イソ-プロピルクロロシランに添加した。反応混合物を、60℃の温度に緩やかに昇温した。添加を完了した後、室温まで冷却させて、一晩撹拌した。得られた明るい灰色のスラリーをろ過した。蒸留によって溶媒を除去した。生成物を、大気圧で蒸留した。分別真空蒸留によって、134℃の沸点を有する218gのジ(メチル)イソ-プロピル-イソ-プロポキシシランを製造した。図2は、合成したジ(メチル)イソ-プロピル-イソ-プロポキシシランのGC-MSデータを示すチャートである。収率は69%であった。GC-MS:160(M+)、145、117、101、87、75、49、45。
Example 2: Synthesis of di(methyl)-iso-propyl-iso-propoxysilane 992 mL (1.98 mol) of 2M isopropylmagnesium chloride in THF was added at room temperature to 303.0 g (1.98 mol) in 1 L of hexane. of di(methyl)-iso-propylchlorosilane. The reaction mixture was slowly warmed to a temperature of 60°C. After the addition was complete, it was allowed to cool to room temperature and stirred overnight. The resulting light gray slurry was filtered. Solvent was removed by distillation. The product was distilled at atmospheric pressure. 218 g of di(methyl)iso-propyl-iso-propoxysilane with a boiling point of 134° C. were produced by fractional vacuum distillation. FIG. 2 is a chart showing GC-MS data of synthesized di(methyl)iso-propyl-iso-propoxysilane. Yield was 69%. GC-MS: 160 (M+), 145, 117, 101, 87, 75, 49, 45.

以下の全ての堆積実験を、2つのウエハに同時に膜を堆積する300mmのAMAT Producer(登録商標)SEにおいて行った。従って、前駆体及びガスの流量は、同時に2つのウエハに膜を堆積するのに要求される流量に対応する。それぞれのウエハ処理ステーションがそれ自体の独立のRF電力供給を有するとき、記載された、ウエハ毎のRF電力は正しい。両方のウエハ処理ステーションが同じ圧力に保持されるとき、記載された堆積圧力は正しい。Producer(登録商標)SEは、堆積処理が完了した後に特定の膜をUV硬化するのに使用するProducer(登録商標)Nanocureチャンバーを備えていた。 All deposition experiments below were performed on a 300 mm AMAT Producer® SE that deposited films on two wafers simultaneously. Thus, the precursor and gas flow rates correspond to those required to deposit films on two wafers simultaneously. The stated per-wafer RF power is correct when each wafer processing station has its own independent RF power supply. The stated deposition pressure is correct when both wafer processing stations are held at the same pressure. The Producer® SE was equipped with a Producer® Nanocure chamber used to UV cure certain films after the deposition process was completed.

特定の具体的な実施態様及び例を参照して、上で例示及び説明がされたが、それでも、本発明は、示された詳細に限定されることを意図されない。むしろ、特許請求の範囲の均等物の範囲において、及び本発明の趣旨から逸脱することなく、詳細において種々の変更をすることができる。例えば、本文献において広く記載された全ての範囲は、それらの範囲内に、その広い範囲内にある全てのより狭い範囲を含むことが、明確に意図されている。本発明において式(1)及び式(2)において開示される化合物はまた、高い弾性率、高いXPS炭素含有量、及びプラズマ誘起損傷に対する高い抵抗性を有する多孔性の低k膜の堆積のための構造形成剤として使用することができると認められる。 Although illustrated and described above with reference to certain specific embodiments and examples, nevertheless, the invention is not intended to be limited to the details shown. Rather, various modifications may be made in the details within the scope and range of equivalents of the claims and without departing from the spirit of the invention. For example, all ranges recited broadly in this document are expressly intended to include within those ranges all narrower ranges within the broader range. The compounds disclosed in formulas (1) and (2) in the present invention are also useful for the deposition of porous low-k films with high elastic modulus, high XPS carbon content, and high resistance to plasma-induced damage. It is recognized that it can be used as a structuring agent for

厚さ及び屈折率を、WoollamモデルM2000分光エリプソメータにおいて測定した。誘電率を、中度の抵抗のp型ウエハ(8~12Ω・cm)に対してHgプローブ技術を使用して決定した。FTIRスペクトルを、12インチウエハを処理するための窒素パージPike Technologies Map300を備えるThermo Fisher Scientific Model iS50分光計を使用して測定した。FTIRスペクトルを使用して、膜中の架橋ジシリルメチレン基の相対密度を計算した。赤外分光法によって決定した場合に、膜中の末端ケイ素メチル基(すなわちSi-Me又はSi(CH3xの密度、ここでxは1、2又は3である)の合計の密度は、1270cm-1付近を中央とするSi(CH3x赤外バンドの面積を、おおよそ1250cm-1~920cm-1のSiOxバンドの面積によって割ったものの100倍として定義する。赤外分光法によって決定した場合に、膜中の架橋ジシリルメチレン基の相対密度(すなわちSiCH2Siの密度)は、1360cm-1付近を中央とするSiCH2Si赤外バンドの面積を、おおよそ1250cm-1~920cm-1のSiOxバンドの面積によって割ったものの10000倍として定義する。機械特性を、KLA iNanoナノインデンターを使用して決定した。 Thickness and refractive index were measured on a Woollam model M2000 spectroscopic ellipsometer. Dielectric constants were determined using the Hg probe technique on medium resistivity p-type wafers (8-12 Ω·cm). FTIR spectra were measured using a Thermo Fisher Scientific Model iS50 spectrometer equipped with a nitrogen purged Pike Technologies Map300 to process 12 inch wafers. FTIR spectra were used to calculate the relative density of bridging disilylmethylene groups in the film. The total density of terminal silicon methyl groups (i.e., the density of Si—Me or Si(CH 3 ) x , where x is 1, 2 or 3) in the film, as determined by infrared spectroscopy, is Define the area of the Si(CH 3 ) x infrared band centered around 1270 cm −1 as 100 times divided by the area of the SiO x band from approximately 1250 cm −1 to 920 cm −1 . The relative density of bridging disilylmethylene groups in the film (i.e., the density of SiCH2Si ), as determined by infrared spectroscopy, is approximately equal to the area of the SiCH2Si infrared band centered around 1360 cm -1 . Defined as 10000 times divided by the area of the SiO x band from 1250 cm −1 to 920 cm −1 . Mechanical properties were determined using a KLA iNano nanoindenter.

組成データを、PHI 5600(73560、73808)又はThermo K-Alpha(73846)のいずれかにおいてX線光電子分光法(XPS)によって得て、原子重量パーセントで提供する。表に記録した原子重量パーセント(%)の値は、水素を含んでいない。 Compositional data were obtained by X-ray photoelectron spectroscopy (XPS) on either a PHI 5600 (73560, 73808) or Thermo K-Alpha (73846) and are provided in atomic weight percent. The atomic weight percent (%) values reported in the table do not include hydrogen.

下に列挙される例におけるそれぞれの前駆体について、堆積条件を、3.1又は3.2の誘電率で高い機械特性を有する膜を製造するように最適化した。 For each precursor in the examples listed below, the deposition conditions were optimized to produce films with high mechanical properties at dielectric constants of 3.1 or 3.2.

比較例3:高密度の、ジエトキシメチルシラン(DEMS(登録商標))ベースの膜の堆積
高密度の、DEMS(登録商標)ベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した。DEMS(登録商標)前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、750mg/分の流量で、1500sccmのHeキャリアガス流を使用して輸送し、380ミリインチのシャワーヘッド/加熱した土台の間隔で、345℃の土台温度で、10Torrのチャンバー圧力で、300ワットの13.56MHzプラズマを適用した。膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって決定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表2に提供する。
Comparative Example 3: Deposition of Dense, Diethoxymethylsilane (DEMS®)-Based Films Dense, DEMS®-based films were deposited using the following process conditions for 300 mm processing. deposited. The DEMS® precursor was delivered to the reaction chamber via direct liquid injection (DLI) at a flow rate of 750 mg/min using a He carrier gas flow of 1500 sccm and a 380 milliinch showerhead/heating. A 13.56 MHz plasma of 300 Watts was applied at a pedestal temperature of 345° C., a chamber pressure of 10 Torr, and a pedestal spacing of 300 watts. Various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, density of various functional groups as determined by infrared spectroscopy, and atomic composition (%C, %O and %Si) by XPS). was obtained as described above and is provided in Table 2.

比較例4:高密度の、ジエトキシメチルシラン(DEMS(登録商標))ベースの膜の堆積
高密度の、DEMS(登録商標)ベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した。DEMS(登録商標)前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、750mg/分の流量で、2250sccmのHeキャリアガス流を使用して輸送し、380ミリインチのシャワーヘッド/加熱した土台の間隔で、345℃の土台温度で、10Torrのチャンバー圧力で、200ワットの13.56MHzプラズマを適用した。膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって決定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表3に提供する。
Comparative Example 4: Deposition of Dense, Diethoxymethylsilane (DEMS®)-Based Films Dense, DEMS®-based films were deposited using the following process conditions for 300 mm processing. deposited. The DEMS® precursor was delivered to the reaction chamber via direct liquid injection (DLI) at a flow rate of 750 mg/min using a He carrier gas flow of 2250 sccm and a 380 milliinch showerhead/heating. A 13.56 MHz plasma of 200 Watts was applied at a pedestal temperature of 345° C., a chamber pressure of 10 Torr, and a pedestal spacing of 200 watts. Various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, density of various functional groups as determined by infrared spectroscopy, and atomic composition (%C, %O and %Si) by XPS). was obtained as described above and is provided in Table 3.

比較例5:高密度の、1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MESCP)ベースの膜の堆積
高密度の、MPSCPベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した。MPSCP前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、850mg/分の流量で、750sccmのHeキャリアガス流を使用して輸送し、380ミリインチのシャワーヘッド/加熱した土台の間隔で、390℃の土台温度で、7.5Torrのチャンバー圧力で、225ワットの13.56MHzプラズマを適用した、膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって測定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表2に提供する。
Comparative Example 5: Deposition of a dense, 1-methyl-1-isopropoxy-1-silacyclopentane (MESCP)-based film A dense, MPSCP-based film was deposited under the following process conditions for 300 mm processing. deposited using. The MPSCP precursor was delivered to the reaction chamber via direct liquid injection (DLI) at a flow rate of 850 mg/min using a He carrier gas flow of 750 sccm and a showerhead/heated pedestal spacing of 380 milliinch. at a substrate temperature of 390 °C, a chamber pressure of 7.5 Torr, and a 13.56 MHz plasma of 225 Watts applied, various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, infrared spectroscopy). The densities of various functional groups as measured by , and atomic compositions by XPS (%C, %O and %Si)) were obtained as described above and are provided in Table 2.

比較例6:高密度の、1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MPSCP)ベースの膜の堆積
高密度の、MPSCPベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した、MPSCP前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、850mg/分の流量で、750sccmのHeキャリアガス流を使用して輸送し、380ミリインチのシャワーヘッド/加熱した土台の間隔で、390℃の土台温度で、7.5Torrのチャンバー圧力で、275ワットの13.56MHzプラズマを適用した。膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって測定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表3に提供する。
Comparative Example 6: Deposition of a dense, 1-methyl-1-isopropoxy-1-silacyclopentane (MPSCP)-based film A dense, MPSCP-based film was deposited under the following process conditions for 300 mm processing. The MPSCP precursor, deposited using a / A 13.56 MHz plasma of 275 Watts was applied at a pedestal temperature of 390° C. and a chamber pressure of 7.5 Torr at a heated pedestal interval. Various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, density of various functional groups as measured by infrared spectroscopy, and atomic composition (%C, %O and %Si) by XPS). was obtained as described above and is provided in Table 3.

例7:高密度の、ジ(エチル)メチル-イソプロポキシシラン(DEMIPS)ベースの膜の堆積
高密度の、ジ(エチル)メチル-イソプロポキシシランベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した。ジ(エチル)メチル-イソプロポキシシラン前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、850mg/分の流量で、750sccmのHeキャリアガス流を使用して輸送し、8sccmのO2流量で、380ミリインチのシャワーヘッド/加熱した土台の間隔で、390℃の土台温度で、7.5Torrのチャンバー圧力で、225ワットの13.56MHzプラズマを適用した。膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって測定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表2に提供する。
Example 7: Deposition of a dense, di(ethyl)methyl-isopropoxysilane (DEMIPS)-based film A dense, di(ethyl)methyl-isopropoxysilane-based film was deposited by the following process for 300 mm processing. Deposited using conditions. Di(ethyl)methyl-isopropoxysilane precursor was transported into the reaction chamber via direct liquid injection (DLI) at a flow rate of 850 mg/min using a He carrier gas flow of 750 sccm and O A 13.56 MHz plasma of 225 Watts was applied at a flow rate of 2 , a showerhead/heated pedestal spacing of 380 milliinch, a pedestal temperature of 390° C., a chamber pressure of 7.5 Torr. Various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, density of various functional groups as measured by infrared spectroscopy, and atomic composition (%C, %O and %Si) by XPS). was obtained as described above and is provided in Table 2.

例8:高密度の、ジ(エチル)メチル-イソプロポキシシランベースの膜の堆積
高密度の、ジ(エチル)メチル-イソプロポキシシランベースの膜を、300mm処理のための以下のプロセス条件を使用して堆積した。ジ(エチル)メチル-イソプロポキシシラン前駆体を、反応チャンバーに、直接液体注入(DLI)を介して、850mg/分の流量で、750sccmのHeキャリアガス流を使用して輸送し、8sccmのO2流量で、380ミリインチのシャワーヘッド/加熱した土台の間隔で、390℃の土台温度で、7.5Torrのチャンバー圧力で、275ワットの13.56MHzプラズマを適用した。膜の種々の性質(例えば誘電率(k)、弾性率、硬度、赤外分光法によって測定した場合の種々の官能基の密度、及びXPSによる原子組成(%C、%O及び%Si))を、上で説明したように得て、表3に提供する。
Example 8: Deposition of dense, di(ethyl)methyl-isopropoxysilane-based films A dense, di(ethyl)methyl-isopropoxysilane-based film was deposited using the following process conditions for a 300 mm process. and deposited. Di(ethyl)methyl-isopropoxysilane precursor was transported into the reaction chamber via direct liquid injection (DLI) at a flow rate of 850 mg/min using a He carrier gas flow of 750 sccm and O A 13.56 MHz plasma at 275 Watts was applied at a flow rate of 2 , a showerhead/heated pedestal spacing of 380 mm, a pedestal temperature of 390° C., a chamber pressure of 7.5 Torr. Various properties of the film (e.g. dielectric constant (k), elastic modulus, hardness, density of various functional groups as measured by infrared spectroscopy, and atomic composition (%C, %O and %Si) by XPS). was obtained as described above and is provided in Table 3.

300mmのPECVD反応器において、低k前駆体としてDEMIPS、DEMS(登録商標)及びMPSCPを使用して堆積した、高密度の低k膜の堆積のための処理条件を、下の表2に与える。これらの堆積のそれぞれについての処理条件を、3.1の誘電率で高い弾性率を得るように調節した。表2の高密度の低k膜の赤外スペクトルを、表3に示す。それぞれの膜におけるSi(CH3x基及びSiCH2Si基の相対密度を、先に説明したその赤外スペクトルから計算した。 Process conditions for the deposition of dense low-k films deposited using DEMIPS, DEMS® and MPSCP as low-k precursors in a 300 mm PECVD reactor are given in Table 2 below. The processing conditions for each of these depositions were adjusted to obtain a high modulus with a dielectric constant of 3.1. The infrared spectra of the dense low-k films of Table 2 are shown in Table 3. The relative density of Si(CH 3 ) x and SiCH 2 Si groups in each film was calculated from its infrared spectrum previously described.

高密度の低k誘電体膜の堆積のシリーズを、低k前駆体としてDEMIPS、DEMS(登録商標)又はMPSCPを使用して、PECVD反応器において、170~425ワットのプラズマ電力、7.5~10Torrのチャンバー圧力、345~390℃の基材温度、0~30sccmのO2ガス流、600~2250sccmのHeキャリアガス流、0.75~2.0g/分の前駆体液体流、及び0.380インチの電極間隔の種々のプロセス条件の下で堆積した。本明細書において説明されるように、XPSによって炭素含有量を測定した。図4は、異なる誘電率を有する、高密度の、DEMIPS、DEMS(登録商標)及びMPSCP(登録商標)膜の炭素含有量(at%)の間の関係を示している。図4が示すように、先行技術又はDEMS(登録商標)の低k膜は、誘電率が約2.75から約3.45に増加するとき、約17~22at%の狭い範囲の炭素含有量を有していた。図4はまた、先行技術又はMPSCPの底k膜が、同じ誘電率の範囲に対して、約19~約42at%の、より広い範囲の炭素含有量を有していたことを示している。DEMIPS膜はまた、同じ誘電率に対して、約12~31at%の広い範囲の炭素含有量を有しているが、対称的に、DEMIPS膜の炭素含有量は、同じ誘電率におけるMPSCPベースの膜の炭素含有量よりも少ない。このことは、本明細書において説明される式(1)又は式(2)のモノアルコキシシラン化合物をDEMIPSとして使用することの、類似の値の誘電率である高密度の低k誘電体膜を堆積するための他の先行技術の構造形成剤を使用することに対する重要な利点のうち1つを示していて、モノアルコキシシラン前駆体DEMIPSは、MPSCPなどの先行技術の前駆体よりも少ない合計の炭素で、DEMS(登録商標)などの先行技術の前駆体よりも多い合計の炭素で、広い調節可能な範囲である炭素含有量を可能とする。 A series of dense low-k dielectric film depositions were performed using DEMIPS, DEMS® or MPSCP as low-k precursors in a PECVD reactor at 170-425 Watts plasma power, 7.5- 10 Torr chamber pressure, 345-390° C. substrate temperature, 0-30 sccm O 2 gas flow, 600-2250 sccm He carrier gas flow, 0.75-2.0 g/min precursor liquid flow, and 0.75-2.0 g/min precursor liquid flow. Deposited under various process conditions with an electrode spacing of 380 inches. Carbon content was measured by XPS as described herein. FIG. 4 shows the relationship between the carbon content (at %) of dense DEMIPS, DEMS® and MPSCP® films with different dielectric constants. As FIG. 4 shows, prior art or DEMS® low-k films exhibit a narrow range of carbon content of about 17-22 at % as the dielectric constant increases from about 2.75 to about 3.45. had FIG. 4 also shows that the prior art or MPSCP bottom-k films had a wider range of carbon content, from about 19 to about 42 at % for the same range of dielectric constants. DEMIPS films also have a wide range of carbon contents from about 12 to 31 at. less than the carbon content of the film. This demonstrates that using the monoalkoxysilane compounds of formula (1) or formula (2) described herein as DEMIPS yields dense low-k dielectric films with similar values of dielectric constant. Demonstrating one of the key advantages over using other prior art structuring agents for deposition, the monoalkoxysilane precursor DEMIPS has a lower total With carbon, the total carbon is higher than prior art precursors such as DEMS®, allowing for a wide adjustable range of carbon content.

表2は、低k前駆体としてDEMIPS、DEMS(登録商標)及びMPSCPを使用する、k=3.1の誘電率を有する高密度の低k膜の比較を提供している。与えられる膜についての処理条件は、UV硬化などの後処理なしに、高い弾性率を得るように調節した。低炭素含有量の、先行技術のDEMS(登録商標)及びMPSCPベースの膜と比較して、DEMIPS膜は、有意に高い弾性率(約+20%)を有する。さらに、DEMIPS膜は、DEMS(登録商標)ベースの膜と比較して、より高い炭素含有量(約+23%)、より低いSi(CH3)基の密度(約-30%)、及びより高いSiCH2Si基の密度(約+40%)を有する。さらに、DEMIPS膜は、MPSCPベースの膜と比較して、より低い炭素含有量(約-40%)、より低いSi(CH3)基の密度(約-45%)、及びより低いSiCH2Si基の密度(約-40%)を有する。このことは、本明細書において説明される式(1)又は式(2)のモノアルコキシシラン化合物をDEMIPSとして使用することの、類似の値の誘電率である高密度の低k誘電体膜を堆積するための他の先行技術の構造形成剤を使用することに対する、重要な利点を示していて、モノアルコキシシラン前駆体DEMIPSは、非常に高い弾性率、広い調節可能な範囲の炭素含有量、低いSi(CH3)基の密度、及び高いSiCH2Si基の密度を有する低k誘電体膜の堆積を可能とする。同じ値の誘電率について、DEMIPSベースの膜は、少ない合計の炭素含有量を有する膜をもたらすDEMS(登録商標)などの先行技術の前駆体ベースの膜よりも多い合計の炭素含有量を有し、かつ高い合計の炭素含有量を有する膜をもたらすMPSCPなどの先行技術の前駆体ベースの膜よりも少ない合計の炭素含有量を有する。このことは、先行技術のMPSCPベースの膜の非常に高い炭素含有量及び高いSi(CH3)密度は、最終的に、この種類の前駆体を使用して得ることができる最大の弾性率を制限するため、非常に重要な差異である。一方で、低い炭素含有量を有する膜をもたらすDEMS(登録商標)などの先行技術の前駆体は、炭素を、酸化物網目構造中に、SiCH2Siの代わりに、主にSi(CH3)基として組み込んでいて、従って、この種類の前駆体によって得ることができる最大の弾性率を制限している。さらに、低い炭素含有量の、DEMS(登録商標)などの先行技術の前駆体は、それらの低い炭素含有量のために、プラズマ誘起損傷(PID)に対する制限された抵抗性を有する。このことは、本明細書において説明される式(1)又は式(2)のモノアルコキシシラン化合物をDEMIPSとして使用することの、類似の値の誘電率である高密度の低k誘電体膜を堆積するための他の先行技術の構造形成剤を使用することに対する、別の重要な利点を示していて、モノアルコキシシラン前駆体DEMIPSは、DEMS(登録商標)などの先行技術の前駆体と比較して、高い弾性率、その中度の炭素含有量に起因するプラズマ誘起損傷に対する高い抵抗性、低いSi(CH3)基の密度、及び高いSiCH2Si基の密度を有する膜の堆積を可能とする。実際に、高い弾性率、中度の炭素含有量、低いSi(CH3)密度、及び高いSiCH2Si密度の組み合わせは、DEMIPSベースの膜よりも高い炭素含有量を有する低k膜の堆積をもたらすMPSCPなどの先行技術の前駆体と類似のPIDに対する抵抗性を提供することが予期される。 Table 2 provides a comparison of dense low-k films with a dielectric constant of k=3.1 using DEMIPS, DEMS® and MPSCP as low-k precursors. The processing conditions for the given films were adjusted to obtain high modulus without post-treatment such as UV curing. Compared to prior art DEMS® and MPSCP-based films with low carbon content, DEMIPS films have a significantly higher elastic modulus (about +20%). In addition, the DEMIPS film has a higher carbon content (approximately +23%), a lower density of Si(CH 3 ) groups (approximately −30%), and a higher It has a density of SiCH 2 Si groups (about +40%). In addition, DEMIPS films have a lower carbon content (approximately −40%), a lower density of Si(CH 3 ) groups (approximately −45%), and a lower SiCH 2 Si It has a base density (approximately -40%). This demonstrates that using the monoalkoxysilane compounds of formula (1) or formula (2) described herein as DEMIPS yields dense low-k dielectric films with similar values of dielectric constant. Showing significant advantages over using other prior art structuring agents for deposition, the monoalkoxysilane precursor DEMIPS exhibits a very high elastic modulus, a wide tunable range of carbon content, It enables the deposition of low-k dielectric films with low Si(CH 3 ) group densities and high SiCH 2 Si group densities. For the same value of dielectric constant, DEMIPS-based films have a higher total carbon content than prior art precursor-based films such as DEMS®, which result in films with lower total carbon content. , and have a lower total carbon content than prior art precursor-based films such as MPSCP, which results in films with higher total carbon content. This suggests that the very high carbon content and high Si( CH3 ) density of prior art MPSCP-based films ultimately lead to the highest modulus obtainable using this class of precursors. This is a very important difference because it limits On the other hand, prior art precursors such as DEMS®, which lead to films with low carbon content, have carbon in the oxide network mainly Si(CH 3 ) instead of SiCH 2 Si. as a radical, thus limiting the maximum elastic modulus that can be obtained with this class of precursors. In addition, low carbon content prior art precursors such as DEMS® have limited resistance to plasma induced damage (PID) due to their low carbon content. This demonstrates that using the monoalkoxysilane compounds of formula (1) or formula (2) described herein as DEMIPS yields dense low-k dielectric films with similar values of dielectric constant. Showing another important advantage over using other prior art structuring agents for deposition, the monoalkoxysilane precursor DEMIPS compares to prior art precursors such as DEMS® allows deposition of films with high modulus, high resistance to plasma-induced damage due to its moderate carbon content, low density of Si( CH3 ) groups, and high density of SiCH2Si groups. and In fact, the combination of high modulus, moderate carbon content, low Si( CH3 ) density, and high SiCH2Si density leads to the deposition of low-k films with higher carbon content than DEMIPS-based films. It is expected to provide similar resistance to PID as prior art precursors, such as MPSCP, which lead to

表2.高い弾性率を得るように調節した、3.1の誘電率を有する選択膜についての処理条件

Figure 2022548021000007
Table 2. Processing conditions for a selective membrane with a dielectric constant of 3.1 adjusted to obtain a high modulus
Figure 2022548021000007

表3は、低k前駆体としてDEMIPS、DEMS(登録商標)及びMPSCPを使用する、k=3.2の誘電率を有する高密度の低k膜の比較を提供している。与えられる膜についての処理条件は、UV硬化などの後処理なしに、高い弾性率を得るように調節した。低炭素含有量の、先行技術のDEMS(登録商標)及びMPSCPベースの膜と比較して、DEMIPSベースの膜は、有意に高い弾性率(約+16~20%)を有する。さらに、DEMIPS膜は、DEMS(登録商標)ベースの膜と比較して、より高い炭素含有量(約+57%)、より低いSi(CH3)基の密度(約-20%)、及びより高いSiCH2Si基の密度(約+35%)を有する。さらに、DEMIPS膜は、MPSCPベースの膜と比較して、より低い炭素含有量(約-33%)、より低いSi(CH3)基の密度(約-41%)、及びより低いSiCH2Si基の密度(約-36%)を有する。このことは、本明細書において説明される式(1)又は式(2)のモノアルコキシシラン化合物をDEMIPSとして使用することの、類似の値の誘電率である高密度の低k誘電体膜を堆積するための他の先行技術の構造形成剤を使用することに対する、重要な利点を示していて、モノアルコキシシラン前駆体DEMIPSは、非常に高い弾性率、広い調節可能な範囲の炭素含有量、低いSi(CH3)基の密度、及び高いSiCH2Si基の密度を有する低k誘電体膜の堆積を可能とする。同じ値の誘電率について、DEMIPSベースの膜は、DEMS(登録商標)などの先行技術の前駆体ベースの膜よりも多い合計の炭素含有量を有し、かつMPSCPなどの先行技術の前駆体ベースの膜よりも少ない合計の炭素含有量を有する。このことは、先行技術のMPSCPベースの膜の非常に高い炭素含有量及び高いSi(CH3)密度は、最終的に、この種類の前駆体を使用して得ることができる最大の弾性率を制限するため、非常に重要な差異である。一方で、低い炭素含有量を有する膜をもたらすDEMS(登録商標)などの先行技術の前駆体は、炭素を、酸化物網目構造中に、SiCH2Siの代わりに、主にSi(CH3)基として組み込んでいて、従って、この種類の前駆体によって得ることができる最大の弾性率を制限している。さらに、低い炭素含有量の、DEMS(登録商標)などの先行技術の前駆体は、それらの低い炭素含有量のために、プラズマ誘起損傷(PID)に対する制限された抵抗性を有する。このことは、本明細書において説明される式(1)又は式(2)のモノアルコキシシラン化合物をDEMIPSとして使用することの、類似の値の誘電率である高密度の低k誘電体膜を堆積するための他の先行技術の構造形成剤を使用することに対する、別の重要な利点を示していて、モノアルコキシシラン前駆体DEMIPSは、DEMS(登録商標)などの先行技術の前駆体と比較して、より高い弾性率、及び予期される誘起損傷に対するより高い抵抗性を有する膜の堆積を可能とする。これは、DEMS(登録商標)などの先行技術の前駆体から堆積された膜に対する、DEMIPSベースの膜中の、より高い炭素含有量、より低いSi(CH3x基の密度、及びより高いSiCH2Si基の密度に起因する。実際に、高い弾性率、中度の炭素含有量、低いSi(CH3x密度、高いSiCH2Si密度の組み合わせは、MPSCPベースの膜はDEMIPSベースの膜よりも高い炭素含有量を有する低k膜の堆積をもたらすにも関わらず、MPSCPなどの先行技術の前駆体と類似のPIDに対する抵抗性を提供することが予期される。 Table 3 provides a comparison of dense low-k films with a dielectric constant of k=3.2 using DEMIPS, DEMS® and MPSCP as low-k precursors. The processing conditions for the given films were adjusted to obtain high modulus without post-treatment such as UV curing. Compared to prior art DEMS® and MPSCP-based films with low carbon content, DEMIPS-based films have significantly higher elastic moduli (about +16-20%). In addition, the DEMIPS film has a higher carbon content (approximately +57%), a lower density of Si(CH 3 ) groups (approximately −20%), and a higher It has a density of SiCH 2 Si groups (about +35%). In addition, DEMIPS films have a lower carbon content (approximately −33%), a lower density of Si(CH 3 ) groups (approximately −41%), and a lower SiCH 2 Si It has a base density (approximately -36%). This demonstrates that using the monoalkoxysilane compounds of formula (1) or formula (2) described herein as DEMIPS yields dense low-k dielectric films with similar values of dielectric constant. Showing significant advantages over using other prior art structuring agents for deposition, the monoalkoxysilane precursor DEMIPS exhibits a very high elastic modulus, a wide tunable range of carbon content, It enables the deposition of low-k dielectric films with low Si(CH 3 ) group densities and high SiCH 2 Si group densities. For the same value of dielectric constant, DEMIPS-based films have a higher total carbon content than prior art precursor-based films such as DEMS® and prior art precursor-based films such as MPSCP. have a lower total carbon content than the films of This suggests that the very high carbon content and high Si( CH3 ) density of prior art MPSCP-based films ultimately lead to the highest modulus obtainable using this class of precursors. This is a very important difference because it limits On the other hand, prior art precursors such as DEMS®, which lead to films with low carbon content, have carbon in the oxide network mainly Si(CH 3 ) instead of SiCH 2 Si. as a radical, thus limiting the maximum elastic modulus that can be obtained with this class of precursors. In addition, low carbon content prior art precursors such as DEMS® have limited resistance to plasma induced damage (PID) due to their low carbon content. This demonstrates that using the monoalkoxysilane compounds of formula (1) or formula (2) described herein as DEMIPS yields dense low-k dielectric films with similar values of dielectric constant. Showing another important advantage over using other prior art structuring agents for deposition, the monoalkoxysilane precursor DEMIPS compares to prior art precursors such as DEMS® This allows deposition of films with higher elastic modulus and higher resistance to expected induced damage. This is due to higher carbon content, lower density of Si( CH3 ) x groups, and higher This is due to the density of SiCH 2 Si groups. In fact, the combination of high modulus, moderate carbon content, low Si( CH3 ) x density, and high SiCH2Si density suggests that MPSCP-based films have a higher carbon content than DEMIPS-based films. It is expected to provide similar resistance to PID as prior art precursors such as MPSCP, despite resulting in k film deposition.

表3.高い弾性率を得るように調節した、3.2の誘電率を有する選択膜についての処理条件

Figure 2022548021000008
Table 3. Processing conditions for a selective membrane with a dielectric constant of 3.2, adjusted to obtain a high modulus
Figure 2022548021000008

Claims (16)

改善された機械特性を有する高密度の有機シリカ膜を製造するための方法であって、
基材を反応チャンバー中に導入する工程;
式(1)又は(2):
(1)R12MeSiOR3
(式中、R1及びR2が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、ブチル、sec-ブチル若しくはtert-ブチルから独立に選択され、R3が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル、イソ-ブチル若しくはtert-ブチルから選択される)
(2)R4(Me)2SiOR5
(式中、R4が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、ブチル、sec-ブチル若しくはtert-ブチルから選択され、R5が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、n-ブチル、sec-ブチル、イソ-ブチル若しくはtert-ブチルから選択される)
において与えられる構造を有するモノアルコキシシランであって、ハライド、水、金属及びそれらの組み合わせからなる群から選択される1つ又は複数の不純物を実質的に含有しないモノアルコキシシランを含むガス状の組成物を前記反応チャンバー中に導入する工程;並びに
前記反応チャンバー中のモノアルコキシシランを含む前記ガス状の組成物にエネルギーを適用して、モノアルコキシシランを含む前記ガス状の組成物の反応を誘起して、前記基材に有機シリカ膜を堆積する適用工程
を含み、前記有機シリカ膜が、約2.8~約3.30の誘電率及び約9~約32GPaの弾性率を有する、方法。
A method for producing a dense organosilica film with improved mechanical properties, comprising:
introducing a substrate into a reaction chamber;
Formula (1) or (2):
( 1 ) R1R2MeSiOR3
(wherein R 1 and R 2 are independently selected from linear or branched C 1 -C 5 alkyl, preferably ethyl, propyl, iso-propyl, butyl, sec-butyl or tert-butyl; 3 is selected from linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, propyl, iso-propyl, butyl, sec-butyl, iso-butyl or tert-butyl)
( 2 ) R4 (Me) 2SiOR5
(wherein R 4 is selected from linear or branched C 1 -C 5 alkyl, preferably ethyl, propyl, iso-propyl, butyl, sec-butyl or tert-butyl, and R 5 is linear or branched or branched C 1 -C 5 alkyl, preferably selected from ethyl, propyl, iso-propyl, n-butyl, sec-butyl, iso-butyl or tert-butyl)
A gaseous composition comprising a monoalkoxysilane having the structure given in substantially free of one or more impurities selected from the group consisting of halides, water, metals and combinations thereof. introducing a substance into the reaction chamber; and applying energy to the gaseous composition comprising monoalkoxysilane in the reaction chamber to induce reaction of the gaseous composition comprising monoalkoxysilane. and depositing an organosilica film on said substrate, said organosilica film having a dielectric constant of about 2.8 to about 3.30 and an elastic modulus of about 9 to about 32 GPa.
モノアルコキシシランを含む前記ガス状の組成物が、硬化添加剤を含有しない、請求項1に記載の方法。 2. The method of claim 1, wherein said gaseous composition comprising a monoalkoxysilane does not contain a curing additive. 化学気相堆積方法である、請求項1に記載の方法。 2. The method of claim 1, which is a chemical vapor deposition method. プラズマ強化化学気相堆積方法である、請求項1に記載の方法。 2. The method of claim 1, which is a plasma enhanced chemical vapor deposition method. モノアルコキシシランを含む前記ガス状の組成物が、O2、N2O、NO、NO2、CO2、CO、水、H22、オゾン及びそれらの組み合わせからなる群から選択される少なくとも1つの酸化剤をさらに含む、請求項1に記載の方法。 wherein said gaseous composition comprising a monoalkoxysilane is at least selected from the group consisting of O2 , N2O, NO, NO2 , CO2 , CO, water, H2O2 , ozone and combinations thereof; 2. The method of claim 1, further comprising one oxidizing agent. モノアルコキシシランを含む前記ガス状の組成物が、酸化剤を含まない、請求項1に記載の方法。 2. The method of claim 1, wherein the gaseous composition containing monoalkoxysilanes does not contain an oxidizing agent. 前記適用工程における前記反応チャンバーが、He、Ar、N2、Kr、Xe、CO2及びCOからなる群から選択される少なくとも1つのガスを含む、請求項1に記載の方法。 2. The method of claim 1, wherein the reaction chamber in the applying step contains at least one gas selected from the group consisting of He, Ar, N2 , Kr, Xe, CO2 and CO. 前記有機シリカ膜が、632nmにおいて約1.3~約1.6の屈折率(RI)、及びXPSによって測定した場合に約10at%~約30at%の炭素含有量を有する、請求項1に記載の方法。 2. The organosilica film of claim 1, wherein the organosilica film has a refractive index (RI) of about 1.3 to about 1.6 at 632 nm and a carbon content of about 10 at% to about 30 at% as measured by XPS. the method of. 前記有機シリカ膜が、約5nm/分~約700nm/分の速度で堆積される、請求項1に記載の方法。 2. The method of claim 1, wherein the organosilica film is deposited at a rate of about 5 nm/min to about 700 nm/min. 前記有機シリカ膜が、約8~約30の、SiCH2Si/SiOx×104のIR比を有する、請求項8に記載の方法。 9. The method of claim 8, wherein the organosilica film has an IR ratio of SiCH 2 Si/SiO x x10 4 from about 8 to about 30. 誘電体膜の気相堆積のための組成物であって、式(1)又は(2):
(1)R12MeSiOR3
(式中、R1及びR2が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、ブチル、sec-ブチル若しくはtert-ブチルから独立に選択され、R3が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはメチル、エチル、プロピル、イソ-プロピル、ブチル、sec-ブチル、イソ-ブチル若しくはtert-ブチルから選択される)
(2)R4(Me)2SiOR5
(式中、R4が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、ブチル、sec-ブチル若しくはtert-ブチルから選択され、R5が、直鎖又は分岐鎖のC1~C5アルキル、好ましくはエチル、プロピル、イソ-プロピル、n-ブチル、sec-ブチル、イソ-ブチル若しくはtert-ブチルから選択される)
において与えられる構造を有するモノアルコキシシランであって、ハライド、水及び金属からなる群から選択される1つ又は複数の不純物を実質的に含有しないモノアルコキシシランを含む、組成物。
A composition for vapor deposition of dielectric films, comprising formula (1) or (2):
( 1 ) R1R2MeSiOR3
(wherein R 1 and R 2 are independently selected from linear or branched C 1 -C 5 alkyl, preferably ethyl, propyl, iso-propyl, butyl, sec-butyl or tert-butyl; 3 is selected from linear or branched C 1 -C 5 alkyl, preferably methyl, ethyl, propyl, iso-propyl, butyl, sec-butyl, iso-butyl or tert-butyl)
( 2 ) R4 (Me) 2SiOR5
(wherein R 4 is selected from linear or branched C 1 -C 5 alkyl, preferably ethyl, propyl, iso-propyl, butyl, sec-butyl or tert-butyl, and R 5 is linear or branched or branched C 1 -C 5 alkyl, preferably selected from ethyl, propyl, iso-propyl, n-butyl, sec-butyl, iso-butyl or tert-butyl)
A composition comprising a monoalkoxysilane having the structure given in is substantially free of one or more impurities selected from the group consisting of halides, water and metals.
前記モノアルコキシシランが、ジ(エチル)-メチル-メトキシシラン、ジ(エチル)-メチル-エトキシシラン、ジ(エチル)-メチル-n-プロポキシシラン、ジ(エチル)-メチル-イソ-プロポキシシラン、ジ(エチル)メチル(n-ブトキシ)シラン、ジ(エチル)メチル(sec-ブトキシ)シラン、ジ(エチル)メチル(tert-ブトキシ)シラン、トリメチル(イソ-プロポキシ)シラン、トリメチル(イソ-ブトキシ)シラン、トリメチル(sec-ブトキシ)シラン、トリメチル(n-ブトキシ)シラン、トリメチル(tert-ブトキシ)シラン、ジ(プロピル)メチル(メトキシ)シラン、ジ(プロピル)メチル(エトキシ)シラン、ジ(プロピル)メチル(プロポキシ)シラン、ジ(プロピル)メチル(イソ-プロポキシ)シラン、ジ(n-プロピル)メチル(ブトキシ)シラン、ジ(n-プロピル)メチル(sec-ブトキシ)シラン、ジ(n-プロピル)メチル(tert-ブトキシ)シラン、ジ(n-プロピル)メチル(イソ-ブトキシ)シラン、ジ(イソ-プロピル)メチル(メトキシ)シラン、ジ(イソ-プロピル)メチル(エトキシ)シラン、ジ(イソ-プロピル)メチル(プロポキシ)シラン、ジ(イソ-プロピル)メチル(イソ-プロポキシ)シラン、ジ(イソ-プロピル)メチル(n-ブトキシ)シラン、ジ(イソ-プロピル)メチル(sec-ブトキシ)シラン、ジ(イソ-プロピル)メチル(tert-ブトキシ)シラン、ジ(イソ-プロピル)メチル(イソ-ブトキシ)シラン、ジ(メチル)エチル(メトキシ)シラン、ジ(メチル)エチル(エトキシ)シラン、ジ(メチル)エチル(n-プロポキシ)シラン、ジ(メチル)エチル(イソ-プロポキシ)シラン、ジ(メチル)エチル(n-ブトキシ)シラン、ジ(メチル)エチル(sec-ブトキシ)シラン、ジ(メチル)-エチル-tert-ブトキシシラン、ジ(メチル)エチル(イソ-ブトキシ)シラン、ジ(メチル)n-プロピル(メトキシ)シラン、ジ(メチル)n-プロピル(エトキシ)シラン、ジ(メチル)n-プロピル(n-プロポキシ)シラン、ジ(メチル)n-プロピル(イソ-プロポキシ)シラン、ジ(メチル)n-プロピル(ブトキシ)シラン、ジ(メチル)n-プロピル(sec-ブトキシ)シラン、ジ(メチル)n-プロピル(tert-ブトキシ)シラン、ジ(メチル)n-プロピル(イソ-ブトキシ)シラン、ジ(メチル)イソ-プロピル(メトキシ)シラン、ジ(メチル)イソ-プロピル(エトキシ)シラン、ジ(メチル)イソ-プロピル(n-プロポキシ)シラン、ジ(メチル)イソ-プロピル(イソ-プロポキシ)シラン、ジ(メチル)イソ-プロピル(n-ブトキシ)シラン、ジ(メチル)イソ-プロピル(sec-ブトキシ)シラン、ジ(メチル)イソ-プロピル(tert-ブトキシ)シラン、ジ(メチル)イソ-プロピル(イソ-ブトキシ)シラン、ジ(メチル)n-ブチル(メトキシ)シラン、ジ(メチル)n-ブチル(エトキシ)シラン、ジ(メチル)n-ブチル(プロポキシ)シラン、ジ(メチル)n-ブチル(イソ-プロポキシ)シラン、ジ(メチル)n-ブチル(n-ブトキシ)シラン、ジ(メチル)-n-ブチル(sec-ブトキシ)シラン、ジ(メチル)n-ブチル(tert-ブトキシ)シラン、ジ(メチル)-n-ブチル(イソ-ブトキシ)シラン、ジ(メチル)sec-ブチル(メトキシ)シラン、ジ(メチル)sec-ブチル(エトキシ)シラン、ジ(メチル)sec-ブチル(n-プロポキシ)シラン、ジ(メチル)sec-ブチル(イソ-プロポキシ)シラン、ジ(メチル)sec-ブチル(n-ブトキシ)シラン、ジ(メチル)sec-ブチル(sec-ブトキシ)シラン、ジ(メチル)sec-ブチル(tert-ブトキシ)シラン、ジ(メチル)sec-ブチル(イソ-ブトキシ)シラン、ジ(メチル)tert-ブチル(メトキシ)シラン、ジ(メチル)tert-ブチル(エトキシ)シラン、ジ(メチル)tert-ブチル(プロポキシ)シラン、ジ(メチル)tert-ブチル(イソ-プロポキシ)シラン、ジ(メチル)tert-ブチル(n-ブトキシ)シラン、ジ(メチル)tert-ブチル(sec-ブトキシ)シラン、ジ(メチル)tert-ブチル(tert-ブトキシ)シラン、ジ(メチル)tert-ブチル(イソ-ブトキシ)シラン及びそれらの組み合わせからなる群から選択される少なくとも1つを含む、請求項11に記載の組成物。 the monoalkoxysilane is di(ethyl)-methyl-methoxysilane, di(ethyl)-methyl-ethoxysilane, di(ethyl)-methyl-n-propoxysilane, di(ethyl)-methyl-iso-propoxysilane, Di(ethyl)methyl(n-butoxy)silane, Di(ethyl)methyl(sec-butoxy)silane, Di(ethyl)methyl(tert-butoxy)silane, Trimethyl(iso-propoxy)silane, Trimethyl(iso-butoxy)silane Silane, trimethyl(sec-butoxy)silane, trimethyl(n-butoxy)silane, trimethyl(tert-butoxy)silane, di(propyl)methyl(methoxy)silane, di(propyl)methyl(ethoxy)silane, di(propyl) methyl(propoxy)silane, di(propyl)methyl(iso-propoxy)silane, di(n-propyl)methyl(butoxy)silane, di(n-propyl)methyl(sec-butoxy)silane, di(n-propyl) methyl(tert-butoxy)silane, di(n-propyl)methyl(iso-butoxy)silane, di(iso-propyl)methyl(methoxy)silane, di(iso-propyl)methyl(ethoxy)silane, di(iso- propyl)methyl(propoxy)silane, di(iso-propyl)methyl(iso-propoxy)silane, di(iso-propyl)methyl(n-butoxy)silane, di(iso-propyl)methyl(sec-butoxy)silane, Di(iso-propyl)methyl(tert-butoxy)silane, di(iso-propyl)methyl(iso-butoxy)silane, di(methyl)ethyl(methoxy)silane, di(methyl)ethyl(ethoxy)silane, di( methyl)ethyl(n-propoxy)silane, di(methyl)ethyl(iso-propoxy)silane, di(methyl)ethyl(n-butoxy)silane, di(methyl)ethyl(sec-butoxy)silane, di(methyl) -ethyl-tert-butoxysilane, di(methyl)ethyl(iso-butoxy)silane, di(methyl)n-propyl(methoxy)silane, di(methyl)n-propyl(ethoxy)silane, di(methyl)n- propyl(n-propoxy)silane, di(methyl)n-propyl(iso-propoxy)silane, di(methyl)n-propyl(butoxy)silane, di(methyl)n-propyl(sec-butoxy)silane, di( methyl)n-propyl(tert-butoxy)silane, di(methyl)n-propyl di(iso-butoxy)silane, di(methyl)iso-propyl(methoxy)silane, di(methyl)iso-propyl(ethoxy)silane, di(methyl)iso-propyl(n-propoxy)silane, di(methyl) iso-propyl(iso-propoxy)silane, di(methyl)iso-propyl(n-butoxy)silane, di(methyl)iso-propyl(sec-butoxy)silane, di(methyl)iso-propyl(tert-butoxy) Silane, di(methyl)iso-propyl(iso-butoxy)silane, di(methyl)n-butyl(methoxy)silane, di(methyl)n-butyl(ethoxy)silane, di(methyl)n-butyl(propoxy) Silane, di(methyl)n-butyl(iso-propoxy)silane, di(methyl)n-butyl(n-butoxy)silane, di(methyl)-n-butyl(sec-butoxy)silane, di(methyl)n -butyl(tert-butoxy)silane, di(methyl)-n-butyl(iso-butoxy)silane, di(methyl)sec-butyl(methoxy)silane, di(methyl)sec-butyl(ethoxy)silane, di( methyl)sec-butyl(n-propoxy)silane, di(methyl)sec-butyl(iso-propoxy)silane, di(methyl)sec-butyl(n-butoxy)silane, di(methyl)sec-butyl(sec- butoxy)silane, di(methyl)sec-butyl(tert-butoxy)silane, di(methyl)sec-butyl(iso-butoxy)silane, di(methyl)tert-butyl(methoxy)silane, di(methyl)tert- Butyl(ethoxy)silane, di(methyl)tert-butyl(propoxy)silane, di(methyl)tert-butyl(iso-propoxy)silane, di(methyl)tert-butyl(n-butoxy)silane, di(methyl) at least one selected from the group consisting of tert-butyl(sec-butoxy)silane, di(methyl)tert-butyl(tert-butoxy)silane, di(methyl)tert-butyl(iso-butoxy)silane and combinations thereof 12. The composition of claim 11, comprising 前記ハライドが、塩化物イオンを含む、請求項11に記載の組成物。 12. The composition of claim 11, wherein said halide comprises chloride ions. 前記塩化物イオンが、存在する場合には、ICによって測定した場合に50ppm以下の濃度で存在する、請求項13に記載の組成物。 14. The composition of claim 13, wherein said chloride ion, if present, is present at a concentration of 50 ppm or less as measured by IC. 前記塩化物イオンが、存在する場合には、ICによって測定した場合に10ppm以下の濃度で存在する、請求項13に記載の組成物。 14. The composition of claim 13, wherein the chloride ion, if present, is present at a concentration of 10 ppm or less as measured by IC. 前記塩化物イオンが、存在する場合には、ICによって測定した場合に5ppm以下の濃度で存在する、請求項13に記載の組成物。 14. The composition of claim 13, wherein said chloride ion, if present, is present at a concentration of 5 ppm or less as measured by IC.
JP2022516031A 2019-09-13 2020-09-10 Monoalkoxysilanes and high-density organosilica films made therefrom Pending JP2022548021A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962899824P 2019-09-13 2019-09-13
US62/899,824 2019-09-13
PCT/US2020/050095 WO2021050659A1 (en) 2019-09-13 2020-09-10 Monoalkoxysilanes and dense organosilica films made therefrom

Publications (1)

Publication Number Publication Date
JP2022548021A true JP2022548021A (en) 2022-11-16

Family

ID=74867219

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022516031A Pending JP2022548021A (en) 2019-09-13 2020-09-10 Monoalkoxysilanes and high-density organosilica films made therefrom

Country Status (7)

Country Link
US (1) US20220301862A1 (en)
EP (1) EP4018013A4 (en)
JP (1) JP2022548021A (en)
KR (1) KR20220061162A (en)
CN (1) CN114616652A (en)
TW (1) TWI772883B (en)
WO (1) WO2021050659A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240009497A (en) * 2021-05-19 2024-01-22 버슘머트리얼즈 유에스, 엘엘씨 Novel precursor for deposition of films with high elastic modulus

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0798828B2 (en) * 1990-05-18 1995-10-25 東芝シリコーン株式会社 Method for purifying alkoxysilane
JPH08191104A (en) 1995-01-11 1996-07-23 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method thereof
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
JP2000017457A (en) * 1998-07-03 2000-01-18 Shincron:Kk Thin film forming apparatus and thin film forming method
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
JP3084367B1 (en) 1999-03-17 2000-09-04 キヤノン販売株式会社 Method of forming interlayer insulating film and semiconductor device
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7781351B1 (en) * 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20070287849A1 (en) * 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
TWI490363B (en) * 2009-02-06 2015-07-01 Nat Inst For Materials Science Insulator film material, film formation method and insulator film that use the same
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11318411B2 (en) 2019-12-03 2022-05-03 Air Liquide Advanced Technologies U.S. Llc Cold membrane nitrogen rejection process and system

Also Published As

Publication number Publication date
KR20220061162A (en) 2022-05-12
US20220301862A1 (en) 2022-09-22
CN114616652A (en) 2022-06-10
TWI772883B (en) 2022-08-01
EP4018013A1 (en) 2022-06-29
WO2021050659A1 (en) 2021-03-18
TW202110862A (en) 2021-03-16
EP4018013A4 (en) 2022-12-14

Similar Documents

Publication Publication Date Title
JP2020513680A (en) Use of silyl bridged alkyl compound for high density OSG film
TWI729417B (en) Silicon compounds and methods for depositing films using same
JP2022153428A (en) Alkoxysilacyclic or acyloxysilacyclic compound and method for depositing film using the same
JP2022548021A (en) Monoalkoxysilanes and high-density organosilica films made therefrom
US20240052490A1 (en) Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
TWI835339B (en) Alkoxysilanes and dense organosilica films made therefrom
KR102409869B1 (en) Silicon compounds and methods for depositing films using same
US20220388033A1 (en) Precursors for depositing films with high elastic modulus
US11164739B2 (en) Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films
TWI798884B (en) Alkoxydisiloxanes and dense organosilica films made therefrom
JP6993394B2 (en) Silicon compounds and methods of depositing films using silicon compounds
CN110952074B (en) Silicon compound and method for depositing film using silicon compound
JP2023521607A (en) Novel precursors for depositing films with high modulus
WO2023064773A1 (en) Alkoxysilanes and dense organosilica films made therefrom
JP2023542352A (en) Additives that improve the properties of dielectric films
EP4320286A1 (en) New precursors for depositing films with high elastic modulus
US20200048286A1 (en) Silicon compounds and methods for depositing films using same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230905