JP2022540650A - Method and apparatus for post-exposure processing - Google Patents

Method and apparatus for post-exposure processing Download PDF

Info

Publication number
JP2022540650A
JP2022540650A JP2022502067A JP2022502067A JP2022540650A JP 2022540650 A JP2022540650 A JP 2022540650A JP 2022502067 A JP2022502067 A JP 2022502067A JP 2022502067 A JP2022502067 A JP 2022502067A JP 2022540650 A JP2022540650 A JP 2022540650A
Authority
JP
Japan
Prior art keywords
substrate
volume
processing
substrate support
seconds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022502067A
Other languages
Japanese (ja)
Other versions
JP7498257B2 (en
Inventor
アラン ツォ,
チーウェイ リャン,
ランスロット ファン,
ガウタム ピシャローディー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022540650A publication Critical patent/JP2022540650A/en
Application granted granted Critical
Publication of JP7498257B2 publication Critical patent/JP7498257B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Silver Salt Photography Or Processing Solution Therefor (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本明細書に記載される実施形態は、露光後処理のための方法及び装置に関する。より具体的には、本明細書に記載される実施形態は、フィールドガイド式の露光後ベーク(iFGPEB)チャンバ及びプロセスに関する。一実施形態では、基板は、露光後処理チャンバ内へと移送され、その後、複数のリフトピンによって前処理位置へと持ち上げられる。次いで、基板支持体は、持ち上げられて基板と係合し、iFGPEB処理の前にその上に基板を真空チャックする。【選択図】図2Embodiments described herein relate to methods and apparatus for post-exposure processing. More specifically, embodiments described herein relate to field-guided post-exposure bake (iFGPEB) chambers and processes. In one embodiment, the substrate is transferred into the post-exposure processing chamber and then lifted to the pre-processing position by a plurality of lift pins. The substrate support is then lifted to engage the substrate and vacuum chuck the substrate onto it prior to iFGPEB processing. [Selection drawing] Fig. 2

Description

本開示の実施形態は、基板を処理するための方法及び装置に関し、より詳細には、フォトリソグラフィプロセスを改善するための方法及び装置に関する。 TECHNICAL FIELD Embodiments of the present disclosure relate to methods and apparatus for processing substrates, and more particularly to methods and apparatus for improving photolithographic processes.

集積回路は、単一チップ上に数百万個もの部品(例えば、トランジスタ、コンデンサ、及び抵抗)を含むことができる複雑なデバイスへと進化を遂げている。フォトリソグラフィは、チップ上に部品を形成するために使用することができるプロセスである。概して、フォトリソグラフィのプロセスには、幾つかの段階が包含される。最初に、基板上にフォトレジスト層が形成される。化学増幅型フォトレジストは、レジスト樹脂及び光酸発生剤を含みうる。光酸発生剤は、その後の露光段階で電磁放射に露光されると、現像プロセスにおけるフォトレジストの溶解性を変化させる。電磁放射は、例えば、193nmのArFレーザ、電子ビーム、イオンビーム、又は他の適切な源など、任意の適切な波長を有しうる。次いで、露光前ベークプロセスにおいて、過剰な溶媒が除去されうる。 Integrated circuits have evolved into complex devices that can contain millions of components (eg, transistors, capacitors, and resistors) on a single chip. Photolithography is a process that can be used to form components on a chip. Generally, the photolithographic process involves several steps. First, a photoresist layer is formed on the substrate. A chemically amplified photoresist can include a resist resin and a photoacid generator. The photoacid generator changes the solubility of the photoresist during the development process when exposed to electromagnetic radiation in a subsequent exposure step. Electromagnetic radiation may have any suitable wavelength, such as, for example, a 193 nm ArF laser, electron beam, ion beam, or other suitable source. Excess solvent can then be removed in a pre-exposure bake process.

露光段階では、基板のある特定の領域を電磁放射に選択的に露光させるために、フォトマスク又はレチクルが用いられうる。他の露光方法は、マスクレス露光方法でありうる。光酸発生剤は光への露光によって分解されうるため、それによって酸が生成され、レジスト樹脂内に潜在的な酸の画像がもたらされる。露光後、基板は、露光後ベークプロセスにおいて加熱されうる。露光後ベークプロセス中、光酸発生剤によって生成された酸は、フォトレジスト層のレジスト樹脂と反応し、その後の現像プロセス中のフォトレジスト層のレジストの溶解性を変化させる。 In the exposure step, a photomask or reticle may be used to selectively expose certain areas of the substrate to electromagnetic radiation. Another exposure method can be a maskless exposure method. Photoacid generators can be decomposed by exposure to light, thereby producing acid and providing a latent acid image in the resist resin. After exposure, the substrate may be heated in a post-exposure bake process. During the post-exposure bake process, the acid generated by the photoacid generator reacts with the resist resin of the photoresist layer and changes the resist solubility of the photoresist layer during the subsequent development process.

露光後ベークの後、基板、特にフォトレジスト層は、現像され、リンスされる。用いられるフォトレジストのタイプに応じて、電磁放射に露光された基板の領域は、除去に対して耐性を有するか、あるいは除去されやすいかのいずれかでありうる。現像及びリンスの後、マスクのパターンは、ウェットエッチング又はドライエッチング処理を使用して、基板に転写される。 After the post-exposure bake, the substrate, especially the photoresist layer, is developed and rinsed. Depending on the type of photoresist used, areas of the substrate exposed to electromagnetic radiation may be either resistant to removal or susceptible to removal. After developing and rinsing, the pattern of the mask is transferred to the substrate using wet etching or dry etching processes.

チップ設計の進化には、より高速な回路及びより高い回路密度が必要とされる。より高い回路密度の要求は、次に、集積回路部品の寸法の縮小を必要とする。集積回路部品の寸法が縮小されるにつれて、より多くの要素が半導体集積回路の所与の領域に配置される。したがって、リソグラフィプロセスは、集積回路部品の縮小する寸法に対応するために、さらに小さい特徴部を基板上に転写するために利用される。 The evolution of chip designs requires faster circuits and higher circuit densities. The demand for higher circuit densities, in turn, requires shrinking dimensions of integrated circuit components. As the dimensions of integrated circuit components shrink, more elements are placed in a given area of a semiconductor integrated circuit. Accordingly, lithographic processes are utilized to transfer smaller and smaller features onto substrates to accommodate the shrinking dimensions of integrated circuit components.

精密かつ正確なリソグラフィは、パターン化される基板上に配置されたフォトレジスト層の解像度に大きく依存する。最近の開発では、露光/現像解像度を改善するためにフォトレジスト層の所望の部分の化学的特性を変更するように、露光プロセスの前又は後に基板上に配置されたフォトレジスト層に電界を提供するために、電極アセンブリが利用される。しかしながら、このようなシステムを実装する際の課題は克服されていない。 Precise and accurate lithography is highly dependent on the resolution of the photoresist layer placed on the patterned substrate. A recent development is to provide an electric field to a photoresist layer placed on a substrate before or after the exposure process to modify the chemical properties of desired portions of the photoresist layer to improve exposure/development resolution. To do so, an electrode assembly is utilized. However, the challenges in implementing such systems have not been overcome.

したがって、改善された浸漬フィールドガイド式露光後ベークプロセスのための改善された方法及び装置が必要とされている。 Accordingly, there is a need for improved methods and apparatus for improved immersion field-guided post-exposure bake processes.

本開示は、概して、浸漬フィールドガイド式露光後ベークプロセスのための方法及び装置に関する。一実施形態では、該方法は、第1の容積内の複数のリフトピン上に基板を位置づけること、及びリフトピンを第1の位置へと移動させることを含む。基板支持体は、第1の位置まで移動されて基板を係合し、次に、基板と電極とによって部分的に画成された第2の容積に隣接した第2の位置へと移動される。プロセス流体が第2の容積内に導入され、該電極と基板との間に電界が生成される。 The present disclosure relates generally to methods and apparatus for an immersion field-guided post-exposure bake process. In one embodiment, the method includes positioning the substrate on a plurality of lift pins within the first volume and moving the lift pins to the first position. The substrate support is moved to a first position to engage the substrate and then moved to a second position adjacent a second volume partially defined by the substrate and the electrodes. . A process fluid is introduced into the second volume and an electric field is generated between the electrode and the substrate.

一実施形態では、該方法は、処理チャンバの第1の容積内の複数のリフトピン上に基板を位置づけることを含む。基板は、処理チャンバの天井に隣接した前処理位置へと移動され、その際、基板支持体は前処理位置へと移動されて、基板に接触する。基板は、基板支持体に真空チャックされ、該基板支持体は処理位置へと移動されて、処理チャンバ内に第2の容積を形成する。プロセス流体が第2の容積内に導入され、そこに電界が生成される。 In one embodiment, the method includes positioning the substrate on a plurality of lift pins within a first volume of the processing chamber. The substrate is moved to a preprocessing position adjacent the ceiling of the processing chamber, whereupon the substrate support is moved to the preprocessing position and contacts the substrate. The substrate is vacuum chucked to the substrate support and the substrate support is moved to the processing position to form a second volume within the processing chamber. A process fluid is introduced into the second volume and an electric field is generated therein.

一実施形態では、該方法は、処理チャンバの第1の容積内の第1の位置に配置された複数のリフトピン上に基板を位置づけること、及び複数のリフトピンを第2の位置へと移動させることを含む。基板支持体は、第2の位置へと移動されて、基板に接触し、該基板を真空チャックする。基板がその上にチャックされている基板支持体は第1の容積内の第3の位置へと移動され、この第3の位置における基板支持体の配置が、基板によって部分的に画成される処理チャンバ内の第2の容積を形成する。プロセス流体が第2の容積内に導入され、そこに電界が生成される。 In one embodiment, the method includes positioning the substrate on a plurality of lift pins disposed at a first position within a first volume of the processing chamber and moving the plurality of lift pins to a second position. including. The substrate support is moved to a second position to contact and vacuum-chuck the substrate. A substrate support with a substrate chucked thereon is moved to a third position within the first volume, the placement of the substrate support at the third position being partially defined by the substrate. A second volume is formed within the processing chamber. A process fluid is introduced into the second volume and an electric field is generated therein.

本開示の上記特徴部を詳細に理解することができるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、添付の図面は例示的な実施形態を示しているにすぎず、したがって、その範囲を限定するとみなすべきではなく、他の等しく有効な実施形態も許容されうることに留意されたい。 So that the above features of the disclosure may be understood in greater detail, more details of the disclosure briefly summarized above may be had by reference to embodiments, some of which are illustrated in the accompanying drawings. explanation can be obtained. It should be noted, however, that the attached drawings merely depict exemplary embodiments and are therefore not to be considered limiting of its scope, as other equally effective embodiments are permissible.

本明細書に記載される実施形態による、基板を処理するための方法の動作を示す図4A-4D illustrate the operation of a method for processing a substrate according to embodiments described herein; 本明細書に記載される実施形態による、図1の方法の第1段階における処理チャンバを示す図FIG. 2 shows a processing chamber in the first stage of the method of FIG. 1 according to embodiments described herein; 本明細書に記載される実施形態による、図1の方法の第2段階における図2の処理チャンバを示す図FIG. 2 illustrates the processing chamber of FIG. 2 during a second stage of the method of FIG. 1, according to embodiments described herein; 本明細書に記載される実施形態による、図1の方法の第3段階における図2の処理チャンバを示す図FIG. 2 illustrates the processing chamber of FIG. 2 during a third stage of the method of FIG. 1, according to embodiments described herein; 本明細書に記載される実施形態による、図1の方法の第4段階における図2の処理チャンバを示す図FIG. 2 shows the processing chamber of FIG. 2 in a fourth stage of the method of FIG. 1 according to embodiments described herein;

理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。一実施形態の要素及び特徴部は、さらなる記載がなくとも、他の実施形態に有益に組み込むことができることが想定されている。 For ease of understanding, identical reference numbers are used, where possible, to designate identical elements that are common to the drawings. It is envisioned that elements and features of one embodiment may be beneficially incorporated into other embodiments without further recitation.

本明細書に記載される実施形態は、露光後処理のための方法及び装置に関する。より具体的には、本明細書に記載される実施形態は、フィールドガイド式露光後ベーク(iFGPEB)チャンバ及びプロセスに関する。一実施形態では、基板は、露光後処理チャンバ内へと移送され、その後、複数のリフトピンによって前処理位置へと持ち上げられる。次いで、基板支持体は、持ち上げられて基板と係合し、iFGPEB処理の前に基板をその上に真空チャックする。 Embodiments described herein relate to methods and apparatus for post-exposure processing. More specifically, embodiments described herein relate to field-guided post-exposure bake (iFGPEB) chambers and processes. In one embodiment, the substrate is transferred into the post-exposure processing chamber and then lifted to the pre-processing position by a plurality of lift pins. The substrate support is then lifted to engage the substrate and vacuum chuck the substrate onto it prior to iFGPEB processing.

図1は、本明細書に記載される実施形態による、基板を処理するための代表的な方法100の動作を示している。図2~5は、方法100の異なる段階における、処理チャンバ200内の基板201の概略的な断面図を示している。したがって、図2~5への言及は、図1及び必要に応じて方法100の説明に含まれている。基板201を処理するための方法100は、複数の動作を有する。該動作は、任意の順序で又は同時に実行することができ(文脈からその可能性が除外されている場合を除く)、方法100は、定義された動作のいずれかの前、定義された2つの動作の間、又はすべての定義された動作の後に行われる1つ以上の他の動作を含みうる(文脈からその可能性が除外されている場合を除く)。すべての実施形態が、記載されたすべての動作を含むわけではない。 FIG. 1 illustrates operations of an exemplary method 100 for processing a substrate, according to embodiments described herein. 2-5 show schematic cross-sectional views of a substrate 201 within a processing chamber 200 at different stages of the method 100. FIG. Accordingly, reference to FIGS. 2-5 is included in the description of FIG. 1 and method 100 as appropriate. Method 100 for processing substrate 201 has multiple operations. The operations can be performed in any order or concurrently (unless the context excludes that possibility), and method 100 performs two defined operations before any of the defined operations. It may include one or more other actions that occur between the actions or after all defined actions (unless the context excludes that possibility). Not all implementations will include all described acts.

概して、方法100の動作110は、処理チャンバ200内の移送位置270に配置された複数のリフトピン266上に基板201を位置づけること、及び基板支持体208を所望の温度へと加熱することを含む。動作120では、リフトピン266上に位置づけられた基板201が前処理位置272へと上昇する間、基板支持体208は静止したままである。その後、動作130において、基板支持体208が上昇し、基板201を前処理位置272に係合する。動作140において、基板支持体208は、処理位置274へとさらに上昇し、その後、基板201は動作150において処理される。 In general, operation 110 of method 100 includes positioning substrate 201 on a plurality of lift pins 266 positioned at transfer position 270 within processing chamber 200 and heating substrate support 208 to a desired temperature. At operation 120 , substrate support 208 remains stationary while substrate 201 positioned on lift pins 266 is raised to preprocessing position 272 . Thereafter, in operation 130 the substrate support 208 is raised to engage the substrate 201 in the pretreatment position 272 . In operation 140 the substrate support 208 is further raised to the processing position 274 after which the substrate 201 is processed in operation 150 .

図2は、動作110での処理チャンバ200を示している。一実施形態では、処理チャンバ200は、浸漬フィールドガイド式露光後ベーク(iFGPEB)処理を実行するように構成される。図2に示されるように、チャンバ200は、容積203を少なくとも部分的に画成する、側壁204及び底部206を有するチャンバ本体202を含む。基板201の通過に適応するサイズのスリットバルブ205が側壁204に配置される。一実施形態では、チャンバ本体202は、実質的に円筒形状を有する。別の実施形態では、チャンバ本体202は、立方体形状などの多角形を有する。チャンバ本体202は、金属材料など、その中の真空圧を維持するのに適した材料から製造される。例えば、チャンバ本体202は、アルミニウム、ステンレス鋼、及び合金、並びにそれらの組合せから製造される。あるいは、チャンバ本体202は、ポリテトラフルオロエチレン(PTFE)などのポリマー材料、又はポリエーテルエーテルケトン(PEEK)などの高温プラスチックから製造される。 FIG. 2 shows the processing chamber 200 at operation 110 . In one embodiment, processing chamber 200 is configured to perform an immersion field-guided post-exposure bake (iFGPEB) process. As shown in FIG. 2, chamber 200 includes chamber body 202 having sidewalls 204 and bottom 206 that at least partially define volume 203 . A slit valve 205 sized to accommodate the passage of substrate 201 is positioned in side wall 204 . In one embodiment, chamber body 202 has a substantially cylindrical shape. In another embodiment, chamber body 202 has a polygonal shape, such as a cubic shape. Chamber body 202 is manufactured from a material suitable for maintaining a vacuum pressure therein, such as a metallic material. For example, chamber body 202 is manufactured from aluminum, stainless steel and alloys, and combinations thereof. Alternatively, chamber body 202 is manufactured from a polymeric material such as polytetrafluoroethylene (PTFE) or a high temperature plastic such as polyetheretherketone (PEEK).

天井210は、チャンバ本体202に結合され、さらに容積203を画成する。一実施形態では、天井210は、アルミニウム、ステンレス鋼、及び合金、並びにそれらの組合せなどの金属材料から製造される。別の実施形態では、天井210は、PTFE、PEEKなどのポリマー材料から製造される。天井210は、チャンバ本体202を製造するために利用される材料と同じ材料から形成されてもよい。あるいは、天井210は、チャンバ本体202とは異なる材料から形成されてもよい。 Ceiling 210 is coupled to chamber body 202 and further defines volume 203 . In one embodiment, ceiling 210 is manufactured from metallic materials such as aluminum, stainless steel and alloys, and combinations thereof. In another embodiment, ceiling 210 is manufactured from a polymeric material such as PTFE, PEEK. Ceiling 210 may be formed from the same material utilized to manufacture chamber body 202 . Alternatively, ceiling 210 may be formed from a different material than chamber body 202 .

天井210は、電極212に結合され、電極212を支持する。一実施形態では、電極212は、天井210に取り外し可能に結合される。別の実施形態では、電極212は、天井210に固定的に結合される。電極212は、導電性金属材料から形成されうる。加えて、電極212に利用される材料は、非酸化性材料でありうる。電極212用に選択される材料は、電極212の表面全体にわたり、望ましい電流均一性及び低い抵抗をもたらす。第1のOリング214が、電極212の外径に沿って電極212にさらに結合される。第1のOリング214はまた、天井210の側壁216と接触して配置される。第1のOリング214は、処理中にプロセス流体が電極212の後ろに流れることを防止するように構成される。 Ceiling 210 is coupled to and supports electrode 212 . In one embodiment, electrodes 212 are removably coupled to ceiling 210 . In another embodiment, electrodes 212 are fixedly coupled to ceiling 210 . Electrode 212 may be formed from a conductive metallic material. Additionally, the material utilized for electrode 212 may be a non-oxidizing material. The material selected for electrode 212 provides desirable current uniformity and low resistance across the surface of electrode 212 . A first O-ring 214 is further coupled to electrode 212 along the outer diameter of electrode 212 . A first O-ring 214 is also positioned in contact with a sidewall 216 of ceiling 210 . First O-ring 214 is configured to prevent process fluid from flowing behind electrode 212 during processing.

熱源218、温度感知装置220、電源222、及び感知装置224が、電極212に結合される。熱源218は、電極212内に配置された、1つ以上の加熱素子(図示せず)、例えば抵抗ヒータに電力を供給する。熱源218は、iFGPEBプロセス中のプロセス流体の予熱を促進するように構成される。熱源218はまた、プロセス流体の予熱に加えて、又はそれとは区別して、基板処理中にプロセス流体の所望の温度を維持するために利用することができる。一実装形態では、熱源218は、電極212を、約70℃から約150℃の間、例えば約90℃から約130℃の間の温度に加熱するように構成される。例えば、熱源218は、電極212を、約100℃から約120℃の間、例えば約110℃の温度に加熱するように構成される。 A heat source 218 , temperature sensing device 220 , power supply 222 , and sensing device 224 are coupled to electrode 212 . Heat source 218 powers one or more heating elements (not shown), such as resistive heaters, located within electrode 212 . Heat source 218 is configured to facilitate preheating of the process fluid during the iFGPEB process. Heat source 218 may also be utilized to maintain a desired temperature of the process fluid during substrate processing, in addition to preheating the process fluid, or alternatively. In one implementation, heat source 218 is configured to heat electrode 212 to a temperature between about 70°C and about 150°C, such as between about 90°C and about 130°C. For example, heat source 218 is configured to heat electrode 212 to a temperature between about 100°C and about 120°C, such as about 110°C.

熱電対などの温度感知装置220は、熱源218に通信可能に結合されて、温度のモニタリングを提供し、電極212の加熱を促進する。電源222は、例えば、約0Wから約100Wの間、例えば約25Wから約75Wの間で電極212に供給するように構成される。利用されるプロセス流体のタイプに応じて、電源222によって生成される電流は、約数十ナノアンペアから数百ミリアンペアでありうる。一実施形態では、電源222は、約0V/mmから約2000V/mmの範囲の電界を生成するように構成される。例えば、電源222は、約100V/mmから約1800V/mmの範囲、例えば約500V/mmから約1200V/mmの間、例えば約800V/mmから約1000V/mmの間の電界を生成するように構成される。幾つかの実施形態では、電源222は、電圧制御モード又は電流制御モードのいずれかで動作するように構成される。両方のモードにおいて、電源222は、AC、DC、及び/又はパルスDC波形を出力しうる。必要に応じて、方形波又は正弦波が利用されうる。電源222は、約0.1Hzから約1kHzの間の周波数、例えば約100Hzから約750Hzの間の周波数、例えば約250Hzから約500Hzの間で電力を供給するように構成されうる。パルスDC電力又はAC電力のデューティサイクルは、約5%から約95%の間、例えば約25%から約75%の間でありうる。 A temperature sensing device 220 , such as a thermocouple, is communicatively coupled to heat source 218 to provide temperature monitoring and facilitate heating of electrode 212 . Power supply 222 is configured to provide, for example, between about 0 W and about 100 W, such as between about 25 W and about 75 W, to electrode 212 . Depending on the type of process fluid utilized, the current generated by power supply 222 can be on the order of tens of nanoamps to hundreds of milliamps. In one embodiment, power supply 222 is configured to generate an electric field in the range of approximately 0 V/mm to approximately 2000 V/mm. For example, the power supply 222 may generate an electric field in the range of about 100 V/mm to about 1800 V/mm, such as between about 500 V/mm and about 1200 V/mm, such as between about 800 V/mm and about 1000 V/mm. Configured. In some embodiments, power supply 222 is configured to operate in either voltage control mode or current control mode. In both modes, power supply 222 may output AC, DC, and/or pulsed DC waveforms. A square wave or a sine wave may be used if desired. Power supply 222 may be configured to provide power at a frequency between about 0.1 Hz and about 1 kHz, such as between about 100 Hz and about 750 Hz, such as between about 250 Hz and about 500 Hz. The duty cycle of pulsed DC power or AC power can be between about 5% and about 95%, such as between about 25% and about 75%.

パルスDC電力又はAC電力の立ち上がり及び立ち下がり時間は、約1ナノ秒から約1ミリ秒の間、例えば約100ナノ秒から約1マイクロ秒の間でありうる。電圧計などの感知装置224は、電気的フィードバックを提供し、電極212に印加される電力の制御を容易にするために、電源222に通信可能に結合される。感知装置224はまた、電源222を介して電極212に印加された電流を感知するように構成されうる。 Rise and fall times of pulsed DC or AC power can be between about 1 nanosecond and about 1 millisecond, such as between about 100 nanoseconds and about 1 microsecond. A sensing device 224 , such as a voltmeter, is communicatively coupled to power source 222 to provide electrical feedback and facilitate control of power applied to electrode 212 . Sensing device 224 may also be configured to sense current applied to electrode 212 via power source 222 .

第1の複数の流体ポート226は、側壁216を通って天井210に形成される。第2の複数の流体ポート228も、第1の複数の流体ポート226とは反対側の側壁216に形成される。第1の複数の流体ポート226は、第1の導管234を介してプロセス流体源232と流体連結している。第2の複数の流体ポート228は、第2の導管238を介して流体出口236と流体連結している。プロセス流体源232は、単独で、又は他の装置と組み合わせて、プロセス流体を、基板201の処理の前に、約70℃から約150℃の間、例えば約80℃から約140℃の間の温度に予熱し、iFGPEBプロセス中に流体を供給するように構成される。例えば、プロセス流体は、約100℃から約120℃の間、例えば約110℃の温度に加熱される。 A first plurality of fluid ports 226 are formed in ceiling 210 through sidewall 216 . A second plurality of fluid ports 228 are also formed in the side wall 216 opposite the first plurality of fluid ports 226 . A first plurality of fluid ports 226 are in fluid communication with a process fluid source 232 via a first conduit 234 . A second plurality of fluid ports 228 are in fluid communication with fluid outlets 236 via second conduits 238 . Process fluid source 232, alone or in combination with other devices, provides process fluids at a temperature between about 70° C. and about 150° C., such as between about 80° C. and about 140° C., prior to processing substrate 201. Configured to preheat to temperature and supply fluid during the iFGPEB process. For example, the process fluid is heated to a temperature between about 100°C and about 120°C, such as about 110°C.

一実施形態では、パージガス源250もまた、第1の導管234を介して第1の複数の流体ポート226と流体連結する。パージガス源250によって供給されるガスは、iFGPEB処理の前、最中、又は後に処理容積290(図5に示される)をパージするために、窒素、水素、不活性ガスなどのうちの1つ以上を含みうる。必要に応じて、パージガスは、流体出口236を介して処理容積290から排出されうる。 In one embodiment, purge gas source 250 is also in fluid communication with first plurality of fluid ports 226 via first conduit 234 . Gases supplied by purge gas source 250 may be one or more of nitrogen, hydrogen, inert gases, etc. to purge process volume 290 (shown in FIG. 5) before, during, or after iFGPEB processing. can include If desired, purge gas can be exhausted from the processing volume 290 via fluid outlet 236 .

基板支持体208は、容積203内に配置される。一実施形態では、基板支持体208は、チャンバ本体202の底部206にある開口部240を通って配置されたシャフト244に結合される。基板支持体208は、シャフト244に結合されたアクチュエータアセンブリ246によって、容積203内を上昇及び下降する。幾つかの実施形態では、基板支持体208は、その中心軸の周りをさらに回転可能である。 A substrate support 208 is positioned within volume 203 . In one embodiment, substrate support 208 is coupled to shaft 244 disposed through opening 240 in bottom 206 of chamber body 202 . Substrate support 208 is raised and lowered within volume 203 by actuator assembly 246 coupled to shaft 244 . In some embodiments, substrate support 208 is additionally rotatable about its central axis.

真空チャック242が基板支持体208に結合される。真空チャック242は、非金属材料又は他の絶縁材料、例えばセラミック材料などから形成することができる。加えて、真空チャック242は、非酸化性材料から形成されて、プロセス流体と真空チャック242との反応を介した基板酸化の可能性を実質的に低減又は防止することができる。電極212と同様に、真空チャック242に利用される材料は、基板201の処理中に望ましい電流均一性を提供する。具体的には、真空チャック242に利用される材料は、処理中に処理チャンバ200内に生成される電界に無視できる程度の影響を与えるように選択される。 A vacuum chuck 242 is coupled to substrate support 208 . Vacuum chuck 242 may be formed from a non-metallic material or other insulating material, such as a ceramic material. Additionally, the vacuum chuck 242 can be formed from a non-oxidizing material to substantially reduce or prevent the possibility of substrate oxidation via reaction of the process fluid with the vacuum chuck 242 . As with electrode 212 , the material utilized for vacuum chuck 242 provides desirable current uniformity during processing of substrate 201 . Specifically, the materials utilized for vacuum chuck 242 are selected to have a negligible effect on the electric field generated within processing chamber 200 during processing.

真空チャック242は、処理中に基板201をその上に支持するように構成されており、平面支持面242Aを有している。支持面242Aは、その上への基板201の取り付けに適応し、天井210に隣接して位置づけるようにサイズ決めされる。真空源258は、基板支持面242Aと流体連結している。概して、真空源258は、基板支持体208を通って真空チャック242に結合される。真空源258は、処理中に基板201を真空チャック242の支持面242Aに真空チャックするように構成される。 Vacuum chuck 242 is configured to support substrate 201 thereon during processing and has a planar support surface 242A. Support surface 242 A is sized to accommodate mounting of substrate 201 thereon and to be positioned adjacent ceiling 210 . A vacuum source 258 is in fluid communication with the substrate support surface 242A. Generally, vacuum source 258 is coupled to vacuum chuck 242 through substrate support 208 . Vacuum source 258 is configured to vacuum chuck substrate 201 to support surface 242A of vacuum chuck 242 during processing.

電極212と同様に、真空チャック242は、熱源248、温度感知装置252、及び電源254に結合される。熱源248、温度感知装置252、電源254、及び感知装置256は、熱源218、温度感知装置220、電源222、及び感知装置224と同様に機能しうる。例えば、熱源248は、真空チャック242内に配置された、抵抗ヒータ又はセラミックヒータなどの1つ以上の加熱素子に電力を供給する。概して、熱源248は、真空チャック242を加熱して、iFGPEBプロセス中の基板201及び/又はプロセス流体の加熱を促進するように構成される。一実施形態では、熱源248は、真空チャック242を、約75℃から約150℃の間、例えば約100℃から約125℃の間、例えば約110℃から約120℃の間の温度に加熱するように構成される。熱電対などの温度感知装置252は、熱源248に通信可能に結合されて、温度のモニタリングを提供し、真空チャック242の加熱を促進する。 Similar to electrode 212 , vacuum chuck 242 is coupled to heat source 248 , temperature sensing device 252 and power supply 254 . Heat source 248 , temperature sensing device 252 , power source 254 , and sensing device 256 may function similarly to heat source 218 , temperature sensing device 220 , power source 222 , and sensing device 224 . For example, heat source 248 powers one or more heating elements, such as resistive heaters or ceramic heaters, located within vacuum chuck 242 . Generally, heat source 248 is configured to heat vacuum chuck 242 to facilitate heating of substrate 201 and/or process fluids during the iFGPEB process. In one embodiment, heat source 248 heats vacuum chuck 242 to a temperature between about 75°C and about 150°C, such as between about 100°C and about 125°C, such as between about 110°C and about 120°C. configured as A temperature sensing device 252 , such as a thermocouple, is communicatively coupled to heat source 248 to provide temperature monitoring and facilitate heating of vacuum chuck 242 .

一実施形態では、第2のOリング280が、基板支持面242Aの真空チャック242に配置される。第2のOリング280は、その上に配置されたときに、基板201の外径から半径方向内側に約1mmから約12mmの間の距離で真空チャック242上に位置づけられうる。例えば、第2のOリング280は、基板201の外径から半径方向内側に約2mmから約10mmの間、例えば約4mmから約8mmの間の距離で真空チャック242上に位置づけられうる。第2のOリング280は、処理中の処理容積290から基板201の後ろの領域へのプロセス流体の漏れを防止することができると考えられる。 In one embodiment, a second O-ring 280 is positioned on the vacuum chuck 242 on the substrate support surface 242A. The second O-ring 280 can be positioned on the vacuum chuck 242 at a distance between about 1 mm and about 12 mm radially inward from the outer diameter of the substrate 201 when positioned thereon. For example, second O-ring 280 can be positioned on vacuum chuck 242 at a distance radially inward from the outer diameter of substrate 201 between about 2 mm and about 10 mm, such as between about 4 mm and about 8 mm. It is believed that the second O-ring 280 may prevent process fluid leakage from the process volume 290 to the area behind the substrate 201 during processing.

真空チャック242は、第2のOリング280の半径方向外側に配置され、基板支持面242Aを真空チャック242の上面242Bに結合する、レッジ282をさらに含む。上面242Bは、レッジ282及び基板支持面242Aの下かつ半径方向外側に配置される。一実施形態では、第3のOリング284は、上面242Bの真空チャック242に配置される。天井210の第1の下面215は、基板支持体208が処理位置274にあるときに、基板201のエッジ領域に接触するように形状及びサイズが決定される。天井210の第2の下面217は、基板支持面242Aの外径に隣接し、かつその外径から半径方向内側に延びる真空チャック242に接触するように形状及びサイズが決定される。天井210の第3の下面219は、上面242Bに接触するように形状及びサイズが決定される。一実施形態では、第3のOリング284は、基板支持体208が処理位置274に配置されているときに、第3の下面219に接触する。第3のOリング284は、処理中に、プロセス流体が真空チャック242の外径を超えて処理容積290から漏れるのを防止することができると考えられる。 Vacuum chuck 242 further includes a ledge 282 positioned radially outwardly of second O-ring 280 and coupling substrate support surface 242 A to top surface 242 B of vacuum chuck 242 . Top surface 242B is positioned below and radially outward of ledge 282 and substrate support surface 242A. In one embodiment, a third O-ring 284 is positioned on vacuum chuck 242 on top surface 242B. A first lower surface 215 of ceiling 210 is shaped and sized to contact an edge region of substrate 201 when substrate support 208 is in processing position 274 . A second lower surface 217 of ceiling 210 is shaped and sized to contact vacuum chuck 242 adjacent to and extending radially inwardly from the outer diameter of substrate support surface 242A. A third lower surface 219 of ceiling 210 is shaped and sized to contact upper surface 242B. In one embodiment, third O-ring 284 contacts third lower surface 219 when substrate support 208 is positioned in processing position 274 . It is believed that the third O-ring 284 may prevent process fluid from leaking out of the processing volume 290 beyond the outer diameter of the vacuum chuck 242 during processing.

基板支持体208及び真空チャック242の各々は、それぞれ、複数のリフトピン孔262、264を含む。複数のリフトピン孔262は、複数のリフトピン孔264と位置合わせされる。複数のリフトピン266は、複数のリフトピン孔262、264を通して、並びにチャンバ底部206の複数の孔241を通して移動可能に配置される。複数のリフトピン266は、チャンバ底部206、基板支持体208、並びに、移送位置270、前処理位置272(図3に示される)、及び処理位置274(図5に示される)間の真空チャック242を通してリフトピン266を変位させる、リフトピンアクチュエータ268に結合される。 Substrate support 208 and vacuum chuck 242 each include a plurality of lift pin holes 262, 264, respectively. A plurality of lift pin holes 262 are aligned with a plurality of lift pin holes 264 . A plurality of lift pins 266 are movably disposed through the plurality of lift pin holes 262 , 264 and through the plurality of holes 241 in the chamber bottom 206 . A plurality of lift pins 266 pass through chamber bottom 206, substrate support 208, and vacuum chuck 242 between transfer position 270, pre-processing position 272 (shown in FIG. 3), and processing position 274 (shown in FIG. 5). It is coupled to a lift pin actuator 268 that displaces the lift pin 266 .

動作110では、基板201は、ロボットブレード又は他の適切な移送デバイス(図示せず)によってスリットバルブ205を通って容積203へと移送され、複数のリフトピン266の上端267に位置づけられる。リフトピン266の上端267は、基板支持体208より上に上昇しているが、スリットバルブ205よりわずかに低い移送位置270に配置される。真空チャック242が結合されている基板支持体208は、動作110中に基板201と基板支持面242Aとの間に接触がなされないように、下降位置(例えば、チャンバ底部206に対して)に位置づけられる。一例では、リフトピン266の上端267は、基板支持面242Aから約10mmから約110mmの間、例えば約30mmから約90mmの間の距離で配置される。別の例では、リフトピン266の上端267は、基板支持面242Aから約50mmから約90mmの間、例えば約60mmから約80mmの間の距離で配置される。処理チャンバ200内に基板201が位置づけられると、真空チャック242は、熱源248によって、約75℃から約150℃の間、例えば約100℃から約125℃の間、例えば、約115℃の温度に加熱される。 At operation 110 , substrate 201 is transferred by a robot blade or other suitable transfer device (not shown) through slit valve 205 into volume 203 and positioned on upper ends 267 of a plurality of lift pins 266 . The upper ends 267 of the lift pins 266 are located in a transfer position 270 elevated above the substrate support 208 but slightly below the slit valve 205 . Substrate support 208, to which vacuum chuck 242 is coupled, is positioned in a lowered position (eg, relative to chamber bottom 206) such that no contact is made between substrate 201 and substrate support surface 242A during operation 110. be done. In one example, upper ends 267 of lift pins 266 are positioned a distance from substrate support surface 242A between about 10 mm and about 110 mm, such as between about 30 mm and about 90 mm. In another example, upper ends 267 of lift pins 266 are positioned a distance from substrate support surface 242A between about 50 mm and about 90 mm, such as between about 60 mm and about 80 mm. Once the substrate 201 is positioned within the processing chamber 200, the vacuum chuck 242 is heated by the heat source 248 to a temperature of between about 75°C and about 150°C, such as between about 100°C and about 125°C, such as about 115°C. heated.

動作120では、図3に示されるように、リフトピン266上に配置された基板201は、前処理位置272へと上昇される。リフトピンアクチュエータ268は、複数のリフトピン266を移送位置270から前処理位置272へと上昇させる。一実施形態では、基板201は、約2から約6秒の間、例えば約2から約4秒の間、例えば約3秒で移送位置270から前処理位置272へと移動される。一実施形態では、基板201は、電極212の下面213から約1mmから約25mmの間の距離、例えば約5mmから約20mmの間の距離を有する前処理位置272へと上昇される。例えば、前処理位置272は、約10mmから約15mmの間、例えば約12mmの距離を有する。 At operation 120, substrate 201, which is positioned on lift pins 266, is raised to pre-processing position 272, as shown in FIG. A lift pin actuator 268 raises a plurality of lift pins 266 from the transfer position 270 to the pretreatment position 272 . In one embodiment, substrate 201 is moved from transfer position 270 to pretreatment position 272 in about 2 to about 6 seconds, such as about 2 to about 4 seconds, such as about 3 seconds. In one embodiment, substrate 201 is elevated to pretreatment position 272 having a distance from lower surface 213 of electrode 212 between about 1 mm and about 25 mm, such as a distance between about 5 mm and about 20 mm. For example, pretreatment location 272 has a distance of between about 10 mm and about 15 mm, such as about 12 mm.

動作130では、図4に示されるように、基板支持体208は、真空チャック242の基板支持面242Aがリフトピン266の上端267よりわずかに高いか、又は実質的に同一平面上になるように、前処理位置272へと持ち上げられる。したがって、基板支持体208は、基板201と係合して、その上に基板201を支持する。次に、真空源258が作動して、基板201を真空チャック242の支持面242Aに真空チャックする。一実施形態では、基板支持体208は、約2から約5秒の間、例えば約2から約4秒の間、例えば約3秒で、前処理位置272まで持ち上げられ、基板201を係合する。動作130の前の基板支持体208と基板201との間の接触を回避することによって、真空チャック242は、基板201への直接の熱伝達なしに所望の温度へと加熱することができ、基板201の加熱は、iFGPEB処理中に電界の印加と実質的に同時に開始するように遅延させることができる。 At operation 130, the substrate support 208 is moved such that the substrate support surface 242A of the vacuum chuck 242 is slightly higher than or substantially coplanar with the upper ends 267 of the lift pins 266, as shown in FIG. It is lifted to pretreatment position 272 . Thus, substrate support 208 engages substrate 201 and supports substrate 201 thereon. Vacuum source 258 is then activated to vacuum chuck substrate 201 to support surface 242 A of vacuum chuck 242 . In one embodiment, the substrate support 208 is raised to the pre-processing position 272 and engages the substrate 201 in about 2 to about 5 seconds, such as about 2 to about 4 seconds, such as about 3 seconds. . By avoiding contact between the substrate support 208 and the substrate 201 prior to operation 130, the vacuum chuck 242 can be heated to a desired temperature without direct heat transfer to the substrate 201, allowing the substrate to The heating of 201 can be delayed to begin at substantially the same time as the application of the electric field during iFGPEB processing.

動作140では、図5に示されるように、基板201を真空チャック242にチャックした後、基板支持体208は、真空チャック242及び基板201が天井210に接触する処理位置274まで持ち上げられる。例えば、基板201のエッジ領域は第1の下面215に接触し、基板支持面242Aのエッジ領域は第2の下面217に接触し、上面242B及び第3のOリング284は第3の下面219に接触する。処理位置274における基板支持体208の配置は、第1のOリング214、第2のOリング280、及び第3のOリング284によって流体密封されている、基板201と電極212との間の処理容積の形成をもたらす。 In operation 140, after chucking substrate 201 to vacuum chuck 242, substrate support 208 is lifted to processing position 274 where vacuum chuck 242 and substrate 201 contact ceiling 210, as shown in FIG. For example, an edge region of substrate 201 contacts first bottom surface 215 , an edge region of substrate support surface 242 A contacts second bottom surface 217 , top surface 242 B and third O-ring 284 contact third bottom surface 219 . Contact. Positioning of substrate support 208 at processing position 274 is fluid-tight by first O-ring 214 , second O-ring 280 , and third O-ring 284 , and processing between substrate 201 and electrode 212 . Resulting in the formation of volume.

一実施形態では、基板支持体208は、約0.1秒から約2秒の間、例えば約0.5秒から約1.5秒の間に前処理位置272から処理位置274まで持ち上げられる。例えば、基板支持体208は、約0.75秒から約1.25秒の間、例えば約1秒で前処理位置272から処理位置274まで持ち上げられる。したがって、基板を移送位置270から処理位置274へと移動させるのに必要とされる合計時間は、約0.1秒から約3秒の間、例えば約0.5秒から約2.5秒の間でありうる。例えば、基板201を移送位置270から処理位置274へと移動させるのに必要とされる合計時間は、約1秒から約2秒の間、例えば約1.5秒である。 In one embodiment, substrate support 208 is lifted from pre-processing position 272 to processing position 274 between about 0.1 seconds and about 2 seconds, such as between about 0.5 seconds and about 1.5 seconds. For example, substrate support 208 is lifted from pre-processing position 272 to processing position 274 in between about 0.75 seconds and about 1.25 seconds, eg, about 1 second. Accordingly, the total time required to move the substrate from transfer position 270 to processing position 274 is between about 0.1 seconds and about 3 seconds, such as between about 0.5 seconds and about 2.5 seconds. can be between For example, the total time required to move substrate 201 from transfer position 270 to processing position 274 is between about 1 second and about 2 seconds, eg, about 1.5 seconds.

一実施形態では、処理容積290は、基板201と電極212の下面213との間に画成される高さ292を有する。一例では、処理容積290の高さ292は、約1mmから約10mmの間、例えば約2mmから約8mmの間である。例えば、処理容積290の高さ292は、約4mmから約6mmの間、例えば約5mmである。基板201と電極212との間の比較的短い距離は、処理容積290の容積を減少させ、iFGPEB処理中に減少した量のプロセス流体を利用可能にする。さらには、低減された高さ292は、基板201の表面全体にわたって実質的により均一な電界をもたらし、その結果、iFGPEB処理中のパターニング特性を改善することができる。加えて、所望の電界を生成し、iFGPEB中にプロセス流体を加熱するために必要とされる電力を削減することができる。 In one embodiment, processing volume 290 has a height 292 defined between substrate 201 and bottom surface 213 of electrode 212 . In one example, the height 292 of the processing volume 290 is between about 1 mm and about 10 mm, such as between about 2 mm and about 8 mm. For example, the height 292 of the processing volume 290 is between about 4 mm and about 6 mm, such as about 5 mm. The relatively short distance between substrate 201 and electrode 212 reduces the volume of process volume 290, making a reduced amount of process fluid available during iFGPEB processing. Furthermore, the reduced height 292 can result in a substantially more uniform electric field across the surface of the substrate 201, resulting in improved patterning properties during iFGPEB processing. Additionally, the power required to generate the desired electric field and heat the process fluid during iFGPEB can be reduced.

処理位置274に基板支持体208を位置づけ、処理容積290を形成した後、基板201は動作150においてiFGPEBプロセスにさらされる。iFGPEB処理中に、処理容積290は、プロセス流体源232から始まり、第1の導管234を通って移動する流路を有する、プロセス流体、例えばガス又は液体で満たされる。プロセス流体は、第1の導管234から出て、第1の複数の流体ポート226を介して処理容積290に入る。処理容積290へのプロセス流体の流量は、処理容積290内の流体の乱流を低減し、その中の気泡の形成を低減又は排除するように調節されうる。例えば、処理容積290へのプロセス流体の流量は1L/分から約12L/分の間、例えば約5L/分から約10L/分の間に調節されうる。プロセス流体はまた、処理容積290に導入される前に、処理温度へと予熱されうる。例えば、プロセス流体は、プロセス流体源232によって、約90℃から約150℃の間など、約70℃から約170℃の間の温度へと予熱されうる。例えば、プロセス流体は、約110℃から約130℃の間、例えば約120℃の温度に加熱される。 After positioning substrate support 208 at processing position 274 and forming processing volume 290 , substrate 201 is subjected to an iFGPEB process in operation 150 . During iFGPEB processing, the processing volume 290 is filled with a process fluid, such as a gas or liquid, having a flow path originating from the process fluid source 232 and traveling through the first conduit 234 . Process fluid exits first conduit 234 and enters processing volume 290 via first plurality of fluid ports 226 . The flow rate of the process fluid to the process volume 290 may be adjusted to reduce turbulence of the fluid within the process volume 290 and reduce or eliminate the formation of air bubbles therein. For example, the flow rate of process fluid to process volume 290 can be adjusted between 1 L/min and about 12 L/min, such as between about 5 L/min and about 10 L/min. The process fluid may also be preheated to a process temperature prior to being introduced into process volume 290 . For example, the process fluid can be preheated by the process fluid source 232 to a temperature between about 70°C and about 170°C, such as between about 90°C and about 150°C. For example, the process fluid is heated to a temperature between about 110°C and about 130°C, such as about 120°C.

処理容積290がプロセス流体で満たされると、電極212によって基板201に電界が印加される。一実施形態では、電界は、約10秒から約90秒の間、例えば約25秒から約75秒の間、例えば約40秒から約60秒の間、例えば約50秒の時間量で、基板201に印加されうる。幾つかの実施形態では、処理容積290内に配置された流体は、基板201の処理中、停滞している。幾つかの実施形態では、処理容積290の流体体積は、循環又は交換される。このような実施形態では、処理容積290が第1の導管234及び第1の流体ポート226を介してプロセス流体で満たされると、該プロセス流体もまた第2の流体ポート228及び第2の導管238を介して処理容積290から出て、最終的には流体出口236において処理チャンバ200から除去される。電界の印加後、プロセス流体は、処理容積290から排出されてよく、処理された基板201がその上にチャックされている基板支持体208は下降されうる。 An electric field is applied to the substrate 201 by the electrodes 212 when the process volume 290 is filled with process fluid. In one embodiment, the electric field is applied to the substrate for an amount of time between about 10 seconds and about 90 seconds, such as between about 25 seconds and about 75 seconds, such as between about 40 seconds and about 60 seconds, such as about 50 seconds. 201. In some embodiments, fluid disposed within processing volume 290 is stagnant during processing of substrate 201 . In some embodiments, the fluid volume in processing volume 290 is circulated or exchanged. In such an embodiment, when process volume 290 is filled with process fluid via first conduit 234 and first fluid port 226 , the process fluid also flows through second fluid port 228 and second conduit 238 . out of the processing volume 290 via and ultimately removed from the processing chamber 200 at the fluid outlet 236 . After application of the electric field, the process fluid may be evacuated from the processing volume 290 and the substrate support 208 with the processed substrate 201 chucked thereon may be lowered.

上述の方法及び装置は、電界を印加する前に基板が熱に曝露される時間量を短縮することにより、iFGPEB処理性能を向上させる。電界を印加する直前に基板を加熱された基板支持体と係合させることにより、加熱された基板支持体と基板との間の望ましくない熱伝達が最小限に抑えられる。したがって、フォトレジスト内の光酸発生剤によって生成される酸のランダムな熱拡散は、実質的に低減させることができ、したがって、フォトレジストの熱によって引き起こされる脱保護を低減させる。フォトレジストの前処理による脱保護の低減により、フォトレジストの現像/露光解像度が向上し、光酸発生剤によって生成される帯電種の拡散の制御が向上し、それにより、リソグラフィ中の回路の特徴部をより正確に転写することができるようになる。 The methods and apparatus described above improve iFGPEB processing performance by reducing the amount of time the substrate is exposed to heat prior to applying an electric field. By engaging the substrate with the heated substrate support just prior to applying the electric field, unwanted heat transfer between the heated substrate support and the substrate is minimized. Therefore, random thermal diffusion of acid generated by the photoacid generator in the photoresist can be substantially reduced, thus reducing thermally induced deprotection of the photoresist. Reduced deprotection due to photoresist pretreatment improves photoresist development/exposure resolution and improves control over diffusion of charged species generated by photoacid generators, thereby improving circuit features during lithography. parts can be transferred more accurately.

要約すると、iFGPEB処理を改善するための装置及び方法が提供される。本明細書に記載される処理チャンバは、プロセス流体の効率的な利用、及びiFGPEB動作中の電界の改善された印加を可能にする。フォトレジストの解像度も、電界を印加する前に基板が高温に曝露される時間量を短縮することによって改善され、したがって、iFGPEB処理前のフォトレジスト化学種の反応を低減する。したがって、iFGPEB処理動作は、本明細書に記載される装置及び方法を利用することによって改善することができる。 In summary, apparatus and methods are provided for improving iFGPEB processing. The processing chambers described herein enable efficient utilization of process fluids and improved application of electric fields during iFGPEB operation. The resolution of the photoresist is also improved by reducing the amount of time the substrate is exposed to high temperature prior to applying the electric field, thus reducing the reaction of photoresist species prior to iFGPEB processing. Accordingly, iFGPEB processing operations can be improved by utilizing the apparatus and methods described herein.

以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく、本開示の他の実施形態及びさらなる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。
While the above description is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope of the disclosure, which covers: , is determined by the following claims.

Claims (20)

基板処理方法であって、
第1の位置に配置されている、第1の容積内の複数のリフトピン上に基板を位置づけること;
前記基板が上に位置付けられている前記複数のリフトピンを前記第1の容積内の第2の位置へと移動させること;
前記第1の容積内に配置された基板支持体を前記第2の位置へと移動させて、前記基板を係合すること;
前記基板が係合されている前記基板支持体を第2の容積に隣接した第3の位置へと移動させること;及び
前記第2の容積内で前記基板を処理すること
を含む、方法。
A substrate processing method comprising:
positioning the substrate on a plurality of lift pins within the first volume disposed at a first position;
moving the plurality of lift pins, on which the substrate is positioned, to a second position within the first volume;
moving a substrate support disposed within the first volume to the second position to engage the substrate;
A method comprising: moving the substrate support with the substrate engaged thereon to a third position adjacent a second volume; and processing the substrate within the second volume.
前記リフトピンの上端が、前記第1の位置にあるときに、前記基板支持体から約10mmから約100mmの間の距離で配置される、請求項1に記載の方法。 2. The method of claim 1, wherein upper ends of the lift pins are positioned a distance between about 10 mm and about 100 mm from the substrate support when in the first position. 前記基板支持体が、前記第2の位置に移動する前に、約75℃から約150℃の間の温度へと加熱される、請求項1に記載の方法。 2. The method of claim 1, wherein the substrate support is heated to a temperature between about 75[deg.]C and about 150[deg.]C prior to moving to the second position. 前記基板支持体が、加熱後約2秒から約5秒の間に前記第2の位置へと移動される、請求項3に記載の方法。 4. The method of claim 3, wherein the substrate support is moved to the second position between about 2 seconds and about 5 seconds after heating. 前記基板支持体が、その上面に前記基板を真空チャックすることによって、前記基板を係合する、請求項1に記載の方法。 2. The method of claim 1, wherein the substrate support engages the substrate by vacuum chucking the substrate to its upper surface. 前記基板支持体が、約0.1秒から約2秒の間に、前記第2の位置から前記第3の位置へと移動される、請求項1に記載の方法。 2. The method of claim 1, wherein the substrate support is moved from the second position to the third position between about 0.1 seconds and about 2 seconds. 前記基板が前記第1の位置から前記第3の位置へと移動する合計時間が、約0.1秒から約3秒の間である、請求項1に記載の方法。 2. The method of claim 1, wherein the total time for said substrate to move from said first position to said third position is between about 0.1 seconds and about 3 seconds. 前記基板を処理することが、
前記第2の容積にプロセス流体を導入すること;及び
前記第2の容積内に電界を生成すること
をさらに含む、請求項1に記載の方法。
processing the substrate,
2. The method of claim 1, further comprising: introducing a process fluid into said second volume; and generating an electric field within said second volume.
前記基板が前記第3の位置に配置されたときに、前記基板と前記処理チャンバの天井に配置された電極との間に、前記第2の容積の高さが画定される、請求項8に記載の方法。 9. The method of claim 8, wherein a height of said second volume is defined between said substrate and an electrode located on the ceiling of said processing chamber when said substrate is located in said third position. described method. 前記第2の容積の前記高さが、約1mmから約10mmの間である、請求項9に記載の方法。 10. The method of claim 9, wherein said height of said second volume is between about 1 mm and about 10 mm. 基板処理方法であって、
処理チャンバの第1の容積内の複数のリフトピン上に基板を位置づけること;
前記基板を前記処理チャンバの天井に隣接した前処理位置へと移動させること;
基板支持体を前記前処理位置へと移動させて前記基板に接触させること;
前記基板を前記基板支持体に真空チャックすること;
前記基板が上に真空チャックされている前記基板支持体を処理位置へと移動させることであって、前記基板支持体を前記処理位置へと移動させた結果、前記処理チャンバに第2の容積が形成される、処理位置へと移動させること;
前記第2の容積にプロセス流体を導入すること;及び
前記第2の容積内に電界を生成すること
を含む、方法。
A substrate processing method comprising:
positioning the substrate on a plurality of lift pins within the first volume of the processing chamber;
moving the substrate to a pre-processing position adjacent to the ceiling of the processing chamber;
moving a substrate support to the preprocessing position and into contact with the substrate;
vacuum chucking the substrate to the substrate support;
moving the substrate support with the substrate vacuum-chucked thereon to a processing position, wherein moving the substrate support to the processing position results in a second volume in the processing chamber. forming, moving to a processing position;
A method comprising: introducing a process fluid into said second volume; and generating an electric field within said second volume.
前記リフトピンの上端が、前記前処理位置へと移動する前に、前記基板支持体から約30mmから約90mmの間の移送位置に配置される、請求項11に記載の方法。 12. The method of claim 11, wherein upper ends of said lift pins are positioned in a transfer position between about 30 mm and about 90 mm from said substrate support prior to moving to said preprocessing position. 前記基板支持体が、約0.5秒から約1.5秒の間に、前記前処理位置から前記処理位置へと移動される、請求項12に記載の方法。 13. The method of Claim 12, wherein the substrate support is moved from the pre-processing position to the processing position between about 0.5 seconds and about 1.5 seconds. 前記基板が前記移送位置から前記処理位置へと移動する合計時間が、約0.5秒から約2.5秒の間である、請求項13に記載の方法。 14. The method of claim 13, wherein the total time for said substrate to move from said transfer position to said processing position is between about 0.5 seconds and about 2.5 seconds. 前記基板支持体が、前記前処理位置へと移動する前に、約100℃から約125℃の間の温度へと加熱される、請求項11に記載の方法。 12. The method of claim 11, wherein the substrate support is heated to a temperature between about 100[deg.]C and about 125[deg.]C prior to moving to the preprocessing position. 前記基板支持体が、加熱後約2秒から約4秒の間に前記前処理位置へと移動される、請求項15に記載の方法。 16. The method of claim 15, wherein the substrate support is moved to the preprocessing position between about 2 seconds and about 4 seconds after heating. 前記基板が前記処理位置に配置されたときに、前記基板と前記処理チャンバの天井に配置された電極とによって、前記第2の容積が部分的に画定される、請求項11に記載の方法。 12. The method of claim 11, wherein the second volume is partially defined by the substrate and an electrode positioned on the ceiling of the processing chamber when the substrate is positioned in the processing position. 前記第2の容積が、前記基板支持体又は電極に配置された1つ以上のOリングによって流体密封される、請求項17に記載の方法。 18. The method of claim 17, wherein the second volume is fluid-tight by one or more o-rings disposed on the substrate support or electrode. プロセス流体が、1L/分から12L/分の間の流量で前記第2の容積内へと導入される、請求項18に記載の方法。 19. The method of claim 18, wherein process fluid is introduced into the second volume at a flow rate between 1 L/min and 12 L/min. 基板処理方法であって、
処理チャンバの第1の容積内の第1の位置に配置された複数のリフトピン上に基板を位置づけること;
前記複数のリフトピンを前記処理チャンバの前記第1の容積内の第2の位置へと移動させること;
基板支持体を前記第2の位置へと移動させて、前記基板に接触させ、真空チャックすること;
前記基板が上にチャックされている前記基板支持体を前記処理チャンバの前記第1の容積内の第3の位置へと移動させることであって、前記第3の位置における前記基板支持体の配置が、前記処理チャンバ内に第2の容積を形成し、該第2の容積が前記基板によって部分的に画定される、第3の位置へと移動させること;
前記第2の容積にプロセス流体を導入すること;及び
前記第2の容積内に電界を生成すること
を含む、方法。
A substrate processing method comprising:
positioning the substrate on a plurality of lift pins positioned at a first position within the first volume of the processing chamber;
moving the plurality of lift pins to a second position within the first volume of the processing chamber;
moving a substrate support to the second position to contact and vacuum-chuck the substrate;
moving the substrate support with the substrate chucked thereon to a third position within the first volume of the processing chamber, wherein positioning the substrate support at the third position; forming a second volume within the processing chamber and moving to a third position where the second volume is partially defined by the substrate;
A method comprising: introducing a process fluid into said second volume; and generating an electric field within said second volume.
JP2022502067A 2019-07-17 2020-06-25 Method and apparatus for post-exposure processing - Patents.com Active JP7498257B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962875300P 2019-07-17 2019-07-17
US62/875,300 2019-07-17
PCT/US2020/039508 WO2021011166A1 (en) 2019-07-17 2020-06-25 Methods and apparatus for post exposure processing

Publications (2)

Publication Number Publication Date
JP2022540650A true JP2022540650A (en) 2022-09-16
JP7498257B2 JP7498257B2 (en) 2024-06-11

Family

ID=74210974

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022502067A Active JP7498257B2 (en) 2019-07-17 2020-06-25 Method and apparatus for post-exposure processing - Patents.com

Country Status (6)

Country Link
EP (1) EP3999912A4 (en)
JP (1) JP7498257B2 (en)
KR (1) KR20220020961A (en)
CN (1) CN113994456A (en)
TW (2) TW202347567A (en)
WO (1) WO2021011166A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4291954A1 (en) 2021-02-15 2023-12-20 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107126A (en) * 1996-09-17 1998-04-24 Applied Materials Inc Cooling chamber and method for operating cooling chamber
JP2003060012A (en) * 2001-08-08 2003-02-28 Asm Japan Kk Reaction chamber for semiconductor treatment
JP2012515451A (en) * 2009-01-16 2012-07-05 アプライド マテリアルズ インコーポレイテッド Substrate support with gas inlet opening
JP2017525131A (en) * 2014-06-10 2017-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electric field / magnetic field guided acid diffusion

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020011216A1 (en) * 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
JP3479771B2 (en) 2000-06-02 2003-12-15 東京エレクトロン株式会社 Heat treatment equipment
US20130333616A1 (en) * 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
KR101541392B1 (en) * 2014-01-06 2015-08-03 에이피티씨 주식회사 Apparatus for manufacturing semiconductor device and method of fabricating the semiconductor device using the same
US9823570B2 (en) * 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
WO2017066418A1 (en) * 2015-10-15 2017-04-20 Applied Materials, Inc. Substrate carrier system
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
JP6242933B2 (en) * 2016-03-31 2017-12-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107126A (en) * 1996-09-17 1998-04-24 Applied Materials Inc Cooling chamber and method for operating cooling chamber
JP2003060012A (en) * 2001-08-08 2003-02-28 Asm Japan Kk Reaction chamber for semiconductor treatment
JP2012515451A (en) * 2009-01-16 2012-07-05 アプライド マテリアルズ インコーポレイテッド Substrate support with gas inlet opening
JP2017525131A (en) * 2014-06-10 2017-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electric field / magnetic field guided acid diffusion

Also Published As

Publication number Publication date
TW202109732A (en) 2021-03-01
CN113994456A (en) 2022-01-28
WO2021011166A1 (en) 2021-01-21
TWI813889B (en) 2023-09-01
EP3999912A4 (en) 2023-08-02
TW202347567A (en) 2023-12-01
JP7498257B2 (en) 2024-06-11
EP3999912A1 (en) 2022-05-25
KR20220020961A (en) 2022-02-21

Similar Documents

Publication Publication Date Title
JP7389174B2 (en) Equipment for post-exposure bake
TWI806503B (en) Apparatus for post exposure processing of photoresist wafers
US9377692B2 (en) Electric/magnetic field guided acid diffusion
US9280070B2 (en) Field guided exposure and post-exposure bake process
JP7498257B2 (en) Method and apparatus for post-exposure processing - Patents.com
EP4012751B1 (en) Apparatus for field guided acid profile control in a photoresist layer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220310

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240311

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240530

R150 Certificate of patent or registration of utility model

Ref document number: 7498257

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150