JP2022532757A - 3次元sramアーキテクチャ及び光導波路を製造するための触媒影響化学エッチング - Google Patents

3次元sramアーキテクチャ及び光導波路を製造するための触媒影響化学エッチング Download PDF

Info

Publication number
JP2022532757A
JP2022532757A JP2021568401A JP2021568401A JP2022532757A JP 2022532757 A JP2022532757 A JP 2022532757A JP 2021568401 A JP2021568401 A JP 2021568401A JP 2021568401 A JP2021568401 A JP 2021568401A JP 2022532757 A JP2022532757 A JP 2022532757A
Authority
JP
Japan
Prior art keywords
silicon
etching
sram
layers
nanosheet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021568401A
Other languages
English (en)
Inventor
ヴイ. スリーニヴァッサン,シトルガタ
マラバラプ,アクヒラ
クルカルニ,ジェイディープ
ワッツ,マイケル
バナジー,サンジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2022532757A publication Critical patent/JP2022532757A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1223Basic optical elements, e.g. light-guiding paths high refractive index type, i.e. high-contrast waveguides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

触媒影響化学エッチング(CICE)を用いて、3次元(3D)スタティックランダムアクセスメモリ(SRAM)アーキテクチャを製造するための方法。CICEを利用して、半導体フィンを、エッチングテーパがなく、なめらかな側壁で、最大高さ制限なくエッチングすることができる。CICEは、所望する多数のナノシート層の積み重ねを可能とするとともに、SRAMセルのための3D積層アーキテクチャを可能にする。さらに、CICEは、シリコン導波路のエッチングに利用可能であり、それにより、伝送効率を向上するなめらかな側壁の導波路を形成し、また、光子ベース量子回路のための、光子の識別不能性に影響を与え得る荷電変動を排除するために利用可能である。【選択図】図3

Description

(関連出願の相互参照)
本出願は、2019年5月13日に出願された「Three-Dimensional SRAM Architectures Using Catalyst Influenced Chemical Etching」と題する米国仮特許出願第62/847,196号、及び2019年10月7日に出願された「Low Loss, High Yield Waveguides for Large-Scale Integrated Silicon Photonics」と題する米国仮特許出願第62/911,837号の優先権を主張し、それらの全体が参照により本明細書に組み込まれる。
(技術分野)
本発明は、一般に、スタティックランダムアクセスメモリ(SRAM)アーキテクチャ及びフォトニック集積回路に関し、特に、三次元SRAMアーキテクチャを作り、低いラインエッジ粗さ及び側壁粗さを有する光導波路を作るために、触媒影響化学エッチングを利用することに関する。
触媒影響化学エッチング(Catalyst Infuluenced Chemical Etching:CICE)は、高アスペクト比、低側壁テーパ、低側壁粗さ、及び/又は、制御可能な空隙率を有する、シリコン、ゲルマニウム等の半導体におけるフィーチャを作るために使用することができる触媒ベースのエッチング方法である。この方法は、低損失導波路だけでなく、より高密度でより高性能のスタティックランダムアクセスメモリ(SRAM)を作成するために使用される。
スタティックランダムアクセスメモリ(SRAM)は、各ビットを記憶するために双安定ラッチ回路(フリップ・フロップ)を使用する半導体ランダムアクセスメモリ(RAM)の一種である。SRAMは、データ残留磁気を示すが、メモリに電力が供給されないときにデータが最終的に失われるという従来の意味では、依然として揮発性である。
SRAMは、その高速アクセス時間、安定性及びCMOS論理デバイスとの互換性のため、集積回路で一般的に使用される。これは、プロセッサとメモリの両方を同じチップに搭載することで性能が向上するように設計された組み込みメモリである。SRAMアレイは多くの回路設計においてチップ領域の大きな割合を占める。SRAMビットセルには多くのタイプがあり、最も一般的に使用されているのは、その優れた堅牢性、低電力動作及び高容量のため、6トランジスタ(6T)SRAMセルである。その他のセル設計では、性能と安定性の要件に応じて、4トランジスタ(4T)、7トランジスタ(7T)、8トランジスタ(8T)等が使用される。FinFETベースのSRAM設計へのシフトのようなトランジスタのスケーリングにより、より高いSRAM密度を達成した。トランジスタは、FinFETや次世代ナノシートトランジスタ等、3次元にスケールされているが、SRAMトランジスタの配置は2次元のままである。3次元(3D)NANDフラッシュ等の他のメモリデバイスは、メモリセルのスタッキングを利用して、フットプリントを増大させることなくメモリ密度を増大させる。SRAMは論理が埋め込まれているため、集積回路に3D積層SRAMセルを集積することは困難である。
さらに、フォトニック集積回路(Photonic Integrated Circuits:PICs)は、光インターコネクト用の半導体産業において開発されている。PICは、複数の(少なくとも2つの)フォトニック機能を集積化した装置であり、例えば、電子集積回路に類似している。両者の大きな違いは、PICが光波長(例えば、300nm~1650nm)に課された情報信号のための機能を提供することである。
PICは、回路の速度を向上させ、より高速で高性能な古典計算機や量子計算機を可能にするために、さまざまなアプリケーションで使用できる。光導波路は、シリコンチップにおけるより高速な相互接続を可能にすることができる。インターポーザとダイ・チップ間は、古典的な金属ベースの相互接続の代わりに、光相互接続を用いて接続することができる。しかしながら、これらの導波路は、既存の回路と一体化する必要がある。重要な考慮事項は、作製プロセス中の不完全性に影響される、動作中の伝送損失である。
PIC、単一光子源及び検出器と一体化した場合、光子ベースの量子コンピューティングは、成熟したCMOS技術を用いてスケールアップの可能性を有する大規模量子回路を可能にすることができる。しかしながら、これらの量子回路素子の製造中に極端に低い欠陥許容度のために、フォトニック量子回路の小型化には一定の課題が生じる。
PICにおけるフォトニック回路の他の素子との集積及び光子の伝送中の損失は歩留まりに影響し、産業における展開のバリアーとなる。
本発明の一実施形態では、多層ナノ構造を作製する方法は、2以上の層を含む半導体材料を提供することを含む。この方法は、半導体材料の表面上に触媒層をパターニングすることをさらに含む。該方法は、パターン化された触媒層をエッチング液に曝すことをさらに含み、ここで、パターン化された触媒層及びエッチング液は、半導体材料のエッチングを引き起こして垂直型ナノ構造を形成し、ここで、垂直型ナノ構造は、材料、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドーパント材料のうちの少なくとも1つにおいて異なった2以上の層を含む。さらに、本方法は、垂直ナノ構造の一部に階段状構造を形成することを含む。さらに、この方法は、垂直ナノ構造の少なくとも一部の周りに第2の材料を充填することを含む。さらに、この方法は、2以上の層のうちの1つ以上を選択的に処理して、その化学組成を変化させる、又は、それを除去することを含む。
本発明の別の実施形態では、3次元(3D)SRAMデバイスは、フィンの垂直方向に沿って1以上のナノシートFETを含み、フィンの壁角は89.5度よりも大きく、1以上のナノシートFETは、物質、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドープ物質のうちの少なくとも1つにおいて異なった物質の2以上の層を使用して生成される。さらに、1以上のナノシートFETは、フィンの組成とは異なる組成を有する物質によって分離される、又は、空気によって分離される。
3次元(3D)SRAMデバイスは、フィンの垂直方向に沿って1以上のフィン電界効果トランジスタ(FinFET)を含み、フィンの壁角は89.5度よりも大きく、1以上のFinFETは、物質、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドープ物質のうちの少なくとも1つにおいて異なった物質の2以上の層を使用して生成される。さらに、1以上のFinFETは、フィンの組成とは異なる組成を有する物質によって分離される、又は、空気によって分離される。
上記は、以下の本発明の詳細な説明がより良く理解され得るように、本発明の1以上の実施形態の特徴及び技術的利点をむしろ一般的に概説した。本発明のさらなる特徴及び利点は、本発明の特許請求の範囲の主題を形成し得る以下に記載される。
本発明のより良い理解は、以下の詳細な説明が以下の図面と併せて考慮されるときに得られる。
本発明の一実施形態による、異なるエッチングテーパ角度によるナノシート層の最大数を示す図である。 本発明の一実施形態による、2層の「上部」及び「下部」SRAMセルのためのSRAM回路接続を示す。 本発明の一実施形態による、上側及び下側SRAMセルのためのワード線(WL)及びビット線(BL)へのフィン、ゲート、ソース及びドレイン並びにコンタクトビアを示すトップダウンSRAM2ビットセルレイアウトを示す。 本発明の一実施形態による、ゲートの下に3つのナノシート層を有するナノシートFETを備えたSRAM2ビットセルを示す図である。 本発明の一実施形態による、ゲートの下に3つのナノシート層を有するナノシートFETを備えたSRAM2ビットセルを示す図である。 本発明の一実施形態による、ゲートの下にフィンを備えたFinFETを備えたSRAM2ビットセルを示す図である。 本発明の一実施形態による、ゲートの下にフィンを備えたFinFETを備えたSRAM2ビットセルを示す図である。 本発明の一実施形態による、下側トランジスタへのアクセスのための変更された階段接続を有するワード線(WL)の代替レイアウトを示す。 本発明の一実施形態による、階段状構造を使用するサブレイヤトランジスタへのサブレイヤアクセスのための拡張フィンを示す図である。 本発明の一実施形態による、ゲート領域のみに接続されたフィンを有する代替レイアウトを示す。 本発明の一実施形態による、SRAMセルのアレイを作成するように構成された多くのSRAM2ビットセルの例示的なレイアウトを示す。 本発明の一実施形態による、リソグラフィリンク接続を有するSRAMセルのアレイを作成するための、多くのSRAM2ビットセルの例示的なレイアウトを示す。 は、本発明の一実施形態によるナノシート多層FETを製造する方法のフローチャートである。 本発明の一実施形態による、図3に記載される工程を使用してナノシート多層FETを製造するための断面図を示す。 本発明の一実施形態によるナノシート多層FETを製造するための代替方法のフローチャートである。 本発明の一実施形態による、図5に記載される工程を使用してナノシート多層FETを製造するための断面図を示す。 は、本発明の一実施形態によるナノシート多層FETを製造するための別の代替方法のフローチャートである。 本発明の一実施形態に従った、図7に記載される工程を使用してナノシート多層FETを作製するための2つの代替階段状フィン-幾何学の横断面図を描く。 本発明の一実施形態に従った、図7に記載される工程を使用してナノシート多層FETを作製するための2つの代替階段状フィン-幾何学の横断面図を描く。 本発明の一実施形態による、結晶学的テーパを使用して階段状コンタクトを生成することを示す。 本発明の一実施形態による、コンタクト及び階段が一方向に整列するようなコンタクト及び階段の例示的な配置を示す。 本発明の一実施形態による3次元SRAMアーキテクチャを作成する方法のフローチャートである。 本発明の一実施形態による、図11に記載された工程を使用して3次元SRAMアーキテクチャを作成するための断面図を示す。 本発明の一実施形態による、図11に記載された工程を使用して3次元SRAMアーキテクチャを作成するための断面図を示す。 本発明の一実施形態による3次元SRAMアーキテクチャを作成するための代替方法のフローチャートである。 本発明の一実施形態に従った、図13に記載された工程を使用して3次元SRAMアーキテクチャを作成するためのSRAMレイアウトのトップダウン図を示す。 本発明の一実施形態による、表面損傷を伴わずにNbN等の超伝導材料をパターニングするための方法のフローチャートである。 本発明の一実施形態に従った、図15に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。 本発明の一実施形態による、表面損傷のない、NbN等の超伝導材料のパターニングのための代替方法のフローチャートである。 本発明の一実施形態に従った、図17に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。 本発明の一実施形態による、表面損傷のない、NbN等の超伝導材料のパターン形成のための別の代替方法のフローチャートである。 本発明の一実施形態に従った、図19に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。 本発明の一実施形態による、表面損傷なしにNbN等の超伝導材料をパターニングするための追加の代替方法のフローチャートである。 本発明の一実施形態による、図21に記載された工程を使用して、表面損傷なしに超伝導材料をパターン化するための断面図を示す。 本発明の一実施形態による、CICEを用いて導波路を作成するための方法のフローチャートである。 本発明の一実施形態による、図23に記載される工程を使用してCICEを有する導波路を作製するための断面図を示す。 本発明の一実施形態による、CICEを用いて導波路を作成するための代替方法のフローチャートである。 本発明の一実施形態による、図25に記載される工程を使用してCICEを有する導波路を作製するための断面図を示す。 本発明の一実施形態による、CICEを用いて導波路を生成するためのさらなる代替方法のフローチャートである。 本発明の一実施形態による、図27に記載された工程を使用してCICEを有する導波路を作成するための断面図を示す。 本発明の一実施形態による、CICEを用いて導波路を作成するための別の代替方法のフローチャートである。 本発明の一実施形態による、図29に記載される工程を使用してCICEを有する導波路を作製するための断面図を示す。 本発明の一実施形態による、CICEを用いて導波路を生成するためのさらなる代替方法のフローチャートである。 本発明の一実施形態による、図31に記載される工程を使用してCICEを有する導波路を作製するための断面図を示す。 本発明の一実施形態によるCICEを有するバルクシリコンウエハを使用して導波路を作成する方法のフローチャートである。 本発明の一実施形態による、図33に記載される工程を使用して、CICEを有するバルクシリコンウエハを使用して導波路を作成するための断面図を示す。 本発明の一実施形態によるCICEを有するバルクシリコンウエハを使用して導波路を作成するための代替方法のフローチャートである。 本発明の一実施形態による、図35に記載される工程を使用して、CICEを有するバルクシリコンウエハを使用して導波路を作成するための断面図を示す。 本発明の一実施形態による、CICEを有するシリコンウエハを使用して導波路を作成する方法のフローチャートである。 本発明の一実施形態による、図37に記載される工程を使用して、CICEを有するシリコンウエハを使用して導波路を作成するための断面図を描く。 本発明の一実施形態による、CICEを有するシリコンウエハを使用して導波路を形成するための代替方法のフローチャートである。 本発明の一実施形態による、図39に記載される工程を使用して、CICEを有するシリコンウエハを使用して導波路を作成するための断面図を示す。 本発明の一実施形態によるシリコン超格子エッチング(SiSE)を使用してシリコン導波路の複数の層を作成するためのフローチャートである。 本発明の一実施形態による、図45に記載される工程を使用して、SiSEを使用して、シリコン導波路の複数の層を生成するための断面図を示す。
背景技術の項で述べたように、触媒影響化学エッチング(CICE)は、シリコン(Si)、ゲルマニウム(Ge)、シリコン-ゲルマニウム合金(SiGe1-x)、窒化ガリウム(GaN)、リン化インジウム(InP)、ガリウムヒ素(GaAs)、インジウムヒ素(InAs)、リン化ガリウム(GaP)、インジウムガリウムヒ素(InGaAs)、リン化インジウムガリウム(InGaP)、リン化インジウムガリウム(InGaP)、炭化ケイ素(SiC)、シリコン-ゲルマニウム(SiGe)、IV族元素、III-V族化合物、II-V族化合物等、並びに半導体の多層等の半導体基板上で使用することができる触媒ベースのエッチング方法である。CICE用の基材は、単結晶バルクシリコンウエハ、シリコン・オン・インシュレータウエハ、シリコンオンサファイアウエハ、ゲルマニウムウエハ、ドープシリコンウエハ等のいずれかを含む。加えて、基板は、基板上に堆積された100nmを超える厚さのポリシリコンの層、基板上に堆積された100nmを超える厚さのアモルファスシリコンの層、及び基板上の100nmを超える厚さのエピタキシャルシリコンの層のような、半導体材料の層を含むことができる。一実施形態では、基材は、様々等プタイプ及び/又は濃度のシリコンの交互層、シリコンの交互層、シリコン-ゲルマニウム合金(SiGe-1-x)及び/又はゲルマニウム等からなる材料積層体を含むことができる。一実施形態では、交互層の各層の厚さは、1nm~500nmである。
CICEは、89.5度を超える側壁テーパ(90度は完全に垂直構造である)を有する高度に異方性の半導体ナノ構造をエッチングするために使用され、得られる構造は、100nm未満の少なくとも1つの横方向寸法と、少なくとも5:1の最小横方向寸法に対するフィーチャの高さのアスペクト比とを有する。一実施形態では、ナノ構造形状における少なくとも1つの寸法におけるフィーチャサイズは、200nm未満であり、アスペクト比は、10:1より大きい。一実施形態では、アスペクト比は50:1より大きい。一実施形態では、壁角度は、ナノ構造の上部及び下部における臨界フィーチャ寸法を測定することによって測定され、例えば、ナノ構造断面の上部は50nmを測定し、下部は50.5nmを測定する。一実施形態では、断面測定は、走査型電子顕微鏡(SEM)、原子間力顕微鏡(AFM)、透過型電子顕微鏡(TEM)、光散乱測定等の計測技術を用いて行われる。
CICEは、半導体基板をエッチングするために触媒を使用し、その触媒をパターニングするために、フォトリソグラフィ、電子ビームリソグラフィ、ナノスフェアリソグラフィ、ブロック共重合体、レーザ干渉リソグラフィ、コロイドリソグラフィ、ダブルパターニング、クォードパターニング、ナノインプリントリソグラフィ及び陽極酸化アルミニウム(AAO)テンプレートのようなパターニング技術を有する高アスペクト比フィーチャを作るために使用されてきた。触媒は、炭素、クロム(Cr)等のようなエッチング遅延物質と組み合わせて使用することができる。この設備は、エッチング液(例えば、フッ化水素酸(HF)、フッ化アンモニウム(NHF)、緩衝HF、及び/又は硫酸(HSO)、HO等のフッ化物種、並びに過酸化水素(H)、酸化バナジウム(V)、過マンガン酸カリウム(KMnO)、溶存酸素等の酸化剤)を含む液に浸漬される。アルコール(例えば、エタノール、イソプロピルアルコール、エチレングリコール等)、エッチング均一性を調節するための材料(例えば、界面活性剤、可溶性ポリマー、ジメチルスルホキシド(DMSO)等)、溶媒(例えば、脱イオン(DI)水、DMSO等)、及び緩衝溶液等の他の化学物質もまた、エッチング組成物に含まれ得る。使用される化学物質は、エッチングされる半導体基板に依存する。必要であれば、非水性エッチング液を使用することもできる。シリコン基板用のこのようなエッチング液の実施形態は、DI HO、H、及びエタノール及び電波を含む。触媒材料の実施形態には、ルテニウム(Ru)、イリジウム(Ir)、銀(Ag)、金(Au)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、銅(Cu)、タングステン(W)、酸化ルテニウム(IV)(RuO)、酸化イリジウム(IV)(IrO)、窒化チタン(TiN)、グラフェン及びカーボンが含まれる。
一実施形態では、触媒メッシュを有する得られた基質は、エッチング液溶液中に置かれ、電場、温度勾配、及びその場でエッチング深さを決定することができる光学画像システムによって能動的に制御された、ある深さまで正確にエッチングされる。CICEの後、触媒は、王水、塩素ベースのプラズマ等を用いた原子層エッチング、化学エッチング又はプラズマエッチングを用いて除去される。
一実施形態では、CICEプロセスのメカニズムは、触媒による酸化剤の還元を含み、それによって正に帯電した正孔h+を生成することができる。次に、これらの正孔は、金属を介して金属-半導体界面に注入され、それによって、金属の下の半導体を酸化する。酸化されたケイ素は、エッチング液のフッ化物成分によって溶解され、このフッ化物成分は、触媒の側面から、そして触媒を通って拡散し、そして可溶性生成物は、拡散する。この方法のエッチング速度及び結果として生じる空隙率は、ドープ剤の種類、濃度、膜厚及びエッチング液濃度に依存する。電場と磁場の両方を用いて、エッチングプロセス中のホールの拡散によるポロシティのより大きな均一性/制御を達成した。
一実施形態では、触媒材料の堆積は、化学蒸着、原子層堆積、物理蒸着、熱蒸発、電子ビーム蒸着、電着、選択原子層堆積等のうちの1つを使用して達成される。
原子層堆積(ALD)のための前駆体を、以下に示す表1に列挙する:
Figure 2022532757000002
一実施形態では、堆積された触媒は、プラズマエッチング、ウェットエッチング、気相エッチング、リフトオフ、金属破断を伴う堆積、原子層エッチング等を用いてパターニングされる必要がある。
一実施形態では、Ruは、触媒影響化学エッチング(CICE)のための触媒として使用される。
一実施形態では、Ruは、(a)ビス(エチルシクロペンタジエニル)ルテニウム(II)及びO、NH等を可能な限り共反応剤(b)(エチルベンジル)(1-エチル-1,4-シクロヘキサジエニル)Ru(0)前駆体及びOを可能な限り共反応剤(c)熱RuO4(ToRuS)/H等)として用いて原子層蒸着を用いて蒸着することができる。Ruはまた、使用される前駆体に応じて、パターン化されたALD抑制物質及び/又はALD強化物質を用いて、選択的ALDを使用して所望の領域に選択的に堆積させることができる。一実施形態では、ALD抑制物質はSiOであり、ALD強化物質はチタニウム(Ti)である。別の実施形態では、ALD抑制物質はSi-Hであり、ALD強化物質はSiOである。
一実施形態では、堆積されたRuは、フォトレジスト、ポリマー、インプリントレジスト、酸化ケイ素、窒化ケイ素等のエッチングマスクを用いて、オゾン、プラズマO、O/Cl化学を使用してパターン化及びエッチングすることができる。Ruは、プラズマエッチングの場合と同様のガス化学物質による原子層エッチングを用いてエッチングすることもできる。Ruは、次亜塩素酸ナトリウム混合物を使用して湿式エッチングすることもできる。
RuによるCICEの後、オゾン、プラズマO、O/Cl化学又はCMOS適合性次亜塩素酸塩溶液による湿式又は気相化学を使用して、金属を除去することができる。この態様に関する議論は、米国特許出願公開第2018/060176号、Sreenivasanら、「Catalyst Influenced Pattern Transfer Technology」に提供されている。
一実施形態では、触媒は、全てのフィンがリソグラフィックリンクを使用して接続されるように、崩壊を防止するように設計される。触媒のふらつきをさらに防止するために、連結されたリンクは、全ての触媒領域を連結するリソグラフィックリンクで作られ、一方、全てのフィンが連結されて潰れを防止することを確実にする。一実施形態では、触媒影響化学エッチングによる高アスペクト比半導体構造の実質的な崩壊を防止するための方法は、半導体材料の表面上に触媒層をパターン化することを含み、触媒層は、意図された設計及びリソグラフィリンクを含む。さらに、リソグラフィリンクは、半導体材料の1つ又は複数の孤立したフィーチャを実質的に接続する。本方法は、さらに、半導体材料の表面上のパターン化触媒層をエッチング液に曝すステップを含み、ここで、パターン化触媒層は、半導体材料のエッチングを生じさせて、相互接続された高アスペクト比構造を形成する。
一実施形態では、CICE中に多孔質シリコンを生成するために、変調された電場が使用される。時間と共に電流密度や照明密度等のパラメータを変調すると、シリコンに空隙率が生じる可能性がある。電流密度は、p型シリコン基板については、正の電流密度が、触媒がシリコンにシンクするときに空隙率を生じさせ、ゼロ又は負の電流密度が、触媒エッチングのみを伴う結晶層を与えるように、変調することができる。あるいは、エッチング液濃度は、空隙率を作り出すために、より高い比率の酸化剤を有するように改変され得る。別の実施形態では、シリコンのより高いドーピングを有するエピタキシャル層が、空隙率を生成するために使用される。
シリコン超格子エッチング(SiSE)を用いて多孔質と非多孔質シリコンの交互層を作ることができる。SiSEは、触媒を使用して半導体基板をエッチングする一方で、層の少なくとも1つが多孔質である交互の層を有する超格子を同時に生成する。交互層は、電界パラメータ変調及び/又は交互ドーピング特性を有する層を通るエッチングによって形成される。あるいは、エッチング液濃度は、空隙率(より高い酸化剤比)及び低/非空隙率ケイ素層(より低い酸化剤比)を作り出すように交互にすることができる。
一実施形態では、物質の交互の層を有するナノ構造は、SiSEを使用して加工される。交互層は、シリコン、シリコン-ゲルマニウム合金(SiGe-1-x)及び/又はゲルマニウムの交互層を含むことができる。一実施形態では、交互層の各層の厚さは、1nm~500nmである。
一実施形態では、垂直多層ナノ構造は、SiSEによって形成され、1つ以上の層は、装置及び設計要件に基づいて修正され得る。一実施形態では、多層ナノ構造は、交互層を含み、層は、物質、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドーパント物質のうちの少なくとも1つにおいて異なっている。一実施形態では、交互層は、シリコン及びシリコン-ゲルマニウム合金である。別の実施形態では、交互層は、多孔質及び非多孔質シリコンである。別の実施形態では、交互層は、交互空隙率を有する多孔質シリコンである。一実施形態では、形態は、層が多孔質であるか非多孔質であるか、細孔サイズ、細孔配向等を記述するために使用される。熱処理速度は、酸化、アニール、シリサイドの生成等のような処理のための温度を表す。
一実施形態において、多層ナノ構造の1つ以上の層は、その化学組成を変化させるために選択的に処理される。一実施形態では、化学組成は、層のうちの1つ(例えば、多孔質シリコン)の酸化速度が他の層(例えば、シリコン)の酸化速度よりもはるかに大きくなるように、構造を酸化することによって選択的に変更される。一実施形態では、多孔質シリコンの酸化速度は、非多孔質シリコンの酸化速度の5倍である。別の実施形態では、化学組成は、非多孔質シリコンに実質的に影響を及ぼすことなく、多孔質シリコンに金属を吸収させ、それをアニールすることによって、多孔質シリコンをドープするか、又はシリサイドを生成することによって変更される。
別の実施形態では、層のうちの1つ(例えば、SiGe合金)は、他の層(例えば、シリコン)に実質的に影響を及ぼすことなく、(例えば、塩酸又は原子層エッチングを使用して)選択的にエッチングすることによって除去される。別の実施形態では、多孔質シリコンは、穏やかなフッ素含有化学物質中で非多孔質シリコンを実質的にエッチングすることなく、選択的にエッチング除去される。これは、懸垂ナノシート又は非エッチング(例えば、シリコン)材料で作製される水平懸垂ナノワイヤを作製するために使用され得る。一実施形態では、これらの浮遊ナノシート又はナノワイヤは、トランジスタを作製するために使用される。
背景のセクションで述べたように、SRAMは、その高速アクセス時間、安定性及びCMOS論理デバイスとの互換性のため、集積回路において一般的に使用される。これは、プロセッサとメモリの両方を同じチップに搭載することで性能が向上するように設計された組み込みメモリである。SRAMアレイは多くの回路設計においてチップ領域の大きな割合を占める。SRAMビットセルには多くのタイプがあり、最も一般的に使用されているのは、その優れた堅牢性、低電力動作及び高容量のため、6トランジスタ(6T)SRAMセルである。その他のセル設計では、性能と安定性の要件に応じて、4トランジスタ(4T)、7トランジスタ(7T)、8トランジスタ(8T)等が使用される。FinFETベースのSRAM設計へのシフトのようなトランジスタのスケーリングにより、より高いSRAM密度を達成した。トランジスタは、FinFETや次世代ナノシートトランジスタのように、3次元にスケーリングされてきたが、SRAMトランジスタの配置は2次元のままである。3次元(3D)NANDフラッシュ等の他のメモリデバイスは、メモリセルのスタッキングを利用して、フットプリントを増大させることなくメモリ密度を増大させる。SRAMは論理が埋め込まれているため、集積回路に3D積層SRAMセルを集積することは困難である。
4個のn型(NMOS)トランジスタ(2個のプルダウン(PD)と2個のパスゲート(PG)トランジスタ)と2個のp型(PMOS)プルアップ(PU)トランジスタから成る6T-SRAMセルのように、産業界で使用される種々のSRAMレイアウトがある。
FinFETベースの6T―SRAMセルの場合、6個のトランジスタの各々は高密度セルサイズのために1個のフィンを有し、一方、高性能のために、nFETは2個のフィンを有し、pFETは1個のフィンを有する。
一方、横方向のナノワイヤ及びナノシートトランジスタについては、水平層の数を増加させてもフットプリントが増加しないので、高密度セルも高性能であり得る。フィン(又はシート)幅がフィン長よりもはるかに低いナノシートFET(それによって、水平ナノシートとは対照的に水平ナノワイヤを形成する)は、横方向ナノワイヤFETである。本発明の実施形態では、異なるトランジスタを互いの上に積み重ねる設計オプションが追加される。各トランジスタは、フィン又はナノシートの1つ以上の層を有することができ、異なるトランジスタソース、ドレイン及びゲートには、階段型のコンタクトアーキテクチャを用いてアクセスされる。7T-、8T-、10-T等には、6T-SRAMと同様のセル設計にSRAMセル当たり追加のトランジスタを追加することができる。
最小のSRAMビットセルは、「7nmノード」FinFETを使用し、0.026μmの2の領域を有する。一実施形態では、最小金属ハーフピッチは14nmであり、コンタクトポリハーフピッチは24nmであり、物理的ゲート長は18nmであり、最小フィン幅は7nmであり、フィン最小ハーフピッチは14nmであり、最小コンタクトホール又はビアピッチは40nmであり、エッチング後のコンタクト/ビア臨界寸法(CD)は14nmであり、オーバレイは3.5nmである。しかし、7nmのFinFET SRAMセルを用いても、6個のトランジスタのレイアウトは平面的である。次世代SRAMのためのナノシートフィンへのスケーリングは性能を改善し寄生容量を低減するであろうが、FinFETと比較してビットセルサイズを顕著に低減しないであろう。
しかし、7nmのFinFET SRAMセルを用いても、6個のトランジスタのレイアウトは平面的である。次世代SRAMのためのナノシートフィンへのスケーリングは性能を改善し寄生容量を低減するであろうが、FinFETと比較してビットセルサイズを顕著に低減しないであろう。
一方、垂直ナノワイヤ(NW)FETは、ビットセルサイズを縮小することができ、また、3D SRAMを生成するために、SRAMセルを互いの上に積み重ねることを可能にすることができる。pFETの上に積み重ねられたnFETからなる相補型FET(CFET)もまた、ビットセルサイズを縮小することができる。しかしながら、このような積層ゲート全周(GAA)FETの製造は、12インチウエハにわたって不均一な厚さを有する可能性のある複数の時間付きエッチバックステップのために困難である。このような不均一性は、しきい値電圧のようなトランジスタ特性の変化量に伝播し、それによってデバイス性能に影響を及ぼす。従って、それらは製造可能性のためにエッチバック寸法の正確な制御を必要とする。
他のタイプの3D積層SRAM設計は、多結晶シリコン又はレーザ誘起結晶化を使用し、これは、より低品質で、より高価であり、CMOS論理と容易に積分できない。
最小パターニング解像度や金属ピッチ等、ロジックセル設計におけるいくつかの主要な制約は、デバイス及びシステム(IRDS)レポートの国際ロードマップからの次元とともに、表2に示されている。表2に見られるように、トランジスタソース、ドレイン、ゲートのコンタクトは領域能率を制限する。
Figure 2022532757000003
本発明の実施形態は、横方向ナノワイヤ及びナノシートFET(例えば、Gate-All-Around(GAA)FET)に基づくCMOS可積分積層SRAM技術を利用する。6T-SRAM設計に基づく本発明のいくつかの実施形態を以下に説明する。「7nm」ノードに対応するメトリックは、設計で使用されるが、より小さいノードにも拡張することができる。
ナノシートFETは、5nm技術ノード及びそれ以上でのFinFETの良好な置き換えとなり得る、水平に積み重ねたゲート・オール・アラウンド構造を有する。それらは汎用性のある設計を可能にし、同じフットプリントに対してFinFETよりも高い性能と静電性を示した。例示的なナノシートFETは、5nmのシート厚及び10nmの垂直シート間間隔の3つの層を有する積層ナノシートによるFinFET中のフィンの置換によって想像することができる。より多くの数のナノシート層は、トランジスタの性能をさらに向上させることができる。しかしながら、フィンの最大高さ、それによってナノシート層の最大数は、プラズマエッチングを用いてフィンをエッチングするために、パターン転写ステップの間、ゼロでないエッチテーパによって制限される。触媒影響化学エッチング(CICE)により、半導体フィンは、非常に低い~エッチテーパがなく、側壁が滑らかで、最大高さ制限がない異方性エッチングが可能であり、ここで、実質的に非自立ナノ構造を設計することによりフィンの崩壊を回避することができる。Catalyst Influenced Chemical Etching (CICE)は、半導体のフィーチャを作るために使用できる触媒ベースのエッチング方法である。このようなフィーチャが高いアスペクト比、低い側壁テーパ、低い側壁粗さ、及び/又は制御可能な多孔性を有する場合、シリコン、ゲルマニウム等である。
一実施形態では、多層ナノ構造体の製造方法は、交互層を含む半導体材料を提供することを含み、半導体材料は、シリコン、シリコンゲルマニウムアロイ(SiGe1-x)及び/又はゲルマニウムの交互層を含み、交互層の各層の厚さは、1nm~500nmである。一実施形態では、半導体材料は、ゲルマニウム(Ge)、ガリウムヒ素(GaAs)、窒化ガリウム(GaN)、シリコン(Si)、炭化ケイ素(SiC)、シリコン-ゲルマニウム(SiGe)、インジウムガリウムヒ素(InGaAs)、IV族元素、III-V族化合物、及びII-V族化合物のうちの1以上を含む。一実施形態では、半導体材料は、単結晶バルクシリコンウエハ又はシリコン・オン・インシュレータウエハである。この方法は、半導体材料の表面上に触媒層をパターニングすることをさらに含む。触媒層は、金(Au)、白金(Pt)、パラジウム(Pd)、ルテニウム(Ru)、銀(Ag)、銅(Cu)、ニッケル(Ni)、タングステン(W)、窒化チタン(TiN)、クロム(Cr)、グラフェン及びカーボンのうちの1以上を含む。一実施形態では、リソグラフィリンクは、触媒層の実質的に隔離されたノードを接合する接続線を有するように触媒層内にパターン化される。該方法は、パターン化された触媒層をエッチング液に曝すことをさらに含み、ここで触媒層は、エッチング液の存在下で半導体基材中に沈む。エッチング液は、以下のうちの少なくとも2つを含む:フッ化物種、酸化剤、アルコール、及び溶媒:フッ化水素酸及びフッ化アンモニウム、ここで、酸化剤は、過酸化水素、過マンガン酸カリウム及び溶存酸素のうちの1つを含み、アルコールは、エタノール、イソプロピルアルコール及びエチレングリコールのうちの1つを含み、溶媒は、脱イオン水及びジメチルスルホキシドのうちの1つを含む。パターニングされた触媒層及びエッチング液は、垂直ナノ構造を形成するために半導体材料のエッチングを生じさせ、垂直ナノ構造は、以下の材料、形態、空隙率、エッチング速度、熱処理速度、ドーピング濃度及びドーパント材料のうちの少なくとも1つにおいて、異なる複数の層を含む。さらに、本方法は、垂直ナノ構造の一部に階段状構造を形成するステップを含み、階段状構造は、下に位置するナノシートFETのソース、ドレイン及びゲートへのコンタクトを作成するために使用される。一実施形態では、階段構造は、水酸化カリウム(KOH)、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)、及びエチレンジアミン(EDP)のうちの1つを含むエッチング液を用いて、結晶依存エッチングを用いて結晶面に沿って半導体物質をエッチングすることによって形成される。さらに、この方法は、垂直ナノ構造の少なくとも一部に第2の材料を充填することを含む。さらに、この方法は、交互層の1つを選択的に処理して、その化学組成を変化させるか、又は除去することを含む。一実施形態では、交互層は、シリコン-ゲルマニウム合金(SiGe1-x)層を含み、シリコン-ゲルマニウム合金(SiGe1-x)層は、塩酸を使用して除去され、シリコンナノシート及び/又は横方向ナノワイヤを生成する。別の実施形態では、交互層は、シリコンのドープ層を含み、シリコンのドープ層のうちの1つは、エッチング液の存在下で多孔質になり、多孔質シリコン層は、フッ化水素酸(HF)、HF気相、HF、及び酸化剤のうちの1つ又は複数を使用して除去される。この方法は、交互層を生成するために時間変化電界を使用することをさらに含み、交互層のうちの少なくとも1つは多孔質である。
図1は、本発明の一実施形態による、エッチングテーパ角度が、フィン内に積層することができるナノシート層の最大数をどのように定義するかを示す。CICEは、89.5°を超えるエッチテーパ角を有し、したがって、所望の数のトランジスタの積層を可能にし、また、SRAMセルのための新しい3D積層アーキテクチャを可能にする。90度の側壁角度エッチテーパは、完全に垂直な側壁フィンを提供する。ナノシート多層FETベースのSRAMレイアウトに関する議論は、以下に提供される。
ナノシートフィン内の個々のトランジスタに対するソース、ドレイン、及びゲートへの別々の接点が、それらを個別にアドレス指定するために必要とされる。したがって、より多くのナノシートフィンを同じ領域に充填するには、コンタクトビアの設置面積の増加を考慮する必要がある。トランジスタ間に共通のコンタクトノード(ソース、ドレイン、又はゲート)を有する論理設計では、ソース、ドレイン、及び/又はゲートは、同じナノシート多層FET内に接続することができ、それによって、コンタクトビアのフットプリントを減少させる。多層FET設計における個々のトランジスタのコンタクトノードへのコンタクトは、階段状構造を使用して、FinFET、横方向ナノワイヤFET及びナノシートFET等の下層のトランジスタのソース、ドレイン、及びゲートへのコンタクトを生成することができる。
図2A~図2Iは、本発明の一実施形態による、下部SRAMトランジスタへの個別のコンタクトを必要とせずに、内部積層SRAMビットセル接続を実現するための接続フィンを備えた、互いに上部に積み重ねられた2つのSRAMセルの例示的なレイアウトを示す。図2Aを参照すると、図2Aは、本発明の一実施形態による、「SRAM 2ビットセル」と呼ばれる、2層の「上部」及び「下部」SRAMセルのためのSRAM回路接続を示す。図2Bは、本発明の一実施形態による、上側及び下側SRAMセルのためのワード線(WL)及びビット線(BL)へのフィン、ゲート、ソース及びドレイン並びにコンタクトビアを示すトップダウンSRAM 2ビットセルレイアウトを示す。このレイアウトにおける2つのSRAMセルは、共通のビット線を共有し、別々のワード線を有する。
図2C及び図2Dは、本発明の一実施形態に従って、互いに積み重ねられた2つのトランジスタを有する各フィンを備えたSRAM 2ビットセルの三次元図を示す。図2C及び図2Dは、本発明の一実施形態による、ゲートの下に3つのナノシート層を有するナノシートFETを備えたSRAM 2ビットセルをさらに示す図である。一実施形態では、このような層は、ナノシートFETの代わりにFinFETを積層するために使用することができる。図2E及び図2Fは、本発明の一実施形態による、ゲートの下にフィンを備えたFinFETを備えたSRAM 2ビットセルを示す図である。一実施形態では、分離層は、フィンの垂直方向に沿って積層トランジスタを分離するために使用される。一実施形態では、フィン又は空気とは異なる組成を含む(図2D、2F参照)。下側SRAMビットセルのワード線をアドレス指定するためのコンタクトビアは、階段状コンタクトを使用して形成することができる。
一実施形態では、階段状構造は、下にあるFETのソース、ドレイン、及びゲートへのコンタクトをクレートするために使用される。階段接続のレイアウトを図2G~2Iに示す。
下部トランジスタ201(図2C、図2D、図2E、図2F)にアクセスするための修正階段接続を有するコンタクトノード接続を有するSRAM 2ビットセルの代替レイアウトも、本発明の一実施形態に従って、図2Gに示される。また、SRAMレイアウトは、本願の一実施形態による、周囲のp型シリコンと比較して異なるドーピングを有するnウェル202を示す。図2Hは、本発明の一実施形態による、階段状構造を使用するサブ層アクセス203からサブ層トランジスタへの拡張フィンを示す。図2Iは、本発明の一実施形態による、ゲート領域においてのみ接続されたフィンを有する代替レイアウトを示す。
一実施形態では、SRAMアレイレイアウトは、意図された設計及びリソグラフィリンクを含む触媒と共にCICEを有するナノ構造を生成するように設計され、触媒の影響を受けた化学エッチングによって作製される高アスペクト比半導体構造の実質的な崩壊を防止する。
図2Jは、本発明の一実施形態による、SRAMセルのアレイを作成するように構成された多くのSRAM 2ビットセルの例示的なレイアウトを示す。図2Kは、本発明の一実施形態による、リソグラフィリンク接続を有するSRAMセルのアレイを作成するための、多くのSRAM 2ビットセルの例示的なレイアウトを示す。
同様に、ナノシートフィン当たり3つ以上のトランジスタを互いの上に積み重ねて、複数のSRAMセルを互いの上に積み重ねることができる。他の実施形態は、金属ビアのフットプリントを減少させるために、共通の金属コンタクトを有する再配置されたレイアウトを含む。一実施形態では、レイアウトにおいて最適化されるべきパラメータの1つは、リソグラフィ及びコンタクトビアの寄生容量制限に接着した金属コンタクトの配置である。一実施形態では、6個のトランジスタを有するフィンを使用して、6個のSRAMセルを積み重ね、2次元(2D)SRAMレイアウトと比較して少なくとも20%の領域フットプリントの低下があるようにする。
他の実施形態は、横方向ナノワイヤFET又はFinFETを使用すること、又はFinFET及びナノシートFETの組み合わせを使用して、3次元でSRAMセルの複数の層を作成することを含む。一実施形態では、トポロジ最適化アルゴリズムを使用して、SRAMトランジスタレイアウト内の共通のコンタクトノード接続を最適化し、下層トランジスタゲート、ソース及びドレインに必要なコンタクトビアのフットプリントを増加させることなく、スタックの数を増加させながら、SRAMセルのフットプリントを減少させることができる。別の実施形態では、SRAMセルの複数の層は、集積回路上のSRAMアレイ全体の端部に向かってファンアウトされた下部SRAM層への階段状接続を有する3D NANDフラッシュアーキテクチャに類似した方法で配置される。
SRAMセルに隣接する他の論理トランジスタもまた、フットプリントを減らすために多重レベルとすることができ、又は、フィン当たりすべてのナノシート層を利用することができる。例えば、6つのナノシート層を有するフィンは、ダイの非SRAM領域に1つの論理トランジスタを有し、SRAM領域に2つの多層トランジスタを有し得る。
本明細書で論じられるように、多層FETは、互いの上に、フィンの垂直方向に沿って垂直に積み重ねられた2つ以上のトランジスタを有するトランジスタを指す。トランジスタは、FinFET、ナノシートFET、横方向NW FET及び他のGate―All―Around(GAA)FETであり得る。
一実施形態では、上側及び下側のFETは、FinFETの高さに類似した単一の厚いナノシート層からなる。別の実施形態では、2つのFETが1つのナノシートフィンで実現され、下部FET及び上部FETはそれぞれ、それらのGAA設計において3つのナノシート層を有する。
FETに対する各層の厚さは、設計要件に基づいて変化させることができ、FET間の追加の層を使用して、FET間の電気的絶縁を容易にしてもよい。
ここで図3を参照すると、図3は、本発明の一実施形態によるナノシート多層FETを製造するための方法300のフローチャートである。図4A~4Eは、本発明の一実施形態による、図3に記載された工程を使用してナノシート多層FETを製造するための断面図を示す。
図4A~図4Eに関連して図3を参照すると、ステップ301において、チャネル401と犠牲材料402との交互層が堆積され、図4Aに示すように、交互層の組の間に保護犠牲材料404が堆積される。
一実施形態では、チャンネル物質401はケイ素を含み、犠牲物質402はSiGe1-xを含み、保護犠牲物質404はゲルマニウムを含む。別の実施形態では、チャネル物質401は、低ドープシリコンを含み、犠牲物質402は、高ドープシリコンを含み、保護犠牲物質404は、適度にドープされたシリコンを含む。一実施形態では、材料は、エピタキシャル堆積、化学蒸着、物理蒸着、及び原子層堆積のうちの1つ又は複数を使用して堆積される。一実施形態では、保護犠牲層404は、絶縁層を生成するために使用され、ここで、絶縁層は、フィンの垂直方向に沿って積層トランジスタを分離するために使用される。一実施形態では、フィン又は空気とは異なる組成を含む。
交互層の厚さは、トランジスタチャネル厚及びゲート全周トランジスタの表面領域を最大化するための必要なフィン幅等の、トランジスタ(例えば、ナノシートFET、FinFET、横方向ナノワイヤFET、多層FET)の設計要件によって定義される。これは、リソグラフィ解像度、フィンのリソグラフィパターニング中のオーバレイ制限、及びフィン及び誘電体を取り囲むコンタクト及びゲート材料の抵抗によって制限される。一実施形態では、ナノシートFETは、厚さ10nmのシリコンと厚さ10nmのシリコン-ゲルマニウムとの交互層のCICEを使用して作られる。
ステップ302では、図4Aに示されるように、リソグラフィ及びエッチングを使用して、フィン403が形成される。一実施形態では、リソグラフィは、フォトリソグラフィ、極端紫外線(EUV)リソグラフィ、インプリントリソグラフィ、及び電子ビームリソグラフィのうちの1つ又は複数を使用して実行される。一実施形態では、エッチングは、触媒影響化学エッチングを使用して行われる。別の実施形態では、エッチングは、プラズマエッチング又は原子層エッチングを使用して行われる。
ステップ303では、図4Bに示すように、チャネル材料層401及び保護犠牲層404を残して、1つ又は複数の犠牲層402が除去又はエッチングされる。一実施形態では、犠牲層402は、リン酸、フッ化水素酸、過酸化水素、塩酸、及び硫酸のうちの1つ又は複数を使用して除去される。一実施形態では、犠牲層402は、湿式エッチング、プラズマエッチング、原子層エッチング、及び気相エッチングのいずれかのエッチングプロセスを使用してエッチングされる。
ステップ304では、図4Cに示されるように、誘電体材料405が、チャネル401及び保護層404の周囲に堆積される。誘電体材料405は、酸化ケイ素、酸窒化ケイ素、酸化アルミニウムケイ素、酸化ハフニウム、酸化ジルコニウムアルミニウム、及び酸化ストロンチウムチタンのうちの1つを含むことができる。
ステップ305では、図4Dに示すように、犠牲層402が以前に除去された充填領域を含む、フィン403の上にゲート材料406が堆積される。ゲート材料406は、ポリシリコン、タングステン、ニッケル、銅、ルテニウム、コバルト、白金、パラジウム、チタン、及び窒化チタンのうちの1つを含むことができる。
ステップ306では、保護犠牲層404が除去され、それによって、図4Eに示されるように、トランジスタ407がフィン403に沿って分離される。
トランジスタ407は、図4Eに示されるような犠牲層を除去するか、又はフィンに沿ってトランジスタ間に電気的接続性がないように材料を選択的に堆積することによって絶縁することができる。加えて、ナノシートフィン内の個々のトランジスタに対するソース、ドレイン、及びゲートへの別々のコンタクトが、それらを個々にアドレス指定するために必要とされる。一実施形態では、階段構造を使用して、下部トランジスタへのコンタクトを落とすことができる。
一実施形態では、トランジスタのフィンのベースは、浅いトレンチ分離のために誘電体で囲まれている。誘電体は、酸化シリコン、酸窒化シリコン、低誘電率誘電体等であってもよい。フィンの高さには、浅いトレンチ分離に必要な厚さが含まれる。一実施形態では、フィンのベースを囲む浅いトレンチ分離の高さは、100nmである。
ナノシート多層FETを作るための代替方法を、図5及び6A~6Eに関連して以下に論じる。このような代替方法では、誘電体及びゲート材料は、ナノシートFET間のチャネルナノシート上(及び犠牲層上ではない)に選択的に堆積される。交互のナノシート層を有し、階段構造を有するフィンが、図6A~6Eに示されている。各ナノシート積層は、2つの交互の層A及びBを含む。犠牲材料Bは除去され、それによって、チャネル材料Aを放出し、それを支持構造(図には示されていない)の間に吊るす。一実施形態では、選択的原子層堆積は、チャネル材料上に選択的にhigh-k誘電体(例えば、酸化ハフニウム、酸化ジルコニウムアルミニウム、酸化ストロンチウムチタン)を堆積するために使用され、High-Κ誘電体材料上に選択的にゲート材料(例えば、ポリシリコン、タングステン、ニッケル、銅、ルテニウム、コバルト、白金、パラジウム、チタン、窒化チタン等)を堆積するために使用される。これにより、異なるトランジスタのゲートが接続されないようになる。このような方法のより詳細な説明は、図5及び6A~6Eに関連して以下に提供される。
図5は、本発明の一実施形態によるナノシート多層FETを製造するための代替方法500のフローチャートである。図6A~6Eは、本発明の一実施形態による、図5に記載された工程を使用してナノシート多層FETを製造するための断面図を示す。
図5を参照すると、図6A~6Eに関連して、ステップ501において、チャネル材料601、犠牲材料602、及び分離材料603の交互の層が、図6Aに示されるように堆積される。一実施形態では、チャンネル材料601はケイ素を含み、犠牲材料602はSiGe1-xを含み、分離材料603はゲルマニウムを含む。
ステップ502では、図6Aに示されるように、リソグラフィ及びエッチングを使用して、フィン及び階段構造604が形成される。
ステップ503では、図6Bに示すように、ウェットエッチング等によって、1以上の犠牲層602が除去される。
ステップ504では、ソース605及びドレイン606が、図6Cに示されるように形成される。一実施形態では、ソース及びドレインは、ホウ素、リン、ゲルマニウム、ヒ素等のドーパントと共にシリコンのエピタキシャル堆積によって形成される。別の実施形態では、ソース及びドレインは、Ni、Mo、W、Pd、Pt等のデバイス固有の仕事関数を有する金属の堆積によって形成される。任意のアニーリングステップは、それらを金属シリサイドに変換することができる。
ステップ505では、図6Dに示されるように、誘電体及びゲート材料607が、分離材料603の表面以外の表面上に選択的に堆積される。一実施形態では、選択的原子層堆積は、チャネル材料601上(分離材料層603上ではない)に誘電体及びゲート材料607を堆積するために使用される。
ステップ506では、図6Eに示すように、分離材料603を任意選択で除去する。
ステップ507では、低誘電材料608(例えば、酸化ケイ素、オキシ窒化ケイ素、フッ素ドープ二酸化ケイ素等)が、随意に、分離材料603及び犠牲層602が、図6Eに示されるように、先に除去された領域で堆積される。
選択的原子層堆積を必要とせずにFETを分離する別の方法は、物質の堆積前に、FET間の領域を阻止することによる。これは、図8A~図8G及び図9A~図9Gに示すように、階段構造を利用し、典型的なナノシートプロセスフローに類似した他の犠牲層(例えばSiGe層)をすべて除去しながら、2つのFETの間にある交互の層の特定の積み重ね(例えば、SiGe/Si/SiGeナノシート層)を保護することによって行うことができる。各ナノシート積層は、2つの交互の層A(チャネル材料)及びB(犠牲材料)を含む。トランジスタ間のある層のBは、B及びGate-All-Around(GAA)堆積の犠牲層の残りの部分の除去中に保護される。これらの保護層Bは、ゲートを分離するためにGAA堆積後に除去される。このような方法のより詳細な説明は、図7、8A~8G及び9A~9Gに関連して以下に提供される。
図7は、本発明の一実施形態によるナノシート多層FETを製造するための別の代替方法700のフローチャートである。図8A~8G及び9A~9Gは、本発明の一実施形態による、図7に記載される工程を使用して、ナノシート多層FETを作るための2つの代替階段フィン-幾何学の横断面図を示す。
図8A~図8G及び図9A~図9Gに関連して図7を参照すると、ステップ701において、チャネル801、901及び犠牲材料802、902の交互層が、それぞれ図8A及び図9Aに示されるように堆積される。
ステップ702では、フィン及び階段構造803、903は、それぞれ、図8A及び9Aに示されるように、リソグラフィ及びエッチングを使用して形成される。
ステップ703では、保護層804、904が、図8B及び図9Bにそれぞれ示すように、選択された犠牲層802、902を除去するための開口部(スロット)805、905を有するフィン及び階段構造803、903上に堆積される。
ステップ704において、1つ以上の犠牲層802、902が除去され、図8C及び9Cにそれぞれ示されるように、保護された犠牲層806、906が残される。
ステップ705において、保護層804、904は、図8C及び9Cにそれぞれ示されるように除去される。
ステップ706において、ソース808、908、及びドレイン領域809、909は、それぞれ図8D及び9Dに示されるように形成される。
ステップ707では、図8E及び9Eにそれぞれ示されるように、誘電体及びゲート材料810、910が堆積される。
ステップ708では、保護犠牲層806、906は、図8F及び9Fにそれぞれ示されるように、犠牲層806、906と接触するエッチング誘電体及びゲート材料810、910と共に除去される。一実施形態では、保護犠牲層806、906は、保護犠牲層806、906と接触する階段構造の一部を化学蒸気に曝すことによって除去される。蒸気は、チャネル材料801、901に実質的に影響を及ぼすことなく、保護犠牲層806、906及びそれと接触する誘電体及びゲート材料810、910をエッチングする。
ステップ709では、低誘電材料811、911(例えば、酸化ケイ素、オキシ窒化ケイ素、フッ素ドープ二酸化ケイ素)が、必要に応じて、犠牲層802、902が、それぞれ、図8G及び9Gに示すように、先に除去された領域で堆積される。
リソグラフィ及びオーバレイ制約内でのコンタクトビアの配置と同様に、接続フィンを作る様々なリソグラフィの課題が存在する。一実施形態では、「7nmノード」の場合、フィンの物理的厚さは12nmであり、フィン間の間隔も12nmである。ライン/スペースパターン及びカットマスクは、図10Bに示すような初期フィンパターンを作るために作られる。コンタクトビアは臨界寸法(CD)が14nm、千鳥金属ピッチが40nmである。
一実施形態では、ナノシート多層トランジスタを作製するプロセスは、必要な場合に個々のナノシート層へのコンタクトを作ることを除いて、従来のナノシートトランジスタを作製するプロセスと同様である。下部トランジスタに接点(ソース、ドレイン及びゲートへの接点)を形成するための階段状の形成は、リソグラフィ及びプラズマエッチングを使用して、又は水酸化テトラメチルアンモニウム(TMAH)、水酸化カリウム(KOH)、水酸化アンモニウム(NHOH)、エチレンジアミン(EDP)等のエッチング液を使用する結晶学的テーパエッチングを使用し、続いて、図10Aに示すように、水平面コンタクトを形成するための単一工程プラズマエッチングを使用することによって行われる。図10Aは、本発明の一実施形態による、結晶学的テーパを使用して階段状コンタクトを形成することを示す。結晶学的エッチングのためには、パターンをシリコン基板の結晶学的面に整列させる必要がある。図10Bは、SRAMレイアウトにおけるそのようなテーパ構造の配置を示す。図10Bは、コンタクト1001及び階段1002が、本発明の実施形態に従って一方向に整列されるように配置される例示的な例を示す。
3次元SRAMアーキテクチャの作成に関する議論は、図11及び図12A~図12Jに関連して以下に提供される。
図11は、本発明の一実施形態による、3次元SRAMアーキテクチャを作るための方法1100のフローチャートである。図12A~12Jは、本発明の一実施形態に従った、図11に記載される工程を使用して、3次元SRAMアーキテクチャを作成するための断面図を示す。
図11を参照すると、図12A~図12Jに関連して、ステップ1101において、分離層1201によって分離された上部及び下部ナノシートFETを含む構造が、前述のプロセス工程によって形成される(図3、4A~4E、5、6A~6E、7、8A~8G、9A~9G、10A及び10B参照)。図12Aは、ナノシート層1402からなるナノシートフィン及び階段形成を有する3次元SRAM 2ビットセルの例を示す。
ステップ1102では、支持材料(図示せず)が堆積され、図12Bに示されるように、ダミーゲート1203が構造上にパターニングされる。
ステップ1103において、ナノシート層1202は、図12Cに示されるように、解放又は除去される。
ステップ1104では、それぞれ、p型及びn型ソース/ドレイン領域1204、1205が、図12Dに示されるように、ビアエピタキシャル堆積等によって形成される。
ステップ1105では、図12Eに示すように、上側FETと下側FETとの間の分離層1201が除去される。
ステップ1106では、切断されたp型及びn型ソース/ドレイン領域1204、1205が、内部SRAMビットセルトランジスタ内に形成される。すなわち、追加のp型及びn型ソース/ドレイン領域1204、1205は、SRAMビットセルの内部トランジスタ領域1206内に形成され、エピタキシャルに堆積されたソース及びドレイン物質1204、1205が、図12Fに示すように、上下のFET間の分離層領域1201内の物質なしで切断される。
ステップ1107において、コンタクト1207は、図12Gに示されるように、上側及び下側FETコンタクトノードを互いに接続し、アドレス指定するようにパターニングされる。
ステップ1108において、ダミーゲート物質1203は、除去され、High-Κ誘電体(例えば、酸化ハフニウム、酸化ジルコニウム、酸化ランタン、酸化チタン、酸化イットリウム、チタン酸ストロンチウム、他の適切な金属酸化物、又はそれらの組み合わせ)及び金属ゲート(ポリシリコン、タングステン、ニッケル、銅、ルテニウム、コバルト、白金、パラジウム、チタン、窒化チタン等)(集合的に要素1208)を堆積することによって形成されるゲートと置換され、ダミーゲート物質1203は、図12Hに示されるように以前に存在した。一実施形態では、ダミーゲート物質1203は、ステップ1102でのダミーゲート堆積の前に堆積されたHigh-Κ誘電体を有する最終ゲート物質として使用される。
ステップ1109では、切断された金属接点が、接続された内部SRAMビットセルトランジスタに形成される。すなわち、SRAMセルのコンタクトノードを接続するための、ビア原子層蒸着等の、金属コンタクト1209のパターニング及びコンフォーマル蒸着は、図12I及び12Jに示すように、上側及び下側のFETコンタクトノードを接続せずに実行される。図12Jは、図12Iに示される構造の側面図を示しており、接触金属蒸着(例えば、シリコンの周囲の選択的原子層蒸着)中にFET間の電気的接触を回避するために、上側FETと下側FET間のギャップ1210を示している。一実施形態では、ギャップ又は分離層は、フィンの垂直方向に沿って積み重ねられたトランジスタを分離するために使用される。一実施形態では、フィン又は空気とは異なる組成を含む。
別のプロセスでは、上部FETと下部FETとの間の分離層は、すべてのコンタクトが堆積されるまで除去されない。分離層が除去されると、分離層と接触する金属接続部も、分離層エッチングに続いて金属エッチングを用いて除去される。同様に、上部FETと下部FETとの間の望ましくない接続を有するソース/ドレインも、分離層を取り囲むシリコンを除去するためにシリコンエッチングを追加することによって除去することができる。階段領域を用いて、剥離層の周囲の不要な接続部を食刻するための接近手段が提供される。
一実施形態では、分離層は、シリコンゲルマニウム(SiGe)で作られ、コンタクト金属は、タングステン、コバルト、又はモリブデンである。
図13は、本発明の一実施形態による、3次元SRAMアーキテクチャを作成するための代替方法1300のフローチャートである。図14A~14Eは、本発明の一実施形態に従った、図13に記載された工程を使用して3次元SRAMアーキテクチャを作成するためのSRAMレイアウトのトップダウン図を示す。
図13を参照すると、図14A~14Eと関連して、ステップ1301では、階段形状1402を有するSRAM 2ビットセルのためのフィンレイアウト1401が、図14Aに示されるように、上述されるように形成される。
ステップ1302では、支持材料1403(チャネル解放のための支持体)及びダミーゲート1404が堆積され、次いで、犠牲層が除去され(図示せず)、結果として、ダミーゲート1404及び支持材料1403を伴う垂直フィンが得られ、その上面図が図14Bに示される。
ステップ1303において、ソース/ドレイン領域1405は、図14Cに示されるように、pFETにおけるソース/ドレイン形成のために領域1405を開口した後に形成される。一実施形態では、ソース/ドレイン領域1405は、炭素ドープシリコンのエピタキシャル成長によって形成される。
ステップ1304では、図14Dに示されるように、nFETにおけるソース/ドレイン形成のために領域1406を開いた後に形成されたソース/ドレイン領域1406がある。オプションのLow-Κ誘電体は、開放領域(図14Dにおいて周囲の箱として示される)で堆積されてもよい。一実施形態では、ソース/ドレイン領域1406は、シリコン―ゲルマニウム(SiGe)のエピタキシャル成長によって形成される。
ステップ1305では、ダミーゲート1404が除去され、図14Eに示されるように、High-Κ誘電体及び金属ゲート(HKMG)1407の堆積と置き換えられる。
一実施形態では、3D SRAMデバイスは、少なくとも5:1のアスペクト比及び89.5度より大きい側壁角度を有するフィンの垂直方向に沿ったナノシートFETを含む。一実施形態では、壁角度は、フィンの上部及び下部における臨界特徴寸法を測定することによって測定され、例えば、フィン断面の上部は10nmを測定し、下部は10.2nmを測定する。一実施形態では、断面測定は、走査型電子顕微鏡(SEM)、原子間力顕微鏡(AFM)、透過型電子顕微鏡(TEM)、光散乱測定等の計測技術を用いて行われる。
前述のように、スタティックランダムアクセスメモリ(SRAM)は、アクセス時間が速く、CMOS論理プロセスとの互換性があるため、集積回路で一般に使用されている。FinFETや次世代ナノシートトランジスタ等、トランジスタは3次元(3D)にスケーリングされているが、SRAMトランジスタの配置は平面のままである。論理デバイスのためのナノシートトランジスタの使用は、2Dから3D NANDフラッシュへのシフトと同様の方法で、3D SRAM設計を作成する機会をもたらす。ナノシートFETは、5nm技術ノード及びそれ以上でのFinFETの良好な置き換えとなり得る、水平に積み重ねたゲート・オール・アラウンド構造を有する。それらは汎用性のある設計を可能にし、同じフットプリントに対してFinFETよりも高い性能と静電性を示した。しかしながら、フィンの最大高さ、それによってナノシート層の最大数は、プラズマエッチングを用いてフィンをエッチングするために、パターン転写ステップの間、ゼロでないエッチテーパによって制限される。提案したCatalyst Influenced Chemical Etching(CICE)により、半導体フィンはエッチテーパなし、滑らかな側壁、最大高さ制限なしでエッチできる。CICEは、所望の数のナノシート層の積層を可能にし、また、SRAMセルのための新しい3D積層アーキテクチャを可能にする。
さらに、「背景」の部で述べたように、PICと一体化した場合の光子ベースの量子コンピューティングでは、単一の光子源と検出器により、成熟したCMOS技術を用いてスケールアップする可能性のある大規模な量子回路を可能にすることができる。しかしながら、これらの量子回路素子の製造中に極端に低い欠陥許容度のために、フォトニック量子回路の小型化には一定の課題が生じる。PICにおけるフォトニック回路の他の素子との集積及び光子の伝送中の損失は歩留まりに影響し、産業における展開のバリアーとなる。
本発明の実施形態は、これらの損失を低減し、歩留まり及び性能を改善するために、新規な製造プロセス及びプロセス統合技術によって、このような課題に対処する。
ここで、シリコンフォトニクスに関する簡単な議論が適切であると考えられる。CMOS互換シリコンフォトニクスは、同じシリコンチップ上の光学部品のCMOSトランジスタとの集積化を可能にし、高性能マルチコアデバイスのための高帯域幅低エネルギー通信を可能にすることができる。
シリコン導波路コア材料には、単結晶シリコン、(Ge)ドープシリカコア、水素化アモルファスシリコン、再結晶ポリシリコン、窒化シリコン、炭化シリコン、ゲルマニウム、窒化ガリウム(GaN)、リン化ガリウム(GaP)、III-V族半導体等がある。
シリコン導波路クラッド材料としては、二酸化シリコン、酸窒化シリコン、空気、多孔質シリコン、多孔質シリコン酸化物、金属酸化物等が挙げられる。
SOI(シリコン・オン・インシュレータ)ウエハはシリコンフォトニクスの主要プラットフォームであり、シリコン単一モード導波路に対して1~2dB/cmの伝搬損失をもつ。
フォトニクス物質の基本設計は、コアとクラッド物質を含み、コアの屈折率はクラッド物質の屈折率よりも大きく、両方とも動作波長に対して透明である。より高屈折率のコントラスト導波路は、低損失でより小さな曲げを許容できるので、より小さなフットプリントを有する。単結晶シリコンは、1550nmの波長におけるクラッド(二酸化シリコンでは1.444)と比較して高い屈折率(3.476)のため、理想的な導波路材料である。しかし、シリコン導波路は、製造上の欠陥に非常に敏感である-側壁粗さは、光源との結合損失を増加させ、横方向電気(TE)偏光のための透過損失と位相誤差を増加させる。幅と高さの変化量は横方向磁気(TM)分極に対する有効指数に影響する。加えて、垂直テーパは結合損失を改善できるが、製造の複雑さを増加させる。
受動デバイスは、光機能性のための電気入力を必要としない。例として、光カプラ、アレイ導波路回折格子(AWG)、Mach―Zehnder干渉計(MZI)及びリング共振器がある。AWGはシリコンで最も低いフットプリントを持つ;しかしながら、側壁粗さは位相誤差を誘起し、それは波長分割/多重化(WDM)中に大きなクロストーク、高損失、スペクトル形状変形等をさらに導入する。リング共振器における共振波長は、幅と厚さの変動に影響される実効屈折率変動に非常に敏感である。
これらのデバイスに使用される導波路のラインエッジ粗さ(LER)は、ナノインプリントリソグラフィを使用して低減でき、側壁損傷は、触媒影響化学エッチング(CICE)を使用して低減できる。CICEはまた、側壁テーパが89.5度より大きく保たれることを保証する。シリコンの結晶学的エッチングを用いることにより結合損失を下げるために垂直テーパを達成できた。コア側壁は、1nm1シグマ未満の表面粗さを有し、コアフィーチャラインエッジ粗さは、2nm1シグマ未満である。これらの値は、原子間力顕微鏡(AFM)、透過型電子顕微鏡(TEM)、走査型電子顕微鏡(SEM)、光学計測、及び導波路性能改善を用いて測定できる。
光子は、量子情報科学への主要なアプローチとして出現している。典型的なフォトニック量子コンピュータは、光子源、検出器、及び精巧な光回路を含む。量子コンピューティングにシリコン-フォトニックルートを使用することは、成熟した膨大な半導体産業をスケールアップに活用する利点がある。フォトニック集積回路(PIC)は、光インターコネクト用に半導体産業によって既に開発されている。シリコンベースのPICを光子源と検出体を1つのチップに集積することにより、低損失、高歩留まりの量子回路を可能にすることができる。
理想的な単一光子源は、純度、識別不能性、及び明るさという3つの重要な特性を有する。単一光子源には、インジウムガリウム砒素(InGaAs)、ガリウム砒素(GaAs)、II-VIテルル化物及びセレン化物、III-V窒化物、ダイヤモンド中の窒素空孔及びシリコン空孔、希土類原子ドーパント及び2次元(2D)単層材料中の欠陥が含まれる。それらのバンドギャップに基づいて、発光スペクトルと動作温度(極低温から室温)を決定することができる。III―V族半導体に基づく量子ドット(QD)は、現在最も性能の良い単一光子源(SPS)の一つである。SPSはマイクロピラー、マイクロディスク、テーパ付きナノワイヤ、マイクロレンズ、ブル・アイ、及び光クリスタル空室のQDを用いても得ることができる。
このようなQDは、フォトニック構造に結合されると、高度な半導体マイクロ処理技術を適用することによって、単一チップ上に集積化することができる。これにより、エミッタと導波路デバイスとの間のほぼ単一の結合効率を達成できる。しかしながら、QDベースのオンチップSPSに関する主要な問題は、近くのエッチングされたデバイスからの電荷変動に関連する光子の識別不能性の損失である。不動態化技術を用いて、粗いエッチ表面で見いだされた表面状態を減少させた。しかしながら、パッシベーション技術を用いても、エッチングされた表面をQDから遠ざけるために、導波路のサイズを大きくしなければならない。
本発明の実施形態は、Catalyst Influenced Chemical Etching(CICE)を利用して、シリコン導波路をエッチングすることによって、滑らかな側壁を有する導波路を生成し、結合効率を改善し、光子の識別不能性に影響を及ぼし得る充電ゆらぎを除去する。
サブ100nm幅及び3~6nm厚の窒化ニオブ(NbN)ナノワイヤに基づく超伝導ナノワイヤ単一光子検出器(SNSPD)は、最低のタイミングジッタ(TJ)で赤外光子を検出できる。他のSNSPD物質としては、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ及びケイ化モリブデンが挙げられる。複数のSNSPDを有する構築システムは、低歩留まりに悩まされ、フォトニックチップ上に集積されると悪化する。
必要なナノフィーチャを作るための窒化ニオブ(NbN)の従来のプラズマエッチングは、周囲のシリコンに残留プラズマ損傷を作り出すことができる。これは、NbNの選択的原子層蒸着(ALD)を使用することによって回避することができ、この場合、前駆体は、窒化チタニウム(TiN)の領域選択的ALDと同様の方法でドーズされる。一実施形態では、Hプラズマ処理された非晶質カーボンは、NbNが堆積から除外される領域に使用することができ、それによって、ナノスケールのNbNを検出器領域にのみ堆積させることができる。あるいは、原子層エッチングは、エッチング中にシリコンを保護するために、保護エッチストップと共に使用され得る。NbNを隔離し、化学機械研磨(CMP)を用いてその一部を除去することも、NbNをパターニングするために使用することができる。
NbNの選択的ALDを可能にするアトミック層配置プリカーサは、(a)NbClとNH、(b)Nb(NtBu)(NEtMe)とHガス、(c)NbClとMeNNHを含む。これらの前駆体は、表面化学に基づく特定のALD抑制材料工学に対して選択的に、必要とされる堆積表面上に材料を選択的に堆積させるために使用することができる。ALD抑制表面には、炭素、水素プラズマ処理炭素、スピンオンカーボン、ポリマー、アクリレート等が含まれる。堆積される材料は、シリコン、二酸化シリコン、シリコン上の自然酸化物、酸化アルミニウム等であってもよい。
図15は、本発明の一実施形態による、表面損傷を伴わない、NbN、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ、及びケイ化モリブデン等の超電導材料のパターニングのための方法1500のフローチャートである。図16A~16Dは、本発明の一実施形態に従った、図15に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。
次に図15を参照すると、図16A~16Dと関連して、ステップ1601では、原子層蒸着(ALD)抑制物質1601は、図16A及び16Bに示されるように、基板1602(例えば、バルクシリコン、シリコン・オン・インシュレータ(SOI)、エピタキシャルシリコン、III-Vs、窒化ガリウム(GaN)、ゲルマニウム(Ge))上にパターン化される。
ステップ1502では、図16Cに示されるように、NbN等の超電導材料1603が、被露光基板1602上に選択的に堆積される。一実施形態では、そのような超電導材料1603は、100nm未満の最小横方向寸法を有する。一実施形態では、超電導材料1603は、選択的原子層蒸着によって蒸着される。
ステップ1503では、図20Dに示すように、ALD抑制物質1601を除去する。一実施形態では、ALD抑制物質1601は、穏やかな酸化プラズマを使用して除去される。
表面損傷を伴わない、NbN等の超電導材料のパターン化のための代替プロセスを、図17及び18A~18Fに関連して、以下に論じる。
図17は、本発明の一実施形態による、表面損傷を伴わない、NbN、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ、及びケイ化モリブデン等の超電導材料のパターン化のための代替方法1700のフローチャートである。図18A~図18Fは、本発明の一実施形態に従った、図21に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。
図17を参照すると、図18A~図18Fに関連して、ステップ1701において、酸化シリコン及び窒化シリコン等の化学機械研磨(CMP)停止材料1801が、図18A及び図18Bに示すように、基板1802(例えば、バルクシリコン、シリコン・オン・インシュレータ(SOI)、エピタキシャルシリコン、III-Vs、窒化ガリウム(GaN)、ゲルマニウム(Ge))上に成長又は堆積される。
ステップ1702では、図18Bに示されるように、ハードマスク1803がCMP-ストップ物質1801上にパターン化され、CMP-ストップ物質1801をエッチングする。
ステップ1703では、図18Cに示されるように、CMP停止材料1801へのエッチングが行われる。
ステップ1704では、図18Dに示されるように、NbN等の超電導材料1804が、被露光基板1802上に堆積される。一実施形態では、超電導材料1804は、100nm未満の最小横方向寸法を有する。一実施形態では、超電導材料1804は、選択的原子層蒸着を介して蒸着される。
ステップ1705では、図18Eに示されるように、超電導材料1804のCMPが実施される。
ステップ1706では、図18Fに示すように、CMP停止材料1801を任意選択で除去する。
表面損傷を伴わない、NbN等の超電導材料のパターン化のためのさらなる代替プロセスを、図19及び20A~20Eに関連して、以下に論じる。
図19は、本発明の一実施形態による、表面損傷を伴わない、NbN、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ、及びケイ化モリブデン等の超電導材料のパターン化のための別の代替方法1900のフローチャートである。図20A~20Eは、本発明の一実施形態に従った、図19に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。
図19を参照すると、図20A~20Eと関連して、ステップ1901では、図20A及び20Bに示されるように、NbN等の超電導材料2001が、被露光基板2002(例えば、バルクシリコン、シリコン・オン・インシュレータ(SOI)、エピタキシャルシリコン、III-Vs、窒化ガリウム(GaN)、ゲルマニウム(Ge))上に堆積される。一実施形態では、超電導材料2001は、100nm未満の最小の横方向寸法を有する。一実施形態では、超電導材料2001は、選択的原子層堆積を介して堆積される。
ステップ1902では、図20Cに示されるように、ハードマスク材料2003が超電導材料2001上にパターン化される。
ステップ1903では、露出された超電導材料2001の原子層エッチングが、図20Dに示されるように、側壁及び表面の損傷を最小限に抑えて実施される。
ステップ1904では、図20Eに示すように、ハードマスク材料2003が除去される。
表面損傷を伴わない、NbN等の超電導材料のパターン化のためのさらなる代替プロセスを、図21及び22A~22Gに関連して、以下に論じる。
図21は、本発明の一実施形態による、表面損傷を伴わない、NbN、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ、及びケイ化モリブデン等の超電導材料のパターニングのための、追加の代替方法2100のフローチャートである。図22A~22Gは、本発明の一実施形態に従った、図25に記載される工程を使用して、表面損傷を伴わない超電導材料のパターン化のための断面図を示す。
図21を参照すると、図22A~図22Gに関連して、ステップ2101において、図22A及び図22Bに示されるように、基板2202(例えば、バルクシリコン、シリコン・オン・インシュレータ(SOI)、エピタキシャルシリコン、III-Vs、窒化ガリウム(GaN)、ゲルマニウム(Ge))上にエッチストップ材料2201(例えば、酸化シリコン、窒化シリコン)が成長又は堆積される。
ステップ2102では、エッチング停止材料2201の一部が、図22Cに示されるようにパターン化される。
ステップ2103では、図22Dに示されるように、NbN等の超電導材料2203が、エッチング停止材料2201及び被露光基板2202の残りの部分上に堆積される。一実施形態では、超電導材料2203は、100nm未満の最小横方向寸法を有する。一実施形態では、超電導材料2203は、選択的原子層蒸着を介して蒸着される。
ステップ2104では、ハードマスク2204が、図22Eに示されるように、超電導材料2203上にパターン化される。
ステップ2105では、露出された超電導材料2203は、図22Fに示されるように、プラズマエッチング又は原子層エッチングを介して、側壁損傷を最小限に抑えてエッチングされる。
ステップ2106では、図22Gに示されるように、基板表面粗さを最小化するために、等方性ドライエッチング、気相エッチング、ウェットエッチング等の高度に選択的なエッチングを使用して、ハードマスク2204及びエッチストップ材料2201が除去される。
さらに、本発明の実施形態は、導波路を使用する光回路を含む。
シリコン又は窒化シリコンコア、酸化シリコン又は酸窒化シリコンクラッドを有する導波路は、標準的なCMOSプロセスを用いてSOI(シリコン・オン・インシュレータ)ウエハから容易に作製することができる。シリコン導波路を形成するために、光リソグラフィ及びエッチング技術が使用される。しかしながら、このプロセスは、主に側壁の粗さによって引き起こされる高い損失を被る。側壁粗さは、光リソグラフィにおけるラインエッジ粗さ(LER)、シリコンのエッチング中のプラズマ損傷、及びSNSPDのような他のフォトニック回路素子の処理ステップに起因する場合がある。LERを減少させるために電子ビームリソグラフィが用いられ、その結果、より滑らかな導波路側壁とより良い歩留まりが得られた。プラズマエッチ後の側壁粗さを低減するために平滑化技術が用いられてきた。しかしながら、平滑化技術は、シリコンの一部を消費し、特徴サイズ制御に影響を与え、電子ビームリソグラフィは、非常に低いスループットを有する。
一実施形態では、リソグラフィ及び触媒影響化学エッチング(CICE)中にLERを制御するためのナノインプリントリソグラフィが、側壁損傷がなく、エッチテーパがない導波路を作製するために使用され、それによって、非常に低い伝送損失が可能になる。従って、側壁損傷がなく、エッチテーパがない本発明の原理を用いたシリコン導波路の平滑なプラズマフリーエッチングは、大規模単一チップ集積シリコンフォトニック量子コンピュータ用の低損失、高収率シリコン導波路を可能にすることができる。
高い歩留まりパターン形成と除去を有するCICE用触媒は、CICEを用いた作製光回路を可能にする。Au、Ag、Ru、Pt、Pd、Ir、Rh、RuO、IrO、W、TiN等の触媒を使用できる。CMOS互換触媒は、フォトニクス、光インターコネクト及び量子コンピューティングチップのためのこれらの装置の大量製造のために半導体製造を使用することを可能にする。
CICEによるシリコン導波路作製に関する議論、例えば、(1)均一なエッチング深さを有するシリコンコアを有する導波路の作成、(2)酸化補償を伴うシリコンのアンダーエッチング、(3)シリコンを除去するための第2のエッチングステップを有するシリコンのアンダーエッチング、(4)シリコンのオーバーエッチング、が以下に提供される。
低線縁粗さ(LER)及び側壁粗さ、ならびに89.5度より大きい側壁角を有するシリコン導波路は、図23及び24A~24Eに関連して以下に記載されるように、CICEを使用して、様々なシリコン基材上に作製され得る。
図23は、本発明の一実施形態による、CICEを用いて導波路を作成するための方法2300のフローチャートである。図24A~24Eは、本発明の一実施形態による、図23に記載された工程を使用してCICEを有する導波路を作成するための断面図を示す。
図23を参照すると、図24A~24Eと関連して、ステップ2301では、触媒2401は、図24A及び24Bに示されるように、シリコン2403、絶縁体2404、及びシリコン基板2405から成るSOI基板2402上にパターン化される。
ステップ2302では、CICEが実行され、それによって、図24Cに示すように、触媒2401下のシリコン2403が除去される。
ステップ2303では、図24Dに示すように、触媒2401を除去する。
ステップ2304では、図24Dの構造、すなわち、図24Eに示されるように、絶縁体2404の露出部分及びシリコン2403の残りの部分上に、酸化物クラッド2406が堆積される。
CICEを用いて導波路を生成するための代替的なプロセスは、図25及び26A~26Fに関連して以下に説明される。
図25は、本発明の一実施形態による、CICEを用いて導波路を作成するための代替方法2500のフローチャートである。図26A~26Fは、本発明の一実施形態による、図25に記載されたステップを使用してCICEを有する導波路を作成するための断面図を示す。
図25を参照すると、図26A~26Fと関連して、ステップ2501では、触媒2601は、図26A及び26Bに示されるように、シリコン2603、絶縁体2604、及びシリコン基板2605から成るSOI基板2602上にパターン化される。
ステップ2502では、CICEが実行され、それによって、図26Cに示すように、触媒2601下のシリコン2603の一部が除去される。
ステップ2503では、図26Dに示すように、触媒2601を除去する。
ステップ2504では、図26Eに示すように、シリコン2603の酸化を伴うシリコンの酸化(要素2606参照)が行われる。
ステップ2505では、図26Fに示されるように、酸化物クラッド2607が、図26Eの構造、すなわち、酸化シリコン2606上に堆積される。
CICEを用いて導波路を生成するためのさらなる代替プロセスは、図27及び28A~27Hに関連して以下に説明される。
図27は、本発明の一実施形態による、CICEを用いて導波路を生成するためのさらなる代替方法2700のフローチャートである。図28A~28Hは、本発明の一実施形態による、図27に記載された工程を使用してCICEを有する導波路を作成するための断面図を示す。
図27を参照すると、図28A~28Hと関連して、ステップ2701では、触媒2801は、図28A及び28Bに示されるように、シリコン2803、絶縁体2804、及びシリコン基板2805から成るSOI基板2802上にパターン化される。
ステップ2702では、CICEが実行され、それによって、図28Cに示すように、触媒2801下のシリコン2803の一部が除去される。
ステップ2703では、図28Dに示すように、触媒2801を除去する。
ステップ2704では、フォトレジスト、二酸化シリコン、スピンオンカーボン等のマスキング材料2806が、図28Eに示すように、露出したシリコン2803上に堆積される。
ステップ2705では、マスキング材料2806は、図28Fに示されるような方法でパターン化される。
ステップ2706では、シリコン2803の一部が、図28Gに示されるように、ビアプラズマエッチング、原子層エッチング、ウェットエッチング、CICE等のように、エッチングされる。
ステップ2707では、図28Hに示すように、残りのマスキング材料2806が除去される。
ステップ2708では、図28Hに示されるように、残りのマスキング物質2806、すなわち、残りのマスキング物質2806の除去後のシリコン2803及び絶縁体2804の露出部分の除去後に、図28Gの構造上に酸化物クラッド2807が堆積される。
CICEを用いて導波路を作成するための別の代替プロセスを、図29及び30A~30Eに関連して以下に説明する。
図29は、本発明の一実施形態による、CICEを用いて導波路を作成するための別の代替方法2900のフローチャートである。図33A~33Eは、本発明の一実施形態による、図29に記載された工程を使用してCICEを有する導波路を作成するための断面図を示す。
図29を参照すると、図30A~30Eと関連して、ステップ2901では、触媒3001は、図30A及び30Bに示されるように、シリコン3003、絶縁体3004、及びシリコン基板3005から成るSOI基板3002上にパターン化される。
ステップ2902では、CICEが行われ、それによって、触媒3001下のシリコン3003及び絶縁体3004の一部が、図30Cに示されるように、露出したシリコン3003(触媒3001でパターン化されていないシリコン3003の一部)下の絶縁体3004の一部と同様に除去される。
ステップ2903では、図30Dに示すように、触媒3001を除去する。
ステップ2904では、図30Eに示されるように、酸化物クラッド3006が、図30Dの構造、すなわち、露出シリコン3003及び露出絶縁体3004上に堆積される。
CICEを用いて導波路を形成するための別の代替的なプロセスは、図31及び32A~32Hに関連して以下に説明される。特に、図31は、CICEを用いて窒化ケイ素コアを有する導波路を作成するための方法である。
図31は、本発明の一実施形態による、CICEを用いて導波路を生成するためのさらなる代替方法3100のフローチャートである。図32A~32Hは、本発明の一実施形態による、図31に記載された工程を使用してCICEを有する導波路を作成するための断面図を示す。
図31を参照すると、図32A~32Hと関連して、ステップ3101では、触媒3201は、図32A及び32Bに示されるように、シリコン3203、絶縁体3204、及びシリコン基板3205から成るSOI基板3202上にパターン化される。
ステップ3102では、CICEが実行され、それによって、図32Cに示すように、触媒3201下のシリコン3203が除去される。
ステップ3103では、図32Dに示すように、触媒3201を除去する。
ステップ3104では、図32Eに示すように、エッチングされたシリコン及び/又は多孔質シリコン(酸化シリコン3606)の酸化が行われる。
ステップ3105では、高屈折率材料3207(例えば、窒化ケイ素)が、図32Fに示されるように、酸化シリコン3206上に堆積され、露出絶縁体3204される。
ステップ3106では、高屈折率材料3207は、図32Gに示されるように、化学機械研磨、プラズマエッチング、湿式エッチング等を介して、酸化シリコン3206のレベルまでエッチバックされる。
ステップ3107では、図32Gの構造、すなわち、図32Hに示すように、露出した酸化シリコン3206及び露出した高屈折率材料3207上に、酸化物クラッド3208が堆積される。
CICEを有する導波路を生成するためのさらなる代替プロセスは、図33、34A~34G、35、及び36A~36Iに関連して以下に説明される。このようなプロセスは、シリコンコアを有するバルクシリコンウエハと、高屈折率材料(例えば、窒化シリコン)を有するコアとを用いて導波路を作ることに向けられる。多孔質シリコンの酸化後、二酸化ケイ素は、さらなる処理の前に、任意の多孔質面を平滑化するために、原子層蒸着を使用して蒸着される。
図33は、本発明の一実施形態による、CICEを有するバルクシリコンウエハを使用して導波路を作成するための方法3300のフローチャートである。図34A~34Gは、本発明の一実施形態による、図33に記載される工程を使用して、CICEを有するバルクシリコンウエハを使用して導波路を作成するための断面図を示す。
図33を参照すると、図34A~34Gと関連して、ステップ3301では、触媒3401は、図34A及び34Bに示されるように、バルクシリコン基板(例えば、低ドープバルクシリコン基板)3402上にパターン化される。
ステップ3302では、CICEが実行され、それによって、図34Cに示すように、触媒3401下のシリコン基板3402の一部が除去される。
ステップ3303では、図34Dに示すように、CICE中にシリコン基板3402(要素3403参照)の一部の多孔化が起こる。
ステップ3304では、図34Eに示すように、非多孔質シリコンの少なくとも一部を含むシリコンの露出層3404を残して、触媒3401を除去する。
ステップ3305では、図34Fに示すように、多孔質シリコン3403の酸化、及び非多孔質シリコン3804の少なくとも一部の酸化等、非多孔質及び多孔質シリコンが酸化される(要素3405参照)。さらに、二酸化ケイ素は、任意に、任意の多孔質表面を平滑化するために、原子層堆積等によって堆積されてもよい。
ステップ3306において、酸化物クラッド3406が、図34Fの構造、すなわち図34Gに示されるような酸化シリコン3405上に堆積される。
CICEを有するバルクシリコンウエハを使用して導波路を作成するための代替プロセスを、図35及び36A~36Iに関連して以下に論じる。
図35は、本発明の一実施形態による、CICEを有するバルクシリコンウエハを使用して導波路を作成するための代替方法3500のフローチャートである。図36A~36Iは、本発明の一実施形態による、図35に記載される工程を使用して、CICEを有するバルクシリコンウエハを使用して導波路を作成するための断面図を示す。
図36A~36Iに関連して図35を参照すると、ステップ3501において、触媒3601は、図36A及び36Bに示されるようにバルクシリコン基板3602上にパターン化される。
ステップ3502では、CICEが実行され、それによって、図36Cに示すように、触媒3601下のシリコン基板3602の一部が除去される。
ステップ3503において、シリコン基板3602(要素3603参照)の一部の多孔化は、図36Dに示されるように、CICEの後に実行される。一実施形態では、多孔化は、CICEに使用されるのと同じ工具及び同じエッチング液でケイ素の電気化学的エッチングを使用して行われる。一実施形態では、多孔化は、電界を使用して行われる。
ステップ3504では、図36Eに示すように、触媒3601を除去する。
ステップ3505において、多孔質シリコンは、図36Fに示されるような多孔質シリコン3603の酸化のように、酸化される(要素3604参照)。さらに、二酸化ケイ素は、任意に、任意の多孔質表面を平滑化するために、原子層堆積等によって堆積されてもよい。
ステップ3506では、図36Gに示されるように、図36Fの構造、すなわち、酸化シリコン3604上に、窒化シリコン等の高屈折率材料3605が堆積される。
ステップ3507では、高屈折率材料3605は、図36Hに示されるように、酸化シリコン3604のレベルまで、化学機械研磨、プラズマエッチング、湿式エッチング等を介して、エッチバックされる。
ステップ3508では、図36Hの構造、すなわち、図36Iに示すように、酸化シリコン3604及び高屈折率材料3605の露出部分上に、酸化物クラッド3606が堆積される。
CICEを有する導波路を生成するためのさらなる代替的なプロセスは、図37、38A~38F、39及び40A~40Hに関連して以下に説明される。このようなプロセスは、シリコンコアを有するシリコンウエハと、高屈折率材料(例えば、窒化シリコン)を有するコアとを用いて導波路を作製することに向けられる。多孔質シリコンの酸化後、二酸化ケイ素は、さらなる処理の前に、任意の多孔質面を平滑化するために、原子層蒸着を使用して蒸着される。
図37は、本発明の一実施形態による、CICEを有するシリコンウエハを使用して導波路を作成するための方法3700のフローチャートである。図38A~38Fは、本発明の一実施形態による、図37に記載される工程を使用して、CICEを有するシリコンウエハを使用して導波路を作成するための断面図を示す。
図37を参照すると、図38A~38Fと関連して、ステップ3701では、触媒3801は、図38A及び38Bに示されるように、シリコン基板3803(例えば、高ドープシリコン基板)上のシリコン層3802(例えば、低ドープエピタキシャル(エピ)シリコン層)上にパターン化される。
ステップ3702では、CICEが実行され、それによって、図38Cに示すように、触媒3801下のシリコン3802、3803が除去される。
ステップ3703では、図38Cに示されるように、高ドープシリコン(要素3804参照)の気孔化は、CICEの際に生じる。
ステップ3704では、図38Dに示すように、触媒3801を除去する。
ステップ3705では、図38Eに示すように、多孔質シリコン3804が酸化される(要素3805参照)。任意選択的に、二酸化ケイ素を、原子層堆積等によって堆積させて、任意の多孔質表面を平滑化する。
ステップ3706では、図38Eの構造、すなわち、図38Fに示されるように、シリコン基板3803の残りの部分及びシリコンウエハ3802の残りの部分上に、酸化物クラッド3806が堆積される。
CICEを有するシリコンウエハを使用して導波路を作成するための代替プロセスを、図39及び40A~40Hに関連して、以下に論じる。
図39は、本発明の一実施形態による、CICEを有するシリコンウエハを使用して導波路を作成するための代替方法3900のフローチャートである。図40A~40Hは、本発明の一実施形態による、図39に記載される工程を使用して、CICEを有するシリコンウエハを使用して導波路を作成するための断面図を示す。
図39を参照すると、図40A~40Hと関連して、ステップ3901では、触媒4001は、図40A及び40Bに示されるように、シリコン基材4002(例えば、高ドープ又は低ドープバルクシリコン基材)上にパターン化される。
ステップ3902では、CICEが実行され、それによって、図40Cに示すように、触媒4001下のシリコン4002が除去される。
ステップ3903では、図40Cに示すように、CICE中にシリコンの多孔化(要素4003参照)が起こる。
ステップ3904では、図40Dに示すように、触媒4001を除去する。
ステップ3905では、図40Eに示すように、多孔質シリコン4003が酸化される(要素4004参照)。任意選択的に、二酸化ケイ素を、原子層堆積等によって堆積させて、任意の多孔質表面を平滑化する。
ステップ3906では、図40Fに示されるように、高屈折率材料4005(例えば、窒化ケイ素)が、酸化シリコン4004上に蒸着される。
ステップ3907では、高屈折率材料4005は、図40Gに示されるように、化学機械研磨、プラズマエッチング、湿式エッチング等を介して、酸化シリコン4004のレベルまでエッチバックされる。
ステップ3908では、図40Hに示されるように、酸化物クラッド4006が、図40Gの構造、すなわち、酸化シリコン4004、及び高屈折率材料4005の露出部分上に堆積される。
本発明の実施形態は、CICEを有する多層シリコン導波路を作製することもできる。
シリコン超格子エッチング(SiSE)を用いることにより、単結晶シリコンコアを持つ同じ基板上にシリコン導波路の多重積層を作ることができる。一実施形態では、基材は、様々等プタイプ及び/又は濃度のシリコンの交互層、シリコンの交互層、シリコン-ゲルマニウム合金(SiGe-1-x)及び/又はゲルマニウム等からなる材料積層体を含む。一実施形態では、交互層の各層の厚さは、1nm~500nmである。
SiSEは、米国特許出願公開第2018/060176号、Sreenivasanら、「Catalyst Influenced Pattern Transfer Technology」(これは、その全体が参照により本明細書に組み込まれる)に記載されるように、エッチングの電気化学的性質を利用することによって、触媒がシリコンをエッチングする際の多孔度を調整するために使用され得る。多孔質及び非多孔質シリコンの交互層は、MACE中にシリコンのドーピング濃度、電界電流密度、又は酸化剤の濃度を変化させる等、異なるエッチングパラメータを利用することによって実証されている。
図41は、本発明の一実施形態による、SiSEを使用してシリコン導波路の複数の層を作成するための方法4100のフローチャートである。図42A~42Cは、本発明の一実施形態による、図41に記載される工程を使用して、SiSEを使用して、シリコン導波路の複数の層を生成するための断面図を示す。
図41を参照すると、図42A~42Cと関連して、ステップ4101では、それぞれ、非多孔質及び多孔質シリコン4201、4202の交互層が、図42Aに示されるように、Sreenivasanらにおいて議論されるように、SiSEを使用して、シリコン基板4203上に形成される。
ステップ4102では、図42Bに示すように、シリコン4202が酸化される(要素4204参照)。任意選択で、二酸化ケイ素が、原子層堆積等によって堆積される。
ステップ4103では、酸化物クラッド材料4605は、図42Cに示されるように、図42Bの構造上に蒸着される。
本発明の一実施形態では、導波路のマルチスタックを作製する方法は、交互半導体膜の2つ以上の層を含む物質スタックを作製することを含み、交互半導体膜の2つ以上の層のそれぞれは、物質、ドーピング濃度、及びドーパント物質の特性のうちの少なくとも1つにおいて互いに異なる。本方法は、特性が異なる層が、次のうちの少なくとも1つで異なるエッチングされたナノ構造を生成するように、触媒影響化学エッチングによって材料積層体をエッチングするステップをさらに含む:空隙率、エッチング速度、及び熱処理速度。この方法は、さらに、交互の半導体膜の2つ以上の層のうちの1つを選択的に処理して、化学組成を変化させるか、又はそれを除去することを含む。さらに、交互の半導体膜の処理された層は、コアが、単結晶シリコン、ゲルマニウムドープシリカコア、水素化非晶質シリコン、再結晶化ポリシリコン、窒化シリコン、炭化シリコン、ゲルマニウム、窒化ガリウム、リン化ガリウム、及びIII-V族半導体のうちの1つを含む導波路のためのコアとして作用する。さらに、交互の半導体膜の処理された層は、導波路のクラッドとして作用し、ここで、クラッドは、二酸化ケイ素、酸窒化ケイ素、空気、多孔質シリコン、多孔質シリコン酸化物、及び金属酸化物のうちの1つを含む。
本発明の別の実施形態では、導波路の多層積層を作製するための方法は、半導体材料を提供することを含み、半導体材料は、単結晶バルクシリコンウエハ、基板上に堆積された100nmを超える厚さのポリシリコンの層、基板上に堆積された100nmを超える厚さの非晶質シリコンの層、シリコン・オン・インシュレータウエハ、及び基板、シリコン、ゲルマニウム、及びシリコン-ゲルマニウム合金(SiGe1-x)上の100nmを超える厚さのエピタキシャルシリコンの層のうちの1つを含む。この方法は、半導体材料の表面上に触媒層をパターニングすることをさらに含む。一実施形態では、触媒はルテニウムを含む。該方法は、さらに、パターン化触媒層をエッチング液に曝すことを含む触媒影響化学エッチングを実施することを含み、パターン化触媒層及びエッチング液は、半導体物質のエッチングを引き起こしてナノ構造を形成する。さらに、本方法は、時間変動電場に半導体材料を曝して、エッチングされたナノ構造の交互の層を生成するステップを含み、ここに、交互の層のうちの少なくとも1つは多孔質である。さらに、この方法は、交互層の1つを選択的に処理して、その化学組成を変化させるか、又は除去することを含む。さらに、交互の半導体膜の処理された層は、コアが、単結晶シリコン、ゲルマニウムドープシリカコア、水素化非晶質シリコン、再結晶化ポリシリコン、窒化シリコン、炭化シリコン、ゲルマニウム、窒化ガリウム、リン化ガリウム、及びIII-V族半導体のうちの1つを含む導波路のためのコアとして作用する。さらに、交互の半導体膜の処理された層は、導波路のクラッドとして作用し、ここで、クラッドは、二酸化ケイ素、酸窒化ケイ素、空気、多孔質シリコン、多孔質シリコン酸化物、及び金属酸化物のうちの1つを含む。
本発明のさらなる実施形態では、フォトニック導波路は、89.5度より大きい壁角を有するコアを含み、コアは、より低い屈折率を有するクラッド材料によって囲まれ、コアは、触媒影響化学エッチングを使用して生成され、コアは、シリコン又は窒化シリコンを含み、クラッド材料は、酸化シリコン、酸窒化シリコン、又は空気を含む。さらに、コアの側壁は、1nm1シグマ未満の表面粗さを有し、コアフィーチャラインエッジ粗さは、2nm1シグマ未満である。
本発明の別の実施形態では、単一の光子検出器を有する量子コンピューティングデバイスは、横方向の寸法が100nm未満の最小の超電導材料を含み、ここで、超電導材料は、選択的原子層堆積を用いて堆積される。一実施形態では、超電導材料は、以下のうちの1つを含む:窒化ニオブ(NbN)、窒化ニオブチタン(NbTiN)、ケイ化タングステン、ケイ化ニオブ、及びケイ化モリブデン。
前述したように、シリコンフォトニクスは、電子、フォトニック並びに量子コンピュータにおいて、より高性能なコンピューティングを可能にすることができる。電子コンピュータは、光相互接続を使用して、より低いエネルギーでより高い帯域幅を達成することができる。フォトニック集積回路(PIC)、単一光子源及び検出器と一体化した場合、光子ベース量子コンピューティングは、成熟したCMOS技術を用いてスケールアップの可能性を有する大規模量子回路を可能にすることができる。しかし、PICの主要元素である光導波路は、製造プロセスの不完全性によって生じる伝送損失と光子識別不能性の損失を被る。加えて、フォトニック回路の他の素子との集積は歩留まりに影響し、産業における展開に対する障壁である。本発明の様々な実施形態は、PICの損失を低減し、歩留まり及び性能を改善するための新規な製造プロセス及びプロセス統合技術を提供する。
フォトニック集積回路(PIC)の鍵となる元素である光導波路は、製造プロセスの不完全性によって生じる伝送損失と光子識別不能性の損失を被る。本発明の原理は、ラインエッジ粗さを最小化するためにナノインプリントリソグラフィを使用し、側壁角度及び側壁損傷を最小化するために触媒影響化学エッチング(CICE)を使用し、PICを使用する量子コンピュータにおける超伝導材料の選択的原子層堆積を使用する。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であることも、開示された実施形態に限定されることも意図されていない。記載された実施形態の範囲及び精神から逸脱することなく、多くの修正及び変形が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、市場で見出される技術に対する実際の適用又は技術的改善を最もよく説明するために、又は当業者が本明細書で開示される実施形態を理解することを可能にするために選択された。

Claims (20)

  1. 多層ナノ構造を作るための方法であって、
    2以上の層を含む半導体材料を提供し、
    前記半導体材料の表面上に触媒層をパターニングし、
    前記パターニングされた触媒層をエッチング液に曝し、ここで、前記パターニングされた触媒層及び前記エッチング液は、垂直型ナノ構造を形成するために、前記半導体材料のエッチングを引き起こし、ここで、前記垂直型ナノ構造は、材料、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドーパント材料のうちの少なくとも1つにおいて異なる2以上の層を含み、
    前記垂直ナノ構造の一部に階段状構造を形成し、
    前記垂直ナノ構造の少なくとも一部の周りに第2材料を充填し、
    前記2以上の層のうちの1以上を選択的に処理して、その化学組成を変化させる、又は、除去する
    ことを特徴とする方法。
  2. 前記半導体材料は、交互層を含み、
    当該方法はさらに、
    前記交互層のうちの1つを選択的に処理して、その化学組成を変化させ、又は、それを除去した後に、結果として得られる構造を形成し、ここで、前記結果として得られる構造は、後に、フィン電界効果トランジスタ(FinFET)、横方向ナノワイヤFET又はナノシートFETの形成にためにフィンとして使用される
    ことを特徴とする請求項1に記載の方法。
  3. 前記FinFET、前記横方向ナノワイヤFET又は前記ナノシートFETは、積層SRAMビットセルを生成するために使用されることを特徴とする請求項2に記載の方法。
  4. 前記結果として得られる構造は、100nm未満である少なくとも一つの横方向寸法と、少なくとも5:1であるフィーチャの高さ対最小横方向寸法のアスペクト比とを有することを特徴とする請求項2に記載の方法。
  5. 当該方法はさらに、p-FET及びn-FETにそれぞれ炭素ドーププシリコン材料及びシリコン-ゲルマニウム材料を用いてソース及びドレイン領域を形成することを特徴とする請求項2に記載の方法。
  6. 前記FinFET、前記横方向ナノワイヤFET又は前記ナノシートFETにおける複数のトランジスタは、互いの上に1以上のトランジスタが積み重ねられた、1以上の積層SRAMビットセルを生成するように、配置され接続されることを特徴とする請求項2に記載の方法。
  7. 前記交互層の少なくとも1つの最小厚さは、トランジスタチャネル厚さの要件によって規定されることを特徴とする請求項2に記載の方法。
  8. フィンの垂直方向に沿った1以上のナノシートFETと、ここで、前記フィンの壁角度は89.5度より大きく、前記1以上のナノシートFETは、物質、形態、多孔度、エッチング速度、熱処理速度、ドーピング濃度及びドーパント物質のうちの少なくとも1つにおいて異なる物質の2以上の層を使用して生成されており、
    前記1以上のナノシートFETは、前記フィンの組成とは異なる組成を有する物質によって分離される、又は、空気によって分離される
    ことを特徴とする3次元(3D)SRAMデバイス。
  9. 前記フィンは、誘電体及びゲート金属の周囲に半導体材料の横方向の層を含むことを特徴とする請求項8に記載のデバイス。
  10. 前記横方向の層は、シリコンとシリコンゲルマニウムとの交互層をエッチングすることによって作られることを特徴とする請求項9に記載のデバイス。
  11. 前記エッチングは触媒影響化学エッチングによって行われ、ここで、前記触媒影響化学エッチングの触媒はルテニウムを含むことを特徴とする請求項10に記載のデバイス。
  12. 前記壁角度は、前記フィンの頂部及び前記フィンの底部における臨界フィーチャ寸法に対して測定されることを特徴とする請求項8に記載のデバイス。
  13. 前記1以上のナノシートFETのうちの1つのベースが、浅いトレンチ分離のための誘電体によって取り囲まれていることを特徴とする請求項8に記載のデバイス。
  14. 前記1以上のナノシートFETのうち、少なくとも1つのナノシートFETのコンタクトノードが階段状コンタクトを使用して接触されることを特徴とする請求項8に記載のデバイス。
  15. フィンの垂直方向に沿った1以上のフィン電界効果トランジスタ(FinFET)と、ここで、前記フィンの壁角が89.5度よりも大きく、前記1以上のFinFETは、物質、形態、多孔度、エッチング速度、熱処理速度、ドープ濃度及びドーパント物質のうちの少なくとも1つにおいて異なる物質の2以上の層を使用して生成され、
    前記1以上のFinFETは、前記フィンの組成とは異なる組成を有する物質によって分離される、又は、空気によって分離される
    ことを特徴とする3次元(3D)SRAMデバイス。
  16. 前記フィンは、トランジスタを形成するために、誘電体及びゲート金属によって囲まれた半導体材料を含むことを特徴とする請求項15に記載のデバイス。
  17. 前記壁角度は、前記フィンの頂部及び前記フィンの底部における臨界フィーチャ寸法に対して測定されることを特徴とする請求項15に記載のデバイス。
  18. 前記1以上のFinFETのうちの1つのベースが、浅いトレンチ分離のための誘電体によって取り囲まれていることを特徴とする請求項15に記載のデバイス。
  19. 前記1以上のFinFETのうち、少なくとも1つのFinFETのコンタクトノードは、階段状コンタクトを使用して接触されることを特徴とする請求項15に記載のデバイス。
  20. 前記フィンは、誘電体及びゲート金属の周囲全体を有する半導体材料の横方向の層を含み、ここで、前記横方向の層は、シリコン及びシリコンゲルマニウムの交互層をエッチングすることによって作られ、前記エッチングは、触媒影響化学エッチングによって行われ、前記触媒影響化学エッチングの触媒は、ルテニウムを含むことを特徴とする請求項15に記載のデバイス。
JP2021568401A 2019-05-13 2020-05-12 3次元sramアーキテクチャ及び光導波路を製造するための触媒影響化学エッチング Pending JP2022532757A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962847196P 2019-05-13 2019-05-13
US62/847,196 2019-05-13
US201962911837P 2019-10-07 2019-10-07
US62/911,837 2019-10-07
PCT/US2020/032527 WO2020232025A2 (en) 2019-05-13 2020-05-12 Catalyst influenced chemical etching for fabricating three-dimensional sram architectures and optical waveguides

Publications (1)

Publication Number Publication Date
JP2022532757A true JP2022532757A (ja) 2022-07-19

Family

ID=73230738

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021568401A Pending JP2022532757A (ja) 2019-05-13 2020-05-12 3次元sramアーキテクチャ及び光導波路を製造するための触媒影響化学エッチング

Country Status (5)

Country Link
US (2) US11355397B2 (ja)
JP (1) JP2022532757A (ja)
KR (1) KR20220007165A (ja)
TW (1) TW202109831A (ja)
WO (1) WO2020232025A2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3098643B1 (fr) * 2019-07-09 2023-01-13 Commissariat Energie Atomique Fabrication d'un dispositif photosensible à semiconducteur
US11469321B2 (en) * 2020-02-27 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US20220293170A1 (en) * 2021-03-10 2022-09-15 Invention And Collaboration Laboratory Pte. Ltd. Integrated scaling and stretching platform for optimizing monolithic integration and/or heterogeneous integration in a single semiconductor die
US11968818B2 (en) 2021-03-19 2024-04-23 Samsung Electronics Co., Ltd. SRAM memory cell for stacked transistors with different channel width
CN115145063A (zh) * 2021-03-30 2022-10-04 Tdk株式会社 光学器件
US11843001B2 (en) 2021-05-14 2023-12-12 Samsung Electronics Co., Ltd. Devices including stacked nanosheet transistors
US11678475B2 (en) * 2021-07-21 2023-06-13 International Business Machines Corporation Static random access memory using vertical transport field effect transistors

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011049804A2 (en) 2009-10-21 2011-04-28 The Board Of Trustees Of The University Of Illinois Method of forming an array of high aspect ratio semiconductor nanostructures
US8951430B2 (en) * 2012-04-18 2015-02-10 The Board Of Trustees Of The University Of Illinois Metal assisted chemical etching to produce III-V semiconductor nanostructures
US9224809B2 (en) 2012-05-17 2015-12-29 The Board Of Trustees Of The University Of Illinois Field effect transistor structure comprising a stack of vertically separated channel nanowires
US20190148286A1 (en) * 2015-09-21 2019-05-16 Monolithic 3D Inc. Multi-level semiconductor device and structure with memory
US10014318B2 (en) * 2015-10-24 2018-07-03 Monocithic 3D Inc Semiconductor memory device, structure and methods
US10134599B2 (en) * 2016-02-24 2018-11-20 The Board Of Trustees Of The University Of Illinois Self-anchored catalyst metal-assisted chemical etching
KR20200090237A (ko) * 2017-11-28 2020-07-28 보드 오브 레젼츠, 더 유니버시티 오브 텍사스 시스템 촉매 유도 패턴 전사 기술
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices

Also Published As

Publication number Publication date
US20200365464A1 (en) 2020-11-19
KR20220007165A (ko) 2022-01-18
TW202109831A (zh) 2021-03-01
US11881435B2 (en) 2024-01-23
WO2020232025A3 (en) 2020-12-24
US11355397B2 (en) 2022-06-07
WO2020232025A2 (en) 2020-11-19
US20220270930A1 (en) 2022-08-25

Similar Documents

Publication Publication Date Title
US11355397B2 (en) Catalyst influenced chemical etching for fabricating three-dimensional SRAM architectures
US8395220B2 (en) Nanomesh SRAM cell
US10049946B2 (en) Vertical CMOS structure and method
JP4996938B2 (ja) 半導体発光素子
US20090117741A1 (en) Method for fabricating monolithic two-dimensional nanostructures
US10374106B2 (en) Integrated graphene detectors with waveguides
US10510600B1 (en) Shared contact structure and methods for forming the same
EP3155643B1 (en) Vertical channel transistors fabrication process by selective subtraction of a regular grid
KR20150033496A (ko) 반도체 소자 및 그 제조 방법
KR100666187B1 (ko) 나노선을 이용한 수직형 반도체 소자 및 이의 제조 방법
KR20220133230A (ko) 엇갈린 적층 수직 결정질 반도전성 채널들
KR100834896B1 (ko) 반도체 나노 구조체 및 이의 제조방법과, 이를 포함하는반도체 소자
Hu et al. Ultra‐Confined Catalytic Growth Integration of Sub‐10 nm 3D Stacked Silicon Nanowires Via a Self‐Delimited Droplet Formation Strategy
TW439120B (en) A quantum thin line producing method and semiconductor device
US9236287B2 (en) Fabrication of localized SOI on localized thick box lateral epitaxial realignment of deposited non-crystalline film on bulk semiconductor substrates for photonics device integration
TW202119622A (zh) 半導體結構及形成半導體結構的方法
Su et al. High density V-GAA transistor structure array based on self-aligned double patterning
EP4261869A1 (en) Method for preparing semiconductor structure, and semiconductor structure
CN117724207B (zh) 一种非晶硅光波导的制作方法及非晶硅光波导
US20240162079A1 (en) Multi-function etching sacrificial layers to protect three-dimensional dummy fins in semiconductor devices
US20240040762A1 (en) Semiconductor structure and manufacturing method thereof
CN115223984A (zh) 半导体结构的形成方法
KR20210042796A (ko) 수직 전계 효과 트랜지스터를 위한 대칭적인 2차원 핀 구조체 및 수직 전계 효과 트랜지스터를 위한 대칭적인 2차원 핀 구조체의 제조 방법
CN117650049A (zh) 半导体装置和其制造方法
CN117545283A (zh) 量子点存储器件及其制备方法、电子设备