JP2022511534A - 窒化ケイ素cmpのための組成物および方法 - Google Patents

窒化ケイ素cmpのための組成物および方法 Download PDF

Info

Publication number
JP2022511534A
JP2022511534A JP2021532138A JP2021532138A JP2022511534A JP 2022511534 A JP2022511534 A JP 2022511534A JP 2021532138 A JP2021532138 A JP 2021532138A JP 2021532138 A JP2021532138 A JP 2021532138A JP 2022511534 A JP2022511534 A JP 2022511534A
Authority
JP
Japan
Prior art keywords
polishing
polishing composition
less
substrate
mol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021532138A
Other languages
English (en)
Inventor
フン ロウ フェルナンド
クラフト スティーブン
エー.イバノフ ローマン
Original Assignee
シーエムシー マテリアルズ,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シーエムシー マテリアルズ,インコーポレイティド filed Critical シーエムシー マテリアルズ,インコーポレイティド
Publication of JP2022511534A publication Critical patent/JP2022511534A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/0056Control means for lapping machines or devices taking regard of the pH-value of lapping agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Ceramic Products (AREA)

Abstract

本発明は、窒化ケイ素含有基板を研磨するための化学機械研磨組成物を提供する。組成物は、水性キャリアと、水性キャリア中に分散されたカチオン性シリカ粒子であって、カチオン性シリカ研削粒子が、研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ研削粒子と、ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、ポリエーテルアミンおよびポリシラミンが、約1,000g/mol以下の対応する重量平均分子量を有する、研磨添加剤と、を含む。組成物は、約6超のpHを有する。窒化ケイ素含有基板を研磨するための方法も提供される。

Description

基板の表面を平坦化または研磨するための組成物および方法は、当該技術分野において周知である。研磨組成物(研磨スラリーとしても知られる)は、典型的には、液体キャリア中に研削材料を含有し、研磨組成物で飽和させた研磨パッドに表面を接触させることによって表面に適用される。典型的な研削材料は、二酸化ケイ素、酸化セリウム、酸化アルミニウム、酸化ジルコニウム、および酸化スズを含む。研磨組成物は、典型的には、研磨パッド(例えば研磨布またはディスク)とともに組み合わせて使用される。研磨組成物中に懸濁される代わりに、またはそれに加えて、研削材料は、研磨パッドに組み込まれてもよい。
超小型電子トランジスタの形成では、少なくとも2つの化学機械研磨(CMP)ステップを使用するポリオープン研磨プロセスが有用である。窒化ケイ素などのハードマスクは、ゲート酸化物層およびポリシリコン層を有する基板上に堆積される。二酸化ケイ素などのレベル間誘電体材料を窒化ケイ素層上に堆積して、基板を覆う。第1のCMPプロセスを使用して、好ましくは窒化ケイ素層上に留まるようにレベル間誘電体層の一部分を除去する。次いで、第2のCMPプロセスを使用して、ポリシリコン層を覆う窒化ケイ素層を除去して、ポリシリコンを露出させる。非常に平坦な表面を達成するために、酸化ケイ素およびポリシリコンを上回る、窒化ケイ素に対する選択性を有する研磨組成物を使用することが望ましい。
別の用途では、超小型電子トランジスタは、金属ゲートと自己整合接触構造との両方を含む。典型的には、金属ゲートは、自己整合接触構造を形成するプロセス中に金属ゲートがエッチングされるのを防止するために、自己整合接触構造を形成する前に窒化ケイ素などのハードマスク層によって覆われる。次いで、CMPプロセスを使用して、余分な窒化ケイ素を表面から除去する。非常に平坦な表面を効率的に達成するために、高い窒化ケイ素の除去速度を有し、低い欠陥を生じる研磨組成物を使用することが望ましい。
窒化ケイ素(「SiN」)対酸化ケイ素の高い選択性を提供する既存のプラットフォームは、アニオン性シリカまたはセリア系である。例えば、オルトケイ酸テトラエチル(「TEOS」)用途での選択的窒化物研磨停止のための1つの一般的な方法は、pH7.0のカチオン性セリア粒子およびポリビニルイミダゾールなどのカチオン性抑制剤を含む。しかしながら、長期的なスラリー安定性の問題、小さいツール/プロセス条件の変動に起因する一貫性のない選択性能、および洗浄にフッ化水素を必要とするセリア欠陥問題により、既存のプラットフォームは顧客にとって理想的な解決策ではない。
加えて、特にセリア研削剤を含むいくつかの既存の研磨組成物は、ある特定の濃度を超えると研磨組成物の不安定性のために濃縮される能力が制限され、研削成分の沈殿につながる。したがって、濃縮された研磨組成物の不安定性によって、より希釈された研磨組成物の製造が必要であり、これは輸送および保管されなければならない材料の量を増加させる。
したがって、当該技術分野において、さらに向上した分散安定性を呈しながら、窒化ケイ素の望ましい選択性を提供することができ、好適な除去速度、低い欠陥性、および好適なディッシング性能を有する、研磨組成物および方法が依然として必要とされている。
本発明は、窒化ケイ素含有基板を研磨するための化学機械研磨組成物を提供する。組成物は、水性キャリアと、水性キャリア中に分散されたカチオン性シリカ研削粒子であって、カチオン性シリカ研削粒子が、研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ研削粒子と、ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、ポリエーテルアミンおよびポリシラミンが、約1,000g/mol以下の対応する重量平均分子量を有する、研磨添加剤と、を含む。研磨組成物は、約6超のpHを有する。窒化ケイ素を含む基板を化学機械研磨するための方法が、さらに開示される。方法は、上述の研磨組成物と基板を接触させることと、研磨組成物を基板に対して動かすことと、基板を研削して基板から窒化ケイ素層の一部分を除去し、それによって基板を研磨することと、を含み得る。ある特定の実施形態では、方法は、有利にも、窒化ケイ素層の除去速度が酸化ケイ素層の除去速度の4倍を超えることを可能にすることができる。
窒化ケイ素含有基板を研磨するための化学機械研磨組成物が開示される。組成物は、水性キャリアと、水性キャリア中に分散されたカチオン性シリカ研削粒子であって、カチオン性シリカ研削粒子が、研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ研削粒子と、ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、ポリエーテルアミンおよびポリシラミンが、約1,000g/mol以下の対応する重量平均分子量を有する、研磨添加剤と、を含む。研磨組成物は、約6超のpHを有する。ある特定の実施形態では、ポリエーテルアミンおよびポリシラミンは、約600g/mol未満の対応する重量平均分子量を有し得る。
化学機械研磨組成物は、カチオン性シリカ研削粒子を含み、これは、望ましくは、水性キャリア(例えば、水)中に懸濁される。カチオン性シリカ研削粒子は、典型的には、微粒子形態である。好ましくは、カチオン性シリカ研削粒子は、シリカ粒子、具体的にはコロイダルシリカ粒子を含むか、本質的にそれからなるか、またはそれからなる。コロイダルシリカ粒子は、湿式プロセスを介して調製され、典型的には、非凝集の個々の離散粒子であり、一般に、球形またはほぼ球形であるが、他の形状(例えば、一般に楕円形、正方形、または長方形の断面を有する形状)を有し得る。このような粒子は、典型的には、熱処理または火炎加水分解プロセスを介して調製され、凝集一次粒子の鎖様構造である、ヒュームド粒子とは構造的に異なる。
粒子の粒径は、粒子を包含する最小球の直径である。カチオン性シリカ研削粒子は、任意の好適な粒径を有し得る。カチオン性シリカ研削粒子は、約5nm以上(例えば、約10nm以上、約15nm以上、約20nm以上、約30nm以上、約40nm以上、または約50nm以上)の平均(すなわち、平均)粒径を有し得る。代替的にまたは加えて、カチオン性シリカ研削粒子は、約200nm以下(例えば、約180nm以下、約160nm以下、約150nm以下、約130nm以下、約80nm以下、約60nm以下、または約50nm以下)の平均粒径を有し得る。したがって、カチオン性シリカ研削粒子は、前述の終点のうちのいずれか2つによって制限される範囲内の平均粒径を有し得る。例えば、カチオン性シリカ研削粒子は、約5nm~約200nm(例えば、約20nm~約180nm、約15nm~約150nm、約20nm~約80nm、または約20nm~約60nm)の平均粒径を有し得る。いくつかの実施形態では、カチオン性シリカ研削粒子は、約20nm~約80nmの平均粒径を有する。ある特定の実施形態では、カチオン性シリカ研削粒子は、約50nmの平均粒径を有する。
任意の好適な量のカチオン性シリカ研削粒子が、研磨組成物中に存在し得る。いくつかの実施形態では、カチオン性シリカ研削粒子は、約0.0005重量%以上(例えば、約0.001重量%以上、0.0025重量%以上、約0.005重量%以上、約0.01重量%以上、約0.025重量%以上、または約0.05重量%以上)の濃度で、研磨組成物中に存在し得る。典型的には、カチオン性シリカ研削粒子は、約0.025重量%以上(例えば、約0.05重量%以上、約0.1重量%以上、約0.25重量%以上、約0.5重量%以上、約1重量%以上、または約2重量%以上)の濃度で、研磨組成物中に存在する。代替的にまたは加えて、カチオン性シリカ研削粒子は、約30重量%以下(例えば、約25重量%以下、約20重量%以下、約15重量%以下、約10重量%以下、約5重量%以下、約3重量%以下、または約2重量%以下)の濃度で研磨組成物中に存在し得る。したがって、カチオン性シリカ研削粒子は、前述の終点のうちのいずれか2つによって制限される範囲内の濃度で研磨組成物中に存在し得る。例えば、カチオン性シリカ研削粒子は、約0.0005重量%~約10重量%(例えば、約0.001重量%~約10重量%、約0.005重量%~約10重量%、約0.01重量%~約10重量%、約0.05重量%~約10重量%、約0.1重量%~約5重量%、約0.1重量%~約3重量%、約0.1重量%~約2重量%、または約0.2重量%~約2重量%)の濃度で、研磨組成物中に存在し得る。ある特定の実施形態では、カチオン性シリカ研削粒子は、約0.025重量%~約1重量%の濃度で、研磨組成物中に存在する。
ある特定の実施形態では、カチオン性シリカ研削粒子は、研磨組成物中で、(例えば、約6超のpHで、または約6~約8の範囲のpHで)約10mV以上(例えば、約15mV以上、約20mV以上、約25mV以上、または約30mV以上)のゼータ電位を有する。カチオン性シリカ研削粒子は、研磨組成物中で、(例えば、約6超のpHで、または約6~約8の範囲のpHで)約50mV以下(例えば、約45mV以下、または約40mV以下)のゼータ電位を有し得る。カチオン性シリカ研削粒子は、前述の終点のうちのいずれか2つによって制限される範囲のゼータ電位を有し得ることが理解されるであろう。例えば、カチオン性シリカ研削粒子は、研磨組成物中で、(約6超のpHで、または約6~約8の範囲のpHで)約10mV~約50mV(例えば、約10mV~約45mV、または約20mV~約40mV)の範囲のゼータ電位を有し得る。
ある特定の実施形態では、処理された研削粒子が研磨組成物中で(例えば、約6超、約7超、約7.5超、または約8超のpHで)約10mV以上(例えば、約15mV以上、約20mV以上、約25mV以上、または約30mV以上)のゼータ電位を有するように、カチオン性シリカ研削粒子は、アミノシラン化合物で処理されたコロイダルシリカ粒子を含み得る。ある特定のこれらの実施形態では、研削粒子は、第4級アミノシラン化合物で処理されたコロイダルシリカ粒子を含む。このようなカチオン性コロイダルシリカ粒子は、例えば、その各々は参照によりその全体が本明細書に組み込まれる、同一出願人による米国特許第7,994,057号および同第9,028,572号、または米国特許第9,382,450号に開示されるように、粒子を少なくとも1つのアミノシラン化合物で処理することによって得ることができる。また、研磨組成物中で約10mV以上のゼータ電位を有するコロイダルシリカ粒子は、参照により本明細書に完全に組み込まれる、同一出願人による米国特許第9,422,456号に開示されるように、コロイダルシリカ粒子にアミノシラン化合物などの化学種を組み込むことを介して得ることができる。
例示的なカチオン性コロイダルシリカ粒子は、カチオン性コロイダルシリカ粒子を得るための任意の好適な処理方法を使用して処理することができることが理解されるであろう。例えば、第4級アミノシラン化合物およびコロイダルシリカを、研磨組成物の他の成分のうちの一部または全てと同時に添加してもよい。あるいは、コロイダルシリカは、研磨組成物中で他の成分と混合する前に、第4級アミノシラン化合物で(例えば、コロイダルシリカとアミノシランとの混合物を加熱することを介して)処理してもよい。
いくつかの実施形態では、カチオン性シリカ研削粒子は、少なくとも1つのシラン化合物、アミノシラン化合物、ホスホノニウムシラン化合物、またはスルホニウムシラン化合物で処理されている表面を有する。ある特定の実施形態では、カチオン性シリカ研削粒子の表面は、第1級アミノシラン、第2級アミノシラン、第3級アミノシラン、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている。好適なシラン化合物としては、アミノプロピルトリアルコキシシラン、ビス(2-ヒドロキシエチル)-3-アミノプロピルトリアルコキシシラン、ジエチルアミノメチルトリアルコキシシラン、(N,N-ジエチル-3-アミノプロピル)トリアルコキシシラン)、3-(N-スチリルメチル)-2-アミノエチルアミノプロピルトリアルコキシシラン、2-(N-ベンジルアミノエチル)-3-アミノプロピルトリアルコキシシラン、トリアルコキシシリルプロピル-N,N,N-塩化トリメチルアンモニウム、N-(トリアルコキシシリルエチル)ベンジル-N,N,N-塩化トリメチルアンモニウム、ビス(メチルジアルコキシシリルプロピル)-N-メチルアミン、ビス(トリアルコキシシリルプロピル)尿素、ビス(3-(トリアルコキシシリル)プロピル)-エチレンジアミン、およびビス(トリアルコキシシリルプロピル)アミンなどのアミノシランが挙げられる。上のアミノシラン化合物のアルコキシ基は、ハロゲン化物、アミン、およびカルボン酸塩などの他の加水分解性基で置換され得る。ある特定の実施形態では、カチオン性シリカ研削粒子は、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている表面を有する。シラン化合物の選択は、部分的には、研磨される基板の種類に依存する。
好ましくは、表面処理されたカチオン性シリカ研削粒子は、未処理のカチオン性シリカ研削粒子の粒径と同じかそれよりも大きい粒径を有する。より具体的には、表面処理されたカチオン性シリカ研削粒子は、未処理のカチオン性シリカ粒子の粒径の約2倍未満、未処理のカチオン性シリカ粒子の粒径の約1.7倍未満、未処理のカチオン性シリカ粒子の粒径の約1.4倍未満、または未処理のカチオン性シリカ粒子の粒径の約1.2倍未満の粒径を有し得る。表面処理されたカチオン性シリカ研削粒子は、好ましくは、未処理のカチオン性シリカ研削粒子の粒径とほぼ同じ粒径を有する。例えば、表面処理されたカチオン性シリカ研削粒子は、約5nm以上(例えば、約10nm以上、約15nm以上、約20nm以上、約30nm以上、約40nm以上、または約50nm以上)の平均粒径を有し得る。代替的にまたは加えて、表面処理されたカチオン性シリカ研削粒子は、約200nm以下(例えば、約180nm以下、約160nm以下、約150nm以下、約130nm以下、約80nm以下、約60nm以下、または約50nm以下)の平均粒径を有し得る。したがって、表面処理されたカチオン性シリカ研削粒子は、前述の終点のうちのいずれか2つによって制限される範囲内の平均粒径を有し得る。例えば、表面処理されたカチオン性シリカ研削粒子は、約5nm~約200nm(例えば、約20nm~約180nm、約15nm~約150nm、約20nm~約80nm、または約20nm~約60nm)の粒径を有し得る。いくつかの実施形態では、表面処理されたカチオン性シリカ研削粒子は、約20nm~約80nmの平均粒径を有する。好ましい実施形態では、表面処理されたカチオン性シリカ研削粒子は、約50nmの平均粒径を有する。
それらの多くは当業者に既知である、カチオン性シリカ研削粒子を表面処理する任意の好適な方法を、本発明に従って使用することができる。例えば、カチオン性シリカ研削粒子は、研磨組成物の他の成分と混合する前に、アミノシラン化合物で処理されてもよいか、またはアミノシランおよびカチオン性シリカ研削粒子を、研磨組成物の他の成分のうちの一部または全てと同時に添加されてもよい。
カチオン性シリカ研削粒子が処理されるシランは、カチオン性シリカ研削粒子上の利用可能なシラノール部位の全てを覆わないことが好ましい。典型的には、表面処理されたカチオン性シリカ研削粒子は、約2%以上(例えば、約4%以上、約8%以上、または約10%以上)の利用可能なシラノールの表面被覆率を有する。表面処理されたカチオン性シリカ研削粒子は、好ましくは、約50%以下(例えば、約30%以下、約25%以下、約20%以下、約15%以下、または約10%以下)の利用可能なシラノールの表面被覆率を有する。したがって、表面処理されたカチオン性シリカ研削粒子は、前述の終点のうちのいずれか2つによって制限される範囲内の、利用可能なシラノールの表面被覆率を有し得る。例えば、表面処理されたカチオン性シリカ研削粒子は、約2%~約50%(例えば、約2%~約30%、約2%~約20%、または約4%~約15%)の利用可能なシラノールの表面被覆率を有し得る。表面シラノール濃度は、表面処理されたカチオン性シリカ研削粒子の標準値5SiOH/nm2、および表面処理されたカチオン性シリカ研削粒子のBET表面積を使用して推測することができる
アミノシラン化合物は、研磨組成物中に任意の好適な量で存在し得る。いくつかの実施形態では、研磨組成物は、約30ppm以上(例えば、約50ppm以上、約100ppm以上、約200ppm以上、約300ppm以上、約400ppm以上、または約500ppm以上)のアミノシラン化合物を含む。代替的にまたは加えて、研磨組成物は、約2000ppm以下(例えば、約1000ppm以下、約800ppm以下、または約600ppm以下のアミノシラン化合物を含む。したがって、研磨組成物は、約30ppm~約2000ppm(例えば、約100ppm~約1000ppm、約200ppm~約800ppm、約250ppm~約700ppm、または約275ppm~約600ppm)のアミノシラン化合物を含み得る。
粒子のゼータ電位とは、粒子を取り巻くイオンの電荷とバルク溶液(例えば、水性キャリアおよびその中に溶解した任意の他の構成要素)の電荷との間の差異を指す。したがって、正電荷を有するシリカ研削粒子(すなわち、カチオン性シリカ研削粒子)は、それらの動作pHで正のゼータ電位を有するであろう。いくつかの実施形態では、カチオン性シリカ研削粒子および/または表面処理されたカチオン性シリカ研削粒子は、約9.5以下(例えば、約9以下、約8以下、約7以下、約6以下、約5以下、約4以下、または約3以下)のpHで正のゼータ電位を有する。ある特定の実施形態では、カチオン性シリカ研削粒子および/または表面処理されたカチオン性シリカ研削粒子は、約6超のpHで少なくとも+10mVのゼータ電位を有する。したがって、カチオン性シリカ研削粒子および/または表面処理されたカチオン性シリカ研削粒子は、約6~約8のpHで、約+10mV以上(例えば、約+15mV以上、約+20mV以上、約+25mV以上、または約+30mV以上)のゼータ電位を有し得る。代替的にまたは加えて、カチオン性シリカ研削粒子および/または処理されたカチオン性シリカ研削粒子は、約6~約8のpHで、約+60mV以下(例えば、約+55mV以下、約+50mV以下、約+45mV以下、約+40mV以下、または約+35mV以下)のゼータ電位を有し得る。したがって、カチオン性シリカ研削粒子および/または表面処理されたカチオン性シリカ研削粒子は、前述の終点のうちのいずれかによって制限される範囲内のゼータ電位を有し得る。例えば、カチオン性シリカ研削粒子および/または表面処理されたカチオン性シリカ研削粒子は、約6~約8のpHで、約+10mV~約+60mV(例えば、約+10mV~約+50mV、約+15mV~約+40mV、または約+20mV~約+40mV)のゼータ電位を有し得る。
ある特定の実施形態では、研磨組成物は、窒化ケイ素層対酸化ケイ素層の研磨選択性を増加するための研磨添加剤を含み得る。例えば、研磨添加剤は、窒化ケイ素対酸化ケイ素の選択性が、約1:1超であり得る(例えば、約2:1超、または約4:1超、または約10:1超、または約20:1超であり得る)ように、窒化ケイ素層の除去速度が酸化ケイ素層の除去速度を超えることを可能にし得る。
いくつかの実施形態では、研磨添加剤は、ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、またはそれらの組み合わせを含み得る。典型的には、ポリエーテルアミンまたはポリシラミンは、約1,000g/mol未満、例えば、約900g/mol以下、約800g/mol以下、約700g/mol以下、約600g/mol以下、または約500g/mol以下の重量平均分子量を有する。代替的にまたは加えて、ポリエーテルアミンまたはポリシラミンは、約50g/mol以上、例えば、約75g/mol以上、約100g/mol以上、約150g/mol以上、または約200g/mol以上の重量平均分子量を有する。したがって、ポリエーテルアミンまたはポリシラミンは、前述の終点のうちのいずれか2つによって制限される平均分子量を有し得る。例えば、ポリエーテルアミンまたはポリシラミンは、約50g/mol~約900g/mol、約50g/mol~約800g/mol、約50g/mol~約700g/mol、約50g/mol~約600g/mol、約50g/mol~約500g/mol、約75g/mol~約900g/mol、約100g/mol~約900g/mol、約150g/mol~約900g/mol、約200g/mol~約900g/mol、約100g/mol~約800g/mol、または約100g/mol~約600g/molの平均分子量を有し得る。いくつかの実施形態では、ポリエーテルアミンまたはポリシラミンは、約800g/mol以下の重量平均分子量を有する。ある特定の実施形態では、ポリエーテルアミンまたはポリシラミンは、約600g/mol以下の重量平均分子量を有する。
研磨添加剤がポリエーテルアミンを含む実施形態では、組成物は、任意の好適なポリエーテルアミンを含み得る。典型的には、ポリエーテルアミンは、プロピレンオキシドジアミン、プロピレンオキシドトリアミン、エチレンオキシド/プロピレンオキシドジアミン、エチレンオキシド/プロピレンオキシドトリアミン、またはそれらの組み合わせから選択される。例示的なポリエーテルアミン化合物としては、Huntsman(The Woodlands、TX)から市販されているアミンのJEFFAMINE(商標)ファミリーが挙げられる。JEFFAMINE(商標)ポリエーテルアミンは、プロピレンオキシド(「PO」)、エチレンオキシド(「EO」)、または混合EO/PO(例えば、O,O’-ビス(2-アミノプロピル)ポリプロピレングリコール-ブロック-ポリエチレングリコール-ブロック-ポリプロピレングリコール)のいずれかに基づく、ポリエーテル骨格を含み得る。
本明細書で使用される場合、「プロピレンオキシドジアミン」という用語は、少なくとも1つのプロピレンオキシドサブユニットおよび2つのアミン部分を含む化学構造を指す。いくつかの実施形態では、少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットである。
本明細書で使用される場合、「プロピレンオキシドトリアミン」という用語は、少なくとも1つのプロピレンオキシドサブユニットおよび3つのアミン部分を含む化学構造を指す。いくつかの実施形態では、少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットである。
本明細書で使用される場合、「エチレンオキシド/プロピレンオキシドジアミン」という用語は、少なくとも1つのエチレンオキシドサブユニット、少なくとも1つのプロピレンオキシドサブユニット、および2つのアミン部分を含む化学構造を指す。いくつかの実施形態では、少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットであり、少なくとも1つのエチレンオキシドサブユニットは、ポリエチレンオキシドサブユニットであるか、または少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットであり、少なくとも1つのエチレンオキシドサブユニットは、ポリエチレンオキシドサブユニットである。したがって、エチレンオキシド/プロピレンオキシドジアミンは、エチレンオキシド、プロピレンオキシド、またはそれらの組み合わせのブロックまたはランダムコポリマーを含み得る。
本明細書で使用される場合、「エチレンオキシド/プロピレンオキシドトリアミン」という用語は、少なくとも1つのエチレンオキシドサブユニット、少なくとも1つのプロピレンオキシドサブユニット、および3つのアミン部分を含む化学構造を指す。いくつかの実施形態では、少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットであり、少なくとも1つのエチレンオキシドサブユニットは、ポリエチレンオキシドサブユニットであるか、または少なくとも1つのプロピレンオキシドサブユニットは、ポリプロピレンオキシドサブユニットであり、少なくとも1つのエチレンオキシドサブユニットは、ポリエチレンオキシドサブユニットである。したがって、エチレンオキシド/プロピレンオキシドトリアミンは、エチレンオキシド、プロピレンオキシド、またはそれらの組み合わせのブロックまたはランダムコポリマーを含み得る。
本明細書で使用される場合、「アミン部分」という用語は、窒素含有化学部分を指す。アミン部分は、置換または非置換であり得る。したがって、アミン部分は、第1級、第2級、または第3級アミンであり得る。ポリエーテルアミンおよび/またはポリシラミンの反応性は、第1級アミンを妨害することによって、または第2級アミンの官能性を通じて改質することができる。一般に、アミン部分は、第1級アミン置換基である。
いくつかの実施形態では、ポリエーテルアミンは式(I)のものであり、
Figure 2022511534000001
式中、Rが、C1-C6アルキル基(すなわち、長さが1、2、3、4、5、または6炭素単位)であり、x、y、およびzが、独立して、0~15の整数(例えば、0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、または15)である。ある特定の実施形態では、x、y、およびzは、独立して、0~約10の整数である。いくつかの実施形態では、x、y、およびzは、指定されたサブユニットの平均(最も近い整数に丸められる)鎖長(すなわち、平均炭素鎖長または平均プロピレンオキシド鎖長)を指す。いくつかの実施形態では、C1-C6アルキル基は、飽和、不飽和、分岐、直鎖、環状、またはそれらの組み合わせである。C1-C6アルキル基の例示的な列挙は、メチル、エチル、n-プロピル、イソ-プロピル、n-ブチル、sec-ブチル、tert-ブチル、n-ペンチル、sec-ペンチル、neo-ペンチル、またはヘキシルである。ある特定の実施形態では、C1-C6アルキル基は、1つ以上のアルキル置換基、アリール置換基、ヘテロ原子、またはそれらの組み合わせ(例えば、ベンジル、フェニルエチル、フェニルプロピルなど)で置換される。いくつかの実施形態では、C1-C6アルキル基は、C1-C6ヘテロアルキル基(すなわち、長さが1、2、3、4、5、または6炭素単位)であり得る。本明細書で使用される場合、「ヘテロアルキル基」とは、飽和または不飽和、置換または非置換、直鎖、分岐、または環状脂肪族基を指し、分子の核(すなわち、炭素骨格)に少なくとも1つのヘテロ原子(例えば、O、S、N、および/またはP)を含有する。
いくつかの実施形態では、ポリエーテルアミンは、式(II)のものであり、
Figure 2022511534000002
式中、aは、0~15の整数(例えば、0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、または15)である。ある特定の実施形態では、aは、0~約10の整数である。いくつかの実施形態では、aは、指定されたサブユニットの平均(最も近い整数に丸められた)鎖長(すなわち、平均炭素鎖長または平均ポリエチレンオキシド鎖長)を指す。
いくつかの実施形態では、ポリエーテルアミンは、式(III)のものであり、
Figure 2022511534000003
式中、b、c、およびdが、独立して、0~15の整数(例えば、0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、または15)である。ある特定の実施形態では、b、c、およびdは、独立して、0~約10の整数である。いくつかの実施形態では、b、c、およびdは、指定されたサブユニットの平均(最も近い整数に丸められる)鎖長(すなわち、平均炭素鎖長または平均エチレンオキシド/プロピレンオキシド鎖長)を指す。
いくつかの実施形態では、ポリエーテルアミンは、式(IV)のものであり、
Figure 2022511534000004
式中、eが、0~15の整数(例えば、0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、または15)である。ある特定の実施形態では、eは、0~約10の整数である。いくつかの実施形態では、aは、指定されたサブユニットの平均(最も近い整数に丸められた)鎖長(すなわち、平均炭素鎖長または平均ポリエチレンオキシド鎖長)を指す。
いくつかの実施形態では、ポリエーテルアミンは、およそ230の分子量を有するJEFFAMINE(商標)D-230(式II)、およそ400の分子量を有するJEFFAMINE(商標)D-400(式II)、およそ400の分子量を有するJEFFAMINE(商標)T-403(式I)、およそ600の分子量を有するJEFFAMINE(商標)ED-600(式III)、およそ900の分子量を有するJEFFAMINE(商標)ED-900(式III)、4,7,10-トリオキサ-1,13-トリデカンジアミン(式IV)、またはそれらの組み合わせである。
研磨添加剤がポリエーテルアミンを含む実施形態では、研磨組成物は、任意の好適な量のポリエーテルアミンを含み得る。例えば、ポリエーテルアミンは、約0.005mM以上(例えば、約0.01mM以上、約0.025mM以上、約0.05mM以上、約0.07mM以上、または約0.1mM以上)の濃度で研磨組成物中に存在し得る。代替的にまたは加えて、ポリエーテルアミンは、約5mM以下(例えば、約4mM以下、約3mM以下、約2mM以下、約1mM以下、約0.5mM以下、または約0.25mM以下)の濃度で研磨組成物中に存在し得る。したがって、ポリエーテルアミンは、前述の終点のうちのいずれか2つによって制限される範囲内の濃度で研磨組成物中に存在し得る。例えば、ポリエーテルアミンは、約0.005mM~約5mM(例えば、約0.01mM~約5mM、約0.025mM~約5mM、約0.05mM~約5mM、約0.1mM~約5mM、約0.25mM~約5mM、約0.005mM~約0.5mM、約0.01mM~約0.5mM、約0.025mM~約0.5mM、約0.05mM~約0.5mM、または約0.07mM~約0.25mM)の濃度で研磨組成物中に存在し得る。
いくつかの実施形態では、研磨添加剤は、ポリシラミンを含む。本明細書で使用される場合、「ポリシラミン」という用語は、シリコーンサブユニットおよび少なくとも1つのアミン部分を含む化合物を指す。例示的なポリシラミン化合物としては、Siltech Corporation(Toronto,Ontario)から市販されているポリシラミンのSILAMINE(商標)ファミリーが挙げられる。ある特定の実施形態では、ポリシラミンは、Siltech Corporationから市販されているSILAMINE(商標)A0 EDAである。
いくつかの実施形態では、ポリシラミンは、式(V)のものであり、
Figure 2022511534000005
式中、pおよびqが、独立して、0~15の整数(例えば、0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、または15)である。ある特定の実施形態では、pおよびqは、独立して、0~約10の整数である。いくつかの実施形態では、pおよびqは、指定されたサブユニットの平均(最も近い整数に丸められた)鎖長(すなわち、平均酸化ケイ素鎖長)を指す。
研磨添加剤がポリシラミンを含む実施形態では、ポリシラミンは、任意の好適な濃度で研磨組成物中に存在し得る。例えば、ポリシラミンは、約0.005mM以上(例えば、約0.01mM以上、約0.025mM以上、約0.05mM以上、約0.1mM以上、または0.25mM以上)の濃度で研磨組成物中に存在し得る。代替的にまたは加えて、ポリシラミンは、約5mM以下(例えば、約4mM以下、約3mM以下、約2mM以下、約1mM以下、または約0.5mM以下)のポリシラミンの濃度で研磨組成物中に存在し得る。したがって、ポリシラミンは、前述の終点のうちのいずれか2つによって制限される濃度で研磨組成物中に存在し得る。例えば、ポリシラミンは、約0.005mM~約5mM(例えば、約0.01mM~約5mM、約0.025mM~約5mM、約0.05mM~約5mM、約0.1mM~約5mM、約0.25mM~約5mM、約0.005mM~約0.5mM、約0.01mM~約0.5mM、約0.025mM~約0.5mM、または約0.05mM~約0.5mM)の濃度で研磨組成物中に存在し得る。
いくつかの実施形態では、研磨添加剤は、ポリビニルイミダゾールを含む。本明細書で使用される場合、「ポリビニルイミダゾール」という用語は、ポリビニルイミダゾール、ポリビニルイミダゾールの誘導体、ビニルイミダゾールのコポリマー、およびビニルイミダゾール誘導体のコポリマー(「PVI」と総称される)から選択される1つ以上のポリマーを指す。ある特定の実施形態では、ポリビニルイミダゾールは、ポリ-N-ビニルイミダゾールである。いくつかの実施形態では、ポリビニルイミダゾールのコポリマーは、アクリル酸エステル、アクリル酸アミド、メタクリル酸エステル、メタクリル酸アミド、アクリロニトリル、ビニルピロリドン、および塩化ビニルから選択されるモノマーを含有する。ある特定の実施形態では、ポリビニルイミダゾールは、basotronicのポリビニルイミダゾールである。
研磨添加剤がポリビニルイミダゾールを含む実施形態では、ポリビニルイミダゾールは、任意の好適な濃度で研磨組成物中に存在し得る。いくつかの実施形態では、ポリビニルイミダゾールは、約0.005mM以上(例えば、約0.01mM以上、約0.025mM以上、約0.05mM以上、約0.1mM以上、または約0.25mM以上)の濃度で研磨組成物中に存在し得る。代替的にまたは加えて、ポリビニルイミダゾールは、約5mM以下(例えば、約4mM以下、約3mM以下、約2mM以下、約1mM以下、または約0.5mM以下)の濃度で研磨組成物中に存在し得る。したがって、ポリビニルイミダゾールは、前述の終点のうちのいずれか2つによって制限される濃度で研磨組成物中に存在し得る。例えば、ポリビニルイミダゾールは、約0.005mM~約5mM(例えば、約0.01mM~約5mM、約0.025mM~約5mM、約0.05mM~約5mM、約0.1mM~約5mM、約0.25mM~約5mM、約0.005mM~約0.5mM、約0.01mM~約0.5mM、約0.025mM~約0.5mM、または約0.05mM~約0.5mM)のポリビニルイミダゾールの濃度で研磨組成物中に存在し得る。
一般に、化学機械研磨組成物は、1atmおよび25°Cで測定すると、約6超(例えば、約6.5超、約7超、約7.5超、約8超、約8.5超、または約9超)のpHを有する。いくつかの実施形態では、研磨組成物は、約6~約12、例えば、約6~約11、約6~約10、約6~約9、約6~約8、約7~約12、約7~約11、約7~約10、約7~約9、または約7~約8のpHを有する。ある特定の実施形態では、研磨組成物は、約6~約8(例えば、約7)のpHを有する。
化学機械研磨組成物は、研磨組成物のpHを調整することが可能な(すなわち調整する)1つ以上の化合物(すなわちpH調整化合物)を含み得る。研磨組成物のpHは、研磨組成物のpHを調整することができる任意の好適な化合物を使用して調整され得る。pH調整化合物は、望ましくは水溶性であり、研磨組成物の他の成分と適合性である。
pHを調整することが可能な化合物は、アンモニウム塩、アルカリ金属塩、カルボン酸、アルカリ金属水酸化物、アルカリ金属炭酸塩、アルカリ金属重炭酸塩、ホウ酸塩、有機酸(例えば、酢酸)、有機塩基(例えば、アミン)、およびそれらの混合物から選択され得る。
化学機械研磨組成物は、任意選択で、1つ以上の化学薬品をさらに含む。例示的な化学薬品としては、緩衝液、調整剤、殺生物剤、スケール抑制剤、分散剤などが挙げられる。いくつかの実施形態では、化学機械組成物は、緩衝液および殺生物剤をさらに含む。
いくつかの実施形態では、化学機械研磨組成物は、緩衝液をさらに含む。本明細書で使用される場合、「緩衝液」という用語は、研磨組成物のpHを達成および/または維持することが可能な任意の化学物質を指す。例えば、緩衝液は、酸性化学物質、塩基性化学物質、中性化学物質、またはそれらの組み合わせであり得る。緩衝液の例示的な列挙としては、硝酸、硫酸、リン酸、フタル酸、クエン酸、アジピン酸、シュウ酸、マロン酸、マレイン酸、水酸化アンモニウム、リン酸塩、硫酸塩、酢酸塩、マロン酸塩、シュウ酸塩、ホウ酸塩、アンモニウム塩、アミン、ポリオール(例えば、トリス塩基)、アミノ酸などが挙げられる。
いくつかの実施形態では、化学機械組成物は、殺生物剤を含む。殺生物剤は、存在する場合、任意の好適な殺生物剤であり得、研磨組成物中に任意の好適な量で存在し得る。例示的な殺生物剤は、イソチアゾリノン殺生物剤である。典型的には、殺生物剤の量は、約1ppm~約200ppm(例えば、約10ppm~約200ppm、約10ppm~約150ppm、約20ppm~約150ppm、約50ppm~約150ppm、約1ppm~約150ppm、または約1ppm~約100ppm)で研磨組成物中に存在する。
研磨組成物は、その多くが当業者に知られている任意の好適な技術によって生成することができる。研磨組成物は、バッチプロセスまたは連続プロセスで調製することができる。一般に、研磨組成物は、研磨組成物の成分を組み合わせることにより調製される。本明細書で使用される「成分」という用語は、個々の成分(例えば、カチオン性シリカ研削粒子、任意選択のシラン化合物、ポリエーテルアミン、ポリシラミン、任意選択の緩衝液、任意選択の調整剤、任意選択の殺生物剤、任意選択のスケール抑制剤、任意選択の分散剤、ポリビニルイミダゾールなど)、ならびに成分の任意の組み合わせ(例えば、カチオン性シリカ研削粒子、任意選択のシラン化合物、ポリエーテルアミン、ポリシラミン、任意選択の緩衝液、任意選択の調整剤、任意選択の殺生物剤、任意選択のスケール抑制剤、任意選択の分散剤、ポリビニルイミダゾールなど)を含む。
例えば、研磨組成物は、(i)水性キャリアの全てまたは一部分を提供することと、(ii)カチオン性シリカ研削粒子、および/または処理されたカチオン性シリカ研削粒子、ポリエーテルアミン、および/またはポリシラミン、および任意の任意選択の化学薬品を、このような分散液を調製するための任意の好適な手段を使用して分散することと、(iii)必要に応じて分散液のpHを調整することと、(iv)任意選択で、好適な量の任意の他の任意選択の成分および/または化学薬品を混合物に添加することと、によって調製され得る。
いくつかの実施形態では、化学機械組成物は、単一の容器に保存される。他の実施形態では、化学機械組成物は、2つ以上の容器に保存されるので、化学機械組成物は、使用ポイントまたはその近くで混合される。2つ以上の保管デバイスに収容される成分を混合して使用ポイントまたはその付近で研磨組成物を生成するために、保管デバイスには、典型的には、各保管デバイスから研磨組成物の使用ポイント(例えば、プラテン、研磨パッド、または基板表面)につながる1つ以上のフローラインが提供される。本明細書で使用される場合、「使用ポイント」という用語は、研磨組成物が基板表面(例えば、研磨パッドまたは基板表面自体)に適用されるポイントを指す。「フローライン」という用語は、個別の保管容器からそこに保管されている成分の使用ポイントまでの、流れの経路を意味する。フローラインは各々使用ポイントに直接つながるか、または2つ以上のフローラインが、任意のポイントで、使用ポイントにつながる単一のフローラインに結合され得る。さらに、フローライン(例えば、個別のフローラインまたは結合されたフローライン)のいずれかも、成分の使用ポイントに到達するのに先立ち、まず1つ以上の他のデバイス(例えば、ポンプデバイス、測定デバイス、混合デバイス等)につながってもよい。
研磨組成物の成分は、独立して使用ポイントに送達されてもよく(例えば、成分は基板表面に送達され、その後研磨プロセス中に成分が混合される)、または成分の1種以上が、使用ポイントに送達される前、例えば使用ポイントへの送達の少し前もしくは直前に混合されてもよい。成分が混合形態でプラテンに添加される約5分以内に、例えば混合形態でプラテンに添加される約4分以内、約3分以内、約2分以内、約1分以内、約45秒以内、約30秒以内、約10秒以内に組み合わせられる場合、または使用ポイントで成分の送達と同時に組み合わせられる(例えば、成分は、分注器で組み合わせられる)場合、成分は、「使用ポイントへの送達直前に」組み合わせられる。成分はまた、成分が、使用ポイントの1m以内、またはさらには使用ポイントの10cm以内などの使用ポイントの5m以内で(例えば、使用ポイントの1cm以内で)組み合わせられる場合、「使用ポイントへの送達直前に」組み合わせられる。
研磨組成物の2種以上の成分が使用ポイントに到達する前に混合される場合、成分はフローラインで混合され、混合デバイスを使用せずに使用ポイントに送達されることができる。あるいは、1つ以上のフローラインは混合デバイスにつながり、2種以上の成分の混合を促進することができる。任意の好適な混合デバイスを使用することができる。例えば、混合デバイスは、2種以上の成分が流れるノズルまたはジェット(例えば、高圧ノズルまたは高圧ジェット)であり得る。代替的に、混合デバイスは、研磨スラリーの2種以上の成分がミキサーに導入される1つ以上の注入口と、混合された成分がミキサーから出て、直接または装置の他の要素を介してかのいずれかで(例えば、1つ以上のフローラインを介して)使用ポイントに送達される少なくとも1つの排出口とを含む、容器型混合デバイスであってもよい。さらに、混合デバイスは1つより多くのチャンバを備えることができ、各チャンバは少なくとも1つの注入口と少なくとも1つの排出口を有し、各チャンバで2種以上の成分が混合される。容器型混合デバイスを使用する場合、混合デバイスは成分の混合をさらに促進するための、混合機構を備えることが好ましい。混合機構は当該技術分野で一般に既知であり、スターラー、ブレンダー、撹拌機、パドル付きバッフル、ガススパージャーシステム、バイブレーター等を含む。
研磨組成物はまた、使用前に適切な量の水で希釈することを意図する濃縮物として提供され得る。このような実施形態において、研磨組成物濃縮物は、適切な量の水で濃縮物を希釈すると、研磨組成物の各成分が、研磨組成物中に上に列挙された各成分の適切な範囲内の量で存在するような量で、研磨組成物の成分を含むことができる。例えば、カチオン性シリカ研削粒子、および/または表面処理されたカチオン性シリカ研削粒子、ポリエーテルアミン、および/またはポリシロキサミン、および任意の任意選択の化学薬品は、各々、等量の水(例えば、それぞれ2等量の水、3等量の水、または4等量の水)で濃縮物を希釈すると、各成分が、各成分について上に記載した範囲内の量で研磨組成物中に存在するように、各成分について上に列挙した濃度の約2倍(例えば、約3倍、約4倍、または約5倍)の量で濃縮物中に存在し得る。さらに、当業者には理解されるであろうように、ポリエーテルアミン、および/またはポリシラミン、および任意の任意選択の化学薬品が少なくとも部分的にまたは完全に濃縮物中に溶解することを確実にするように、濃縮物は、最終研磨組成物中に存在する水を適切な比率で含有し得る。望ましくは、本発明の研磨組成物は、使用ポイントでの研磨組成物よりも4倍濃縮された濃縮物の形態である場合、コロイド的に安定である。
本発明はまた、化学機械的に基板を研磨する方法であって、(i)基板の表面上に窒化ケイ素(SiN)層を含む基板を提供することと、(ii)研磨パッドを提供することと、(iii)(a)カチオン性シリカ研削粒子、(b)ポリエーテルアミン、ポリシラミン、またはそれらの組み合わせ、および(c)水性キャリア、を含む、化学機械研磨組成物を提供することであって、ポリエーテルアミンまたはポリシラミンが、約1,000g/mol未満の重量平均分子量を有し、研磨組成物が、約6超pHを有し、カチオン性シリカ研削粒子が、約6超のpHで少なくとも+10mVのゼータ電位を有する、化学機械研磨組成物を提供することと、(iv)研磨パッドおよび化学機械研磨組成物と基板を接触させることと、(v)研磨パッドおよび化学機械研磨組成物を基板に対して動かして、基板の表面上のSiN層の少なくとも一部分を研削して、基板を研磨することと、を含む、方法を提供する。
本発明はさらに、化学機械的に基板を研磨する方法であって、(i)基板の表面上に窒化ケイ素(SiN)層を含む基板を提供することと、(ii)研磨パッドを提供することと、(iii)(a)カチオン性シリカ研削粒子、(b)ポリビニルイミダゾール誘導体、および(c)水性キャリア、を含む、化学機械研磨組成物を提供することであって、研磨組成物が、約6超のpHを有し、カチオン性シリカ研削粒子が、約6超のpHで少なくとも+10mVのゼータ電位を有する、化学機械研磨組成物を提供することと、(iv)研磨パッドおよび化学機械研磨組成物と基板を接触させることと、(v)研磨パッドおよび化学機械研磨組成物を基板に対して動かして、基板の表面上のSiN層の少なくとも一部分を研削して、基板を研磨することと、を含む、方法を提供する。
化学機械研磨組成物を使用して、任意の好適な基板を研磨することができ、低誘電材料で構成された少なくとも1つの層(典型的には表面層)を含む基板の研磨に特に有用である。好適な基板は、半導体産業で使用されるウェハを含む。ウェハは、典型的には、例えば、金属、金属酸化物、金属窒化物、金属複合体、金属合金、低誘電材料、またはそれらの組み合わせを含むか、またはそれらからなる。本発明の方法は、酸化ケイ素、窒化ケイ素、および/またはポリシリコン、例えば、前述の材料のうちのいずれか1つ、2つ、または3つ全てを含む基板を研磨するのに特に有用であり、窒化ケイ素を含む基材を研磨するのに特に有用である。
ある特定の実施形態において、基板は、酸化ケイ素および/または窒化ケイ素と組み合わせたポリシリコンを含む。ポリシリコンは、任意の好適なポリシリコンであってもよく、その多くは当該技術分野において知られている。ポリシリコンは、任意の好適な相を有し得、非晶質、結晶質、またはそれらの組み合わせであり得る。酸化ケイ素も同様に、任意の好適な酸化ケイ素であってもよく、その多くの形態は当該技術分野において知られている。好適な種類の酸化ケイ素としては、限定されないが、ホウリンケイ酸ガラス(BPSG)、PETEOS、熱酸化物、ドーピングされていないケイ酸塩ガラス、およびHDP酸化物が挙げられる。
本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って窒化ケイ素を含む基板を研磨するときに、高い除去速度を呈する。例えば、本発明の実施形態に従って窒化ケイ素を含むシリコンウェハを研磨するとき、研磨組成物は、望ましくは、約500Å/分以上、700Å/分以上、約1,000Å/分以上、約1,250Å/分以上、約1,500Å/分以上、約1,750Å/分以上、または約2,000Å/分以上の窒化ケイ素除去速度を呈する。
本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って酸化ケイ素を含む基板を研磨するときに、低い除去速度を呈する。例えば、高密度プラズマ(HDP)酸化物および/またはプラズマ向上オルトケイ酸テトラエチル(PETEOS)および/またはオルトケイ酸テトラエチル(TEOS)などの本発明の実施形態に従って酸化ケイ素を含むシリコンウェハを研磨するとき、研磨組成物は、望ましくは、約800Å/分以下、例えば、約700Å/分以下、約600Å/分以下、約500Å/分以下、約400Å/分以下、約200Å/分以下、約100Å/分以下、約75Å/分以下、約50Å/分以下、またはさらには約25Å/分以下の酸化ケイ素の除去速度を呈する。
本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従ってポリシリコンを含む基板を研磨するときに、低い除去速度を呈する。例えば、本発明の実施形態に従ってポリシリコンを含むシリコンウェハを研磨するとき、研磨組成物は、望ましくは、約1,000Å/分以下、約750Å/分以下、約500Å/分以下、約250Å/分以下、約100Å/分以下、約50Å/分以下、約25Å/分以下、約10Å/分以下、またはさらには約5Å/分以下のポリシリコンの除去速度を呈する。
ある特定の実施形態では、基板は、基板の表面上に窒化ケイ素(SiN)層および酸化ケイ素(SiO)層を含む。いくつかの実施形態では、窒化ケイ素層の研削は、窒化ケイ素除去速度を提供し、酸化ケイ素層の研削は、酸化ケイ素除去速度を提供し、窒化ケイ素除去速度対酸化ケイ素除去速度の比が、約4対1超である。したがって、本発明の化学機械研磨組成物を使用して、約4:1以上(例えば、約5:1以上、約6:1以上、約7:1以上、約8:1以上、約9:1以上、約10:1以上、約15:1以上、約20:1以上、または約40:1以上)の窒化ケイ素対酸化ケイ素研磨選択性で、基板を研磨することができる。ある特定の実施形態では、窒化ケイ素除去速度対酸化ケイ素除去速度の比は、約20対1超である。本発明の化学機械研磨組成物は、特定の薄層材料に選択的な所望の研磨範囲で効果的な研磨を提供し、同時に表面不良、欠陥、腐食、浸食、およびストップ層の除去を最小化するように調整され得る。選択性は、研磨組成物の成分の相対濃度を変えることにより、ある程度制御することができる。
本発明の化学機械研磨組成物および方法は、化学機械研磨装置とともに使用するのに特に適している。典型的には、その装置は、使用時に、運動中に、軌道運動、直線運動、または円運動から生じる速度を有するプラテンと、プラテンと接触して運転時にプラテンとともに運動する研磨パッドと、研磨パッドの表面に対して接触して動かすことにより基板が研磨されるように保持するキャリアとを含む。基板の研磨は、基板が研磨パッドおよび本発明の研磨組成物と接触するように配置され、次いで研磨パッドを基板に対して動かして、基板の少なくとも一部を研削して基板を研磨することにより行われる。
基板は、任意の好適な研磨パッド(例えば、研磨表面)を使用して化学機械研磨組成物で研磨することができる。好適な研磨パッドには、例えば、織布および不織布の研磨パッドが含まれる。さらに、好適な研磨パッドは、さまざまな密度、硬度、厚さ、圧縮率、圧縮時に反発する能力、および圧縮弾性率の任意の好適なポリマーを含むことができる。好適なポリマーには、例えば、ポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フルオロカーボン、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、それらの成形製品、およびそれらの混合物を含み得る。軟質ポリウレタン研磨パッドは、本発明の研磨方法との併用において特に有用である。典型的なパッドとしては、限定されないが、SURFIN(商標)000、SURFIN(商標)SSW1、SPM3100(例えば、Eminess Technologiesから市販されている)、POLITEX(商標)、およびFujibo POLYPAS(商標)27が挙げられる。特に好ましい研磨パッドは、EPIC(商標)D100パッド、およびCabot Microelectronicsから市販されているIC1010(商標)パッドから市販されているNEXPLANAR(商標)E6088パッド、およびDow Chemical Companyから市販されているであるIC1010(商標)パッドである。
望ましくは、化学機械研磨装置は、現場で研磨の端点を検出するシステムをさらに備え、その多くは当該技術分野で知られている。研磨する基板の表面から反射する光または他の放射を分析することによる研磨プロセスを検査および監視する技術は、当該技術分野で知られている。そのような方法は、例えば、米国特許5,196,353号、米国特許5,433,651号、米国特許5,609,511号、米国特許5,643,046号、米国特許5,658,183号、米国特許5,730,642号、米国特許5,838,447号、米国特許5,872,633号、米国特許5,893,796号、米国特許5,949,927号、および米国特許5,964,643号に開示されている。望ましくは、研磨する基板に関する研磨プロセスの進行の検査または監視により、研磨の端点の決定、すなわち特定の基板に関する研磨プロセスをいつ終了するかの決定が可能になる。
本発明は、以下の実施形態によってさらに説明される。
実施形態(1)は、窒化ケイ素含有基板を研磨するための化学機械研磨組成物である。組成物は、水性キャリアと、水性キャリア中に分散されたカチオン性シリカ粒子であって、カチオン性シリカ研削粒子が、研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ粒子と、ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、ポリエーテルアミンおよびポリシラミンが、約1,000g/mol未満の対応する重量平均分子量を有する、研磨添加剤と、を含み、研磨組成物が、約6超のpHを有する。
実施形態(2)は、研磨添加剤が、ポリビニルイミダゾールを含む、実施形態(1)に記載の研磨組成物である。
実施形態(3)は、研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリシラミンを含む、実施形態(1)に記載の研磨組成物である。
実施形態(4)は、研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリエーテルアミンを含む、実施形態(1)に記載の研磨組成物である。
実施形態(5)は、ポリエーテルアミンが、プロピレンオキシドジアミン、プロピレンオキシドトリアミン、エチレンオキシド/プロピレンオキシドジアミン、エチレンオキシド/プロピレンオキシドトリアミン、またはそれらの組み合わせである、実施形態(4)に記載の研磨組成物である。
実施形態(6)は、ポリエーテルアミンが、式(I)のものであり、
Figure 2022511534000006
式中、Rが、C1-C6アルキル基であり、x、y、およびzが、独立して、0~15の整数である。実施形態(5)に記載の研磨組成物である。
実施形態(7)は、研磨添加剤が、約600g/mol以下の重量平均分子量を有するポリエーテルアミン、または約600g/mol以下の重量平均分子量を有するポリシラミンを含む、実施形態(1)および(3)~(6)のいずれか1つに記載の研磨組成物である。
実施形態(8)は、研磨添加剤が、約0.01mM~約1mMの範囲の濃度で研磨組成物中に存在する、実施形態(1)~(7)のいずれか1つに記載の研磨組成物である。
実施形態(9)は、カチオン性シリカ研削粒子が、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている表面を有する、実施形態(1)~(8)のいずれか1つに記載の研磨組成物である。
実施形態(10)は、カチオン性シリカ研削粒子が、約6超のpHで少なくとも20mVの永久正電荷を有するコロイダルシリカ粒子を含む、実施形態(1)~(9)のいずれか1つに記載の研磨組成物である。
実施形態(11)は、カチオン性シリカ研削粒子が、約20nm~約80nmの平均粒径を有する、実施形態(1)~(7)のいずれか1つに記載の研磨組成物である。
実施形態(12)は、研磨組成物が、約6~約8のpHを有する、実施形態(1)~(11)のいずれか1つに記載の研磨組成物である。
実施形態(13)は、研磨組成物が、約7のpHを有する、実施形態(1)~(12)のいずれか1つに記載の研磨組成物である。
実施形態(14)は、基板を化学機械的に研磨する方法である。方法は、(a)基板の表面上に窒化ケイ素(SiN)層を含む基板を提供することと、(b)研磨パッドを提供することと、(c)(i)水性キャリア、(ii)水性キャリア中に分散されたカチオン性シリカ粒子であって、カチオン性シリカ研削粒子が、研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ粒子、(iii)ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、ポリエーテルアミンおよびポリシラミンが、約1,000g/mol以下の対応する重量平均分子量を有する、研磨添加剤、を含む、化学機械研磨組成物を提供することであって、研磨組成物が、約6超のpHを有する、化学機械研磨組成物を提供することと、(d)研磨パッドおよび化学機械研磨組成物と基板を接触させることと、(e)研磨パッドおよび化学機械研磨組成物を基板に対して動かして、基板の表面上のSiN層の少なくとも一部分を研削して、基板を研磨することと、を含む。
実施形態(15)は、研磨添加剤が、ポリビニルイミダゾールを含む、実施形態(14)に記載の方法である。
実施形態(16)は、研磨添加剤が、約1,000g/mol以下の重量平均分子量を有するポリシラミンを含む、実施形態(14)に記載の方法である。
実施形態(17)は、研磨添加剤が、約1,000g/mol以下の重量平均分子量を有するポリエーテルアミンを含む、実施形態(14)に記載の方法である。
実施形態(18)は、ポリエーテルアミンが、プロピレンオキシドジアミン、プロピレンオキシドトリアミン、エチレンオキシド/プロピレンオキシドジアミン、エチレンオキシド/プロピレンオキシドトリアミン、またはそれらの組み合わせである、実施形態(17)に記載の方法である。
実施形態(19)は、ポリエーテルアミンが、式(I)のものであり、
Figure 2022511534000007
式中、Rが、C1-C6アルキル基であり、x、y、およびzが、独立して、0~15の整数である、実施形態(18)に記載の方法である。
実施形態(20)は、研磨添加剤が、約600g/mol以下の重量平均分子量を有するポリエーテルアミン、または約600g/mol以下の重量平均分子量を有するポリシラミンを含む、実施形態(14)および(16)~(19)のいずれか1つに記載の方法である。
実施形態(21)は、研磨添加剤が、約0.01mM~約1mMの範囲の濃度で研磨組成物中に存在する、実施形態(14)~(20)のいずれか1つに記載の方法である。
実施形態(22)は、カチオン性シリカ研削粒子が、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている表面を有する、実施形態(14)~(21)のいずれか1つに記載の方法である。
実施形態(23)は、カチオン性シリカ研削粒子が、約6超のpHで少なくとも20mVの永久正電荷を有するコロイダルシリカ粒子を含む、実施形態(14)~(22)のいずれか1つに記載の方法である。
実施形態(24)は、カチオン性シリカ研削粒子が、約20nm~約80nmの平均粒径を有する、実施形態(14)~(23)のいずれか1つに記載の方法である。
実施形態(25)は、研磨組成物が、約6~約8のpHを有する、実施形態(14)~(24)のいずれか1つに記載の方法である。
実施形態(26)は、(i)基板が、基板の表面上に酸化ケイ素(SiO)層をさらに含み、(ii)酸化ケイ素層の少なくとも一部分を研削して基板を研磨し、(iii)SiN除去速度対SiO除去速度の比が、約4対1超であるように、SiN層の研削がSiN除去速度を提供し、SiO層の研削がSiO除去速度を提供する、実施形態(14)~(25)のいずれか1つに記載の方法である。
これらの以下の実施例は、本発明をさらに説明するが、もちろん、その範囲を限定するものとして決して解釈されるべきではない。
以下の略語は、実施例全体で使用される:除去速度(RR)、オルトケイ酸テトラエチル(TEOS)、および窒化ケイ素(SiN)。
以下の実施例では、基板、ケイ素上にコーティングされたTEOS酸化ケイ素(テトラエトキシシランから調製)、ケイ素上にコーティングされたSiN、およびSilyb Inc.から入手したパターンを付けたウェハを、Logitech2ベンチトップ研磨機を1.5PSI(10.3kPa)の下向きの力を使用して研磨した。パターンを付けたウェハは、酸化ケイ素でコーティングされた基板上に100μmの窒化ケイ素の形状で構成した。全ての組成物に対して同一の研磨パラメータで、Saesol C7(Saesol Diamond Ind.Co.,Ltd.,(South Korea)から市販)調整剤を含むNexplanar E6088パッドを使用した。標準的なLogitech研磨パラメータは以下のとおりである:NexplanarE6088パッド、下向きの力=10.3kPa(1.5psi)、ヘッド速度=87rpm、プラテン速度=93rpm、総流速=50mL/分。除去速度は、偏光解析法を使用して膜厚を測定し、初期の厚さから最終的な厚さを減算することによって計算した。
実施例1
この実施例は、酸化ケイ素除去速度に対する窒化ケイ素除去速度によって測定した、添加剤の種類および分子量がSiN:TEOSの選択性に及ぼす影響を実証する。
TEOSでコーティングしたケイ素および窒化ケイ素でコーティングしたケイ素を含む基板を、16(16)の異なる研磨組成物、研磨組成物1A~1Pで研磨した(表1)。SaesolC7調整剤を含むNexplanar E6088パッドを使用する1.5PSI(10.3kPa)の下向きの力の、Logitech 2ベンチトップ研磨機で、基板を研磨した。研磨組成物の各々は、0.5重量%のカチオン性シリカ研削粒子、2mMのトリス塩酸塩、および120ppmの殺生物剤を7のpHで含有していた。カチオン性コロイダルシリカ粒子は、米国特許第9,382,450号の実施例7に記載されるように調製された。研磨組成物の各々は、表1に示される量の研磨添加剤をさらに含有していた。
研磨に続いて、TEOSおよびSiNの除去速度を測定した。結果を表1に示す。
Figure 2022511534000008
表1に記載の結果から明らかなように、約1,000g/mol未満の分子量を有する研磨添加剤を含有する研磨組成物1H~1Pは、最大のSiN:TEOS選択性を呈した。JEFFAMINE(商標)T-403を含有する研磨組成物1Nは、48:1の比のSiN:TEOS選択性で、対照のほぼ50倍の選択性を呈した。
実施例2
この実施例は、酸化ケイ素除去速度に対する窒化ケイ素除去速度によって測定した、添加剤濃度がSiN:TEOSの選択性に及ぼす影響を実証する。
TEOSでコーティングしたケイ素および窒化ケイ素でコーティングしたケイ素を含む基板を、5(5)つの異なる研磨組成物、研磨組成物2A~2Eで研磨した(表2)。SaesolC7調整剤を含むNexplanar E6088パッドを使用する1.5PSI(10.3kPa)の下向きの力の、Logitech 2ベンチトップ研磨機で、基板を研磨した。研磨組成物の各々は、0.5重量%のカチオン性シリカ研削粒子、2mMのトリス塩酸塩、および120ppmの殺生物剤を7のpHで含有していた。研磨組成物2B~2Eの各々は、表2に示される量のJEFFAMINE(商標)T-403をさらに含有していた。対照として、研磨組成物2Aを提供する。カチオン性コロイダルシリカ粒子は、米国特許第9,382,450号の実施例7に記載されるように調製された。
研磨に続いて、TEOSおよびSiNの除去速度を測定した。結果を表2に示す。
Figure 2022511534000009
表2に記載の結果から明らかなように、JEFFAMINE(商標)T-403を含有する研磨組成物2B~2Eは、TEOS除去速度の低減を呈し、対照よりも良好なSiN:TEOS選択性を提供した。0.1mMのJEFFAMINE(商標)T-403を含有する研磨組成物2Cは、最低のTEOS除去速度を呈し、約48:1の比の最大のSiN:TEOS選択性を呈した。
本明細書に引用された刊行物、特許出願および特許を含む全ての参考文献は、各参考文献が個々にかつ具体的に参照により組み込まれることが示され、その全体が本明細書に記載されているのと同じ程度まで参照により本明細書に組み込まれる。
(特に以下の特許請求の範囲の文脈において)本発明を説明する文脈における「a」および「an」および「the」および「少なくとも1つの(at least one)」という用語および同様の指示語の使用は、本明細書において別段の指示がない限り、または文脈で明らかに矛盾しない限り、単数および複数形の両方を包含すると解釈されるべきである。1つ以上の項目の列挙に続く「少なくとも1つの」という用語(例えば、「AおよびBのうちの少なくとも1つ」)の使用は、本明細書において別段の指示がない限り、または文脈で明らかに矛盾しない限り、列挙された項目(AまたはB)から選択される1つの項目、または列挙された項目(AおよびB)の2つ以上の任意の組み合わせを意味すると解釈されるべきである。「備える」、「有する」、「含む」および「含有する」という用語は、別段の記載がない限り、オープンエンド用語(すなわち、「含むがこれらに限定されない」を意味する)として解釈されるべきである。本明細書における値の範囲の列挙は、本明細書において別段の指示がない限り、範囲内にある各別個の値を個々に参照する簡略方法としての役割を果たすことを単に意図しており、各別個の値は本明細書に個別に列挙されているかのように、本明細書に組み込まれる。本明細書に記載される全ての方法は、本明細書において別段の指示がない限り、または文脈で明らかに矛盾しない限り、任意の好適な順序で実行することができる。本明細書において提供されるありとあらゆる実施例、または例示的な言葉(例えば、「~等」)の使用は、単に本発明をより明らかにすることを意図しており、別段の主張がない限り、本発明の範囲を限定するものではない。本明細書中のいかなる言葉も、本発明の実施に必須であるとしていかなる特許請求されていない要素を示すものとして解釈されるべきではない。
本発明を行うための本発明者らに既知の最良の形態を含む、本発明の好ましい実施形態が本明細書に記載される。これらの好ましい実施形態の変形は、前述の説明を読むことにより当業者には明らかになり得る。本発明者らは、当業者がこのような変形を適切なものとして用いることを期待しており、本発明者らは本発明が本明細書に具体的に記載されたとおりではなく別の方法で実行されることを意図する。したがって、本発明は、適用法によって許容されるように、本明細書に添付の特許請求の範囲に列挙された主題の全ての変形および同等物を含む。さらに、本明細書において別段の指示がない限り、または文脈で明らかに矛盾しない限り、それらの全ての可能な変形における上記の要素のあらゆる組み合わせが本発明に包含される。

Claims (26)

  1. 窒化ケイ素含有基板を研磨するための化学機械研磨組成物であって、前記研磨組成物が、
    水性キャリアと、
    前記水性キャリア中に分散されたカチオン性シリカ研削粒子であって、前記カチオン性シリカ研削粒子が、前記研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ研削粒子と、
    ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤であって、前記ポリエーテルアミンおよび前記ポリシラミンが、約1,000g/mol未満の対応する重量平均分子量を有する、研磨添加剤と、を含み、
    前記研磨組成物が、約6超のpHを有する、研磨組成物。
  2. 前記研磨添加剤が、ポリビニルイミダゾールを含む、請求項1に記載の研磨組成物。
  3. 前記研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリシラミンを含む、請求項1に記載の研磨組成物。
  4. 前記研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリエーテルアミンを含む、請求項1に記載の研磨組成物。
  5. 前記ポリエーテルアミンが、プロピレンオキシドジアミン、プロピレンオキシドトリアミン、エチレンオキシド/プロピレンオキシドジアミン、エチレンオキシド/プロピレンオキシドトリアミン、またはそれらの組み合わせである、請求項4に記載の研磨組成物。
  6. 前記ポリエーテルアミンが、式(I)のものであり、
    Figure 2022511534000010
    式中、Rが、C1-C6アルキル基であり、x、y、およびzが、独立して、0~15の整数である、請求項5に記載の研磨組成物。
  7. 前記研磨添加剤が、約600g/mol以下の重量平均分子量を有するポリエーテルアミン、または約600g/mol以下の重量平均分子量を有するポリシラミンを含む、請求項1に記載の研磨組成物。
  8. 前記研磨添加剤が、約0.01mM~約1mMの範囲の濃度で前記研磨組成物中に存在する、請求項1に記載の研磨組成物。
  9. 前記カチオン性シリカ研削粒子が、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている表面を有する、請求項1に記載の研磨組成物。
  10. 前記カチオン性シリカ研削粒子が、約6超のpHで少なくとも20mVの永久正電荷を有するコロイダルシリカ粒子を含む、請求項1に記載の研磨組成物。
  11. 前記カチオン性シリカ研削粒子が、約20nm~約80nmの平均粒径を有する、請求項1に記載の研磨組成物。
  12. 前記研磨組成物が、約6~約8のpHを有する、請求項1に記載の研磨組成物。
  13. 前記研磨組成物が、約7のpHを有する、請求項10に記載の研磨組成物。
  14. 基板を化学機械的に研磨する方法であって、
    (a)基板を提供することであって、前記基板の表面上に窒化ケイ素(SiN)層を含む、基板を提供することと、
    (b)研磨パッドを提供することと、
    (c)化学機械研磨組成物を提供することであって、前記化学機械研磨組成物が、
    (i)水性キャリア、
    (ii)前記水性キャリア中に分散されたカチオン性シリカ研削粒子であって、前記カチオン性シリカ研削粒子が、前記研磨組成物中で少なくとも10mVのゼータ電位を有する、カチオン性シリカ研削粒子、
    (iii)ポリエーテルアミン、ポリシラミン、ポリビニルイミダゾール、およびそれらの組み合わせからなる群から選択される研磨添加剤を含み、前記ポリエーテルアミンおよび前記ポリシラミンが、約1,000g/mol未満の対応する重量平均分子量を有し、
    前記研磨組成物が、約6超のpHを有する、化学機械研磨組成物を提供することと、
    (d)前記研磨パッドおよび前記化学機械研磨組成物と前記基板を接触させることと、
    (e)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して動かして、前記基板の表面上の前記SiN層の少なくとも一部分を研削して、前記基板を研磨することと、を含む、方法。
  15. 前記研磨添加剤が、ポリビニルイミダゾールを含む、請求項14に記載の方法。
  16. 前記研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリシラミンを含む、請求項14に記載の方法。
  17. 前記研磨添加剤が、約900g/mol以下の重量平均分子量を有するポリエーテルアミンを含む、請求項14に記載の方法。
  18. 前記ポリエーテルアミンが、プロピレンオキシドジアミン、プロピレンオキシドトリアミン、エチレンオキシド/プロピレンオキシドジアミン、エチレンオキシド/プロピレンオキシドトリアミン、またはそれらの組み合わせである、請求項17に記載の方法。
  19. 前記ポリエーテルアミンが、式(I)のものであり、
    Figure 2022511534000011
    式中、Rが、C1-C6アルキル基であり、x、y、およびzが、独立して、0~15の整数である、請求項18に記載の方法。
  20. 前記研磨添加剤が、約600g/mol以下の重量平均分子量を有するポリエーテルアミン、または約600g/mol以下の重量平均分子量を有するポリシラミンを含む、請求項14に記載の方法。
  21. 前記研磨添加剤が、約0.01mM~約1mMの範囲の濃度で前記研磨組成物中に存在する、請求項14に記載の方法。
  22. 前記カチオン性シリカ研削粒子が、第4級アミノシラン、ダイポーダルアミノシラン、またはそれらの組み合わせで処理されている表面を有する、請求項14に記載の方法。
  23. 前記カチオン性シリカ研削粒子が、約6超のpHで少なくとも20mVの永久正電荷を有するコロイダルシリカ粒子を含む、請求項14に記載の方法。
  24. 前記カチオン性シリカ研削粒子が、約20nm~約80nmの平均粒径を有する、請求項14に記載の方法。
  25. 前記研磨組成物が、約6~約8のpHを有する、請求項14に記載の方法。
  26. 前記基板が、前記基板の表面上に酸化ケイ素(SiO)層をさらに含み、
    前記酸化ケイ素層の少なくとも一部分を研削して、前記基板を研磨し、
    前記SiN層の前記研削が、SiN除去速度を提供し、前記SiO層の前記研削は、前記SiN除去速度対前記SiO除去速度の比が、約4対1超であるように、SiO除去速度を提供する、請求項14に記載の方法。
JP2021532138A 2018-12-04 2019-11-13 窒化ケイ素cmpのための組成物および方法 Pending JP2022511534A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/208,779 2018-12-04
US16/208,779 US20200172761A1 (en) 2018-12-04 2018-12-04 Composition and method for silicon nitride cmp
PCT/US2019/061261 WO2020117440A1 (en) 2018-12-04 2019-11-13 Composition and method for silicon nitride cmp

Publications (1)

Publication Number Publication Date
JP2022511534A true JP2022511534A (ja) 2022-01-31

Family

ID=70851180

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021532138A Pending JP2022511534A (ja) 2018-12-04 2019-11-13 窒化ケイ素cmpのための組成物および方法

Country Status (7)

Country Link
US (1) US20200172761A1 (ja)
EP (1) EP3891235B1 (ja)
JP (1) JP2022511534A (ja)
KR (1) KR20210088731A (ja)
CN (1) CN113366071A (ja)
TW (1) TWI788603B (ja)
WO (1) WO2020117440A1 (ja)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
KR101232585B1 (ko) * 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
EP2389417B1 (en) * 2009-01-20 2017-03-15 Cabot Corporation Compositons comprising silane modified metal oxides
TWI573864B (zh) * 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
US9850402B2 (en) * 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
US9127187B1 (en) * 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US20160100573A1 (en) * 2014-10-10 2016-04-14 Momentive Performance Materials Inc. Quaternary organosilicon surfactants, methods of making same and applications containing the same
WO2017214185A1 (en) * 2016-06-07 2017-12-14 Cabot Microelectronics Corporation Chemical-mechanical processing slurry and methods for processing a nickel substrate surface
US10037889B1 (en) * 2017-03-29 2018-07-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cationic particle containing slurries and methods of using them for CMP of spin-on carbon films

Also Published As

Publication number Publication date
TW202029322A (zh) 2020-08-01
TWI788603B (zh) 2023-01-01
US20200172761A1 (en) 2020-06-04
CN113366071A (zh) 2021-09-07
EP3891235A4 (en) 2022-09-28
WO2020117440A1 (en) 2020-06-11
EP3891235A1 (en) 2021-10-13
EP3891235B1 (en) 2023-10-18
KR20210088731A (ko) 2021-07-14

Similar Documents

Publication Publication Date Title
EP2999762B1 (en) Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
US20100210109A1 (en) Cmp polishing slurry and method of polishing substrate
US20170066944A1 (en) Methods and compositions for processing dielectric substrate
JP6829197B2 (ja) Stiウエハーの研磨にてディッシングの低減を示すcmp組成物
JP7021073B2 (ja) 改善された安定性及び改善された研磨特性を有する、選択的窒化物スラリー
JP2009272601A (ja) 研磨剤、これを用いた基板の研磨方法並びにこの研磨方法に用いる溶液及びスラリー
US9165489B2 (en) CMP compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity
JP2009260236A (ja) 研磨剤、これを用いた基板の研磨方法並びにこの研磨方法に用いる溶液及びスラリー
JP7041135B2 (ja) 改善されたディッシングおよびパターン選択性を有する酸化物および窒化物選択性のcmp組成物
JP6595510B2 (ja) 高い除去速度と低欠陥性を有する、ポリシリコン及び窒化物を上回り酸化物に対して選択的なcmp組成物
JP6930976B2 (ja) 低k基板の研磨方法
JP2022511534A (ja) 窒化ケイ素cmpのための組成物および方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240405