JP2022191406A - Optimized low-energy/high-productivity deposition system - Google Patents

Optimized low-energy/high-productivity deposition system Download PDF

Info

Publication number
JP2022191406A
JP2022191406A JP2022165116A JP2022165116A JP2022191406A JP 2022191406 A JP2022191406 A JP 2022191406A JP 2022165116 A JP2022165116 A JP 2022165116A JP 2022165116 A JP2022165116 A JP 2022165116A JP 2022191406 A JP2022191406 A JP 2022191406A
Authority
JP
Japan
Prior art keywords
processing
arm
end effector
station
stations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022165116A
Other languages
Japanese (ja)
Other versions
JP7440592B2 (en
Inventor
マイケル・ノーディン
nordin Michael
カール・リーサー
Leeser Karl
リチャード・ブランク
Blank Richard
ロバート・スカラック
Sculac Robert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022191406A publication Critical patent/JP2022191406A/en
Priority to JP2024020731A priority Critical patent/JP2024056883A/en
Application granted granted Critical
Publication of JP7440592B2 publication Critical patent/JP7440592B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

PROBLEM TO BE SOLVED: To reduce, in a total time each substrate remains in a process module, the time occupied by a delay time associated with rotating and transferring the substrate with a mechanical indexer.
SOLUTION: In a substrate processing tool, a process module 200 includes a mechanical indexer 204 for a substrate processing tool, the mechanical indexer including first and second arms each having first and second end effectors. The first arm 208 is configured to rotate on a first spindle and to selectively position the first end effector 216 of the first arm at a plurality of processing stations of the substrate processing tool. The second arm 212 is configured to rotate on a second spindle and to selectively position the first end effector 224 of the second arm at the plurality of processing stations 1 to 4 of the substrate processing tool. The first arm 208 is configured to rotate independently of the second arm 212.
SELECTED DRAWING: Figure 2A
COPYRIGHT: (C)2023,JPO&INPIT

Description

関連出願への相互参照
本願は、2017年1月23日出願の米国仮出願第62/449,325号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
CROSS REFERENCE TO RELATED APPLICATIONS This application claims the benefit of US Provisional Application No. 62/449,325, filed January 23, 2017. The entire disclosure of the above application is incorporated herein by reference.

本開示は、基板処理システム処理モジュール内での基板の移送に関する。 The present disclosure relates to transferring substrates within a substrate processing system processing module.

本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。 The background description provided herein is for the purpose of generally presenting the background of the present disclosure. The work of the inventors named herein, to the extent described in this background, is expressly or impliedly with respect to this disclosure, together with aspects of the description that are not generally considered prior art at the time of filing. Not recognized as prior art.

半導体ウエハなどの基板の蒸着、エッチング、および/または、その他の処理を実行するために、基板処理システムが利用されうる。処理中、基板処理システムの処理チャンバ内で基板支持体上に基板が配置される。1または複数の前駆体を含むガス混合物が、処理チャンバに導入され、プラズマが、化学反応を活性化するために点火されうる。基板処理システムは、製造室内に配置された複数の基板処理ツールを含みうる。基板処理ツールの各々は、複数の処理モジュールを備えうる。 Substrate processing systems may be utilized to perform deposition, etching, and/or other processing of substrates such as semiconductor wafers. During processing, a substrate is placed on a substrate support within a processing chamber of a substrate processing system. A gas mixture containing one or more precursors can be introduced into the processing chamber and a plasma can be ignited to activate chemical reactions. A substrate processing system may include a plurality of substrate processing tools arranged within a fabrication chamber. Each of the substrate processing tools may comprise multiple processing modules.

ここで、図1を参照すると、基板処理ツール100の一例の上面図が示されている。基板処理ツール100は、複数の処理モジュール104を備えうる。処理モジュール104の各々は、基板上に対して1または複数のそれぞれの処理を実行するように構成されうる。処理される基板は、装置フロントエンドモジュール(EFEM)108のロードステーションのポートを介して基板処理ツール100内にロードされ、その後、処理モジュール104の内の1または複数に移送される。例えば、基板は、EFEM108から1または複数のEFEMロボット116を介してロードロック112に移送されてよい。真空移送モジュール(VTM:vacuum transfer module)120が、処理モジュール104へ基板を出し入れするように構成された1または複数のVTMロボット124を備える。例えば、基板は、処理モジュール104の各々に連続してロードされうる。 Referring now to FIG. 1, a top view of an example substrate processing tool 100 is shown. The substrate processing tool 100 may comprise multiple processing modules 104 . Each of the processing modules 104 may be configured to perform one or more respective processes on the substrate. Substrates to be processed are loaded into the substrate processing tool 100 through the load station port of the equipment front end module (EFEM) 108 and then transferred to one or more of the processing modules 104 . For example, substrates may be transferred from EFEM 108 to loadlock 112 via one or more EFEM robots 116 . A vacuum transfer module (VTM) 120 includes one or more VTM robots 124 configured to move substrates into and out of the processing module 104 . For example, substrates can be sequentially loaded into each of the processing modules 104 .

一例では、処理モジュール104は、4ステーション処理モジュール(QSM:quad station process module)に対応する。QSMは、単一チャンバ内(すなわち、処理モジュール104の処理チャンバ132内)に4つの処理ステーション128を備えうる。基板136は、ロードステーション140を介して処理モジュール104内にロードされる。例えば、基板136は、VTM120と処理モジュール104との間のそれぞれのスロット144を介して、VTM120とロードステーション140との間で移送される。機械式インデクサ148(すなわち、インデクシングメカニズム)が、複数の処理ステーション128の間で基板136を逐次回転させる。図に示すように、機械式インデクサ148は、十字形のスピンドルに対応する。例えば、基板136は、VTM120からロードステーション140(ラベル「1」)に対応する処理ステーション128へ移送され、ラベル「2」、「3」、および、「4」の処理ステーション140の間で逐次回転され、その後、処理モジュール104から取り出すためにロードステーション140に戻されうる。システムコントローラ152が、ロボット116および124の動作、インデクサ148の回転などを含むがこれらに限定されないツールの様々な動作を制御しうる。 In one example, the processing module 104 corresponds to a quad station process module (QSM). A QSM may include four processing stations 128 within a single chamber (ie, within processing chamber 132 of processing module 104). Substrate 136 is loaded into processing module 104 via load station 140 . For example, substrates 136 are transferred between VTM 120 and load station 140 via respective slots 144 between VTM 120 and processing module 104 . A mechanical indexer 148 (ie, an indexing mechanism) sequentially rotates the substrate 136 between multiple processing stations 128 . As shown, the mechanical indexer 148 corresponds to a cruciform spindle. For example, substrate 136 is transferred from VTM 120 to processing station 128 corresponding to load station 140 (labeled "1") and rotated sequentially between processing stations 140 labeled "2", "3", and "4". and then returned to load station 140 for removal from processing module 104 . A system controller 152 may control various movements of the tool including, but not limited to, movement of robots 116 and 124, rotation of indexer 148, and the like.

基板処理ツールのための機械式インデクサが、第1および第2エンドエフェクタを各々が有する第1および第2アームを備える。第1アームは、第1アームの第1エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置すると共に、第1アームの第2エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置するために、第1スピンドル上で回転するように構成されている。第2アームは、第2アームの第1エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置すると共に、第2アームの第2エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置するために、第2スピンドル上で回転するように構成されている。複数の処理ステーションの少なくとも1つは、基板処理ツールのロードステーションに対応する。第1アームは、第1アームの第1エンドエフェクタまたは第2エンドエフェクタがロードステーションに配置されると同時に、第2アームの第1エンドエフェクタまたは第2エンドエフェクタがロードステーションに配置されるように、第2アームと独立して回転するように構成されている。 A mechanical indexer for a substrate processing tool includes first and second arms each having first and second end effectors. The first arm selectively positions the first end effector of the first arm at the plurality of processing stations of the substrate processing tool and selectively positions the second end effector of the first arm at the plurality of processing stations of the substrate processing tool. It is configured to rotate on the first spindle for placement in the . The second arm selectively positions the first end effector of the second arm at the plurality of processing stations of the substrate processing tool and selectively positions the second end effector of the second arm at the plurality of processing stations of the substrate processing tool. is configured to rotate on a second spindle for positioning in the At least one of the plurality of processing stations corresponds to a load station of the substrate processing tool. The first arm is positioned such that the first end effector or second end effector of the first arm is positioned at the load station at the same time as the first end effector or second end effector of the second arm is positioned at the load station. , is configured to rotate independently of the second arm.

別の特徴において、第1スピンドルおよび第2スピンドルは同軸である。第1アームおよび第2アームの各々は、基板処理ツールの複数の処理ステーションに対して上下されるように構成されている。第2スピンドルは、第1スピンドル内に配置される。 In another feature, the first spindle and the second spindle are coaxial. Each of the first arm and the second arm is configured to be raised and lowered with respect to a plurality of processing stations of the substrate processing tool. A second spindle is positioned within the first spindle.

別の特徴において、第1アームおよび第2アームは、第1構成になるように回転可能である。第1構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される。第1アームおよび第2アームは、第2構成になるように回転可能である。第2構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第3処理ステーションおよび第1処理ステーションにそれぞれ配置される。 In another feature, the first arm and the second arm are rotatable to the first configuration. In a first configuration, a first end effector and a second end effector of the first arm are positioned at a first processing station and a third one of the plurality of processing stations, respectively, and the first end effector of the second arm and the A second end effector is positioned at each of the second and fourth processing stations of the plurality of processing stations. The first arm and the second arm are rotatable to the second configuration. In a second configuration, a first end effector and a second end effector of the first arm are positioned at a first processing station and a third one of the plurality of processing stations, respectively, and the first end effector of the second arm and the A second end effector is positioned at each of the third and first processing stations of the plurality of processing stations.

別の特徴において、第1処理ステーションは、基板処理ツールのロードステーションに対応する。第1処理ステーションおよび第3処理ステーションは、基板処理ツールの反対側の角に配置され、第2処理ステーションおよび第4処理ステーションは、基板処理ツールの反対側の角に配置される。 In another feature, the first processing station corresponds to the loading station of the substrate processing tool. The first and third processing stations are located at opposite corners of the substrate processing tool, and the second and fourth processing stations are located at opposite corners of the substrate processing tool.

別の特徴において、第1アームおよび第2アームは、第1構成になるように回転可能である。第1構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される。第1アームおよび第2アームは、第2構成になるように回転可能である。第2構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第4処理ステーションおよび第1処理ステーションにそれぞれ配置される。 In another feature, the first arm and the second arm are rotatable to the first configuration. In a first configuration, a first end effector and a second end effector of a first arm are positioned at a first processing station and a fourth one of the plurality of processing stations, respectively; A second end effector is positioned at each of the second and third processing stations of the plurality of processing stations. The first arm and the second arm are rotatable to the second configuration. In a second configuration, a first end effector and a second end effector of the first arm are positioned at a first processing station and a fourth one of the plurality of processing stations, respectively, and the first end effector of the second arm and the A second end effector is positioned at each of the fourth and first processing stations of the plurality of processing stations.

別の特徴において、第1処理ステーションおよび第4処理ステーションは、基板処理ツールの第1側面に配置され、第2処理ステーションおよび第3処理ステーションは、第1側面と反対側にある基板処理ツールの第2側面に配置される。第1処理ステーションおよび第4処理ステーションは、基板処理ツールのロードステーションに対応する。 In another feature, the first processing station and the fourth processing station are located on a first side of the substrate processing tool, and the second processing station and the third processing station are on the opposite side of the substrate processing tool from the first side. It is arranged on the second side. The first processing station and the fourth processing station correspond to load stations of the substrate processing tool.

別の特徴において、基板処理ツールが、真空移送モジュールと、真空移送モジュールに接続された複数の処理モジュールと、を備える。複数の処理モジュールの少なくとも1つは、機械式インデクサを備える。複数の処理モジュールは、真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む。 In another feature, a substrate processing tool includes a vacuum transfer module and a plurality of processing modules connected to the vacuum transfer module. At least one of the plurality of processing modules includes a mechanical indexer. The plurality of processing modules includes first and second processing modules connected to the first side of the vacuum transfer module and third and fourth processing modules connected to the second side of the vacuum transfer module.

別の特徴において、アダプタプレートが、第1側面と、第1および第2処理モジュールとの間に配置される。アダプタプレートは、真空移送モジュールの第1側面と接続するように構成された平坦な側面と、第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える。 In another feature, an adapter plate is positioned between the first side and the first and second processing modules. The adapter plate has a flat side configured to connect with the first side of the vacuum transfer module and an angled side configured to connect with the first and second process modules.

別の特徴において、真空移送モジュールの第1側面および第2側面は面取りされている。アダプタプレートが、第1側面と、第1および第2処理モジュールとの間に配置される。アダプタプレートは、真空移送モジュールの第1側面と接続するように構成された角度付きの側面と、第1および第2処理モジュールと接続するように構成された平坦な側面とを備える。 In another feature, the first side and the second side of the vacuum transfer module are chamfered. An adapter plate is positioned between the first side and the first and second processing modules. The adapter plate has an angled side configured to connect with the first side of the vacuum transfer module and a flat side configured to connect with the first and second process modules.

詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。 Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims, and the drawings. The detailed description and specific examples are for purposes of illustration only and are not intended to limit the scope of the disclosure.

本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。 The present disclosure can be more fully understood from the detailed description and accompanying drawings set forth below.

基板処理ツールの一例を示す図。FIG. 1 shows an example of a substrate processing tool;

X字形構成の機械式インデクサを備えた処理モジュールの第1例を示す図。1 shows a first example of a processing module with a mechanical indexer in an X configuration; FIG.

第2構成の機械式インデクサを備えた処理モジュールの第1例を示す図。FIG. 3 shows a first example of a processing module with a mechanical indexer in a second configuration;

処理モジュールの第1例を示す側面図。The side view which shows the 1st example of a processing module.

機械式インデクサを示す側面図。FIG. 2 is a side view showing a mechanical indexer;

X字形構成の機械式インデクサを備えた処理モジュールの第2例を示す図。Fig. 2 shows a second example of a processing module with a mechanical indexer in an X configuration;

第2構成の機械式インデクサを備えた処理モジュールの第2例を示す図。FIG. 4 shows a second example of a processing module with a mechanical indexer in a second configuration;

処理モジュールの第2例を示す側面図。The side view which shows the 2nd example of a processing module.

X字形構成の機械式インデクサを示す図。Fig. 3 shows a mechanical indexer in an X configuration;

第2構成の機械式インデクサを示す図。FIG. 4 shows a mechanical indexer in a second configuration;

基板処理ツールの第1例を示す図。1 shows a first example of a substrate processing tool; FIG.

基板処理ツールの第2例を示す図。FIG. 4 shows a second example of a substrate processing tool;

移送ロボットの一例を示す図。The figure which shows an example of a transfer robot.

基板処理ツールのためのアダプタプレートの一例を示す図。FIG. 3 illustrates an example of an adapter plate for substrate processing tools;

基板処理ツールの第3例を示す図。FIG. 11 illustrates a third example of a substrate processing tool;

基板処理ツールの機械式インデクサを動作させるための方法の第1例の工程を示す図。3A-3D illustrate the steps of a first example method for operating a mechanical indexer of a substrate processing tool;

基板処理ツールの機械式インデクサを動作させるための方法の第2例の工程を示す図。4A-4D illustrate the steps of a second example method for operating a mechanical indexer of a substrate processing tool;

図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。 The same reference numerals may be used in the drawings to identify similar and/or identical elements.

基板処理ツール内の処理モジュールが、マルチステーション逐次処理モードで動作されてよい。例えば、処理全体の一部のみが、処理モジュール内の複数の処理ステーションの各々において基板に実行されてよい。ステーションの各々における処理時間が短くなるにつれ、および/または、各ステーションが基板に実行する処理の回数が多くなるにつれ、各基板が処理モジュール内に滞在する総時間の中で、機械式インデクサによる基板の回転および移送に関連する遅延が占める部分大きくなる。一例では、複数の基板が、ロードステーションに対応する処理ステーションに逐次移送される。インデクサは、インデクサ上で4つの処理ステーションの各々に基板が配置されるまで、各移送後に回転される。次いで、処理が、基板の各々に実行されてよい。 Processing modules within a substrate processing tool may be operated in a multi-station serial processing mode. For example, only a portion of the overall processing may be performed on the substrate at each of the plurality of processing stations within the processing module. As the processing time at each of the stations decreased and/or the number of processes performed by each station on the substrate increased, the total time each substrate spent in the processing module was reduced by the mechanical indexer. delays associated with the rotation and translation of the . In one example, multiple substrates are sequentially transferred to a processing station corresponding to the load station. The indexer is rotated after each transfer until a substrate is positioned on each of the four processing stations on the indexer. Processing may then be performed on each of the substrates.

本開示の原理に従った基板処理/移送システムおよび方法は、基板移送時間を削減するように構成された移送モジュール(例えば、真空移送モジュールすなわちVTM)、処理モジュール、および、機械式インデクサを実施する。例えば、VTMは、2以上(例えば、4)の基板を処理モジュールへロードし、移送ごとに処理モジュールから2以上の基板を回収するように構成される。 Substrate processing/transfer systems and methods according to the principles of the present disclosure implement transfer modules (eg, vacuum transfer modules or VTMs), processing modules, and mechanical indexers configured to reduce substrate transfer time. . For example, the VTM is configured to load two or more (eg, four) substrates into the processing module and retrieve two or more substrates from the processing module per transfer.

一例において、機械式インデクサは、2つの独立的に回転可能なアームを備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ)を有する。インデクサは、選択的に、X字形の第1構成で配置されてよい。X字形構成では、端部の各々は、処理モジュール内のそれぞれの処理ステーションと整列されてよい。例えば、第1アームの第1および第2端部は、対角線上で向かい合った処理ステーション1および3(もしくは、2および4)と整列されてよく、第2アームの第1および第2端部は、対角線上で向かい合った処理ステーション2および4(もしくは、1および3)と整列されてよい。第2構成では、第1アームおよび第2アームが整列するように、アームの一方が持ち上げられて回転される。第2構成において、アームの各々の第1および第2端部は、ステーション1および3もしくは2および4と整列される。換言すると、第2構成では、両方のアームのそれぞれの端部が、処理ステーションの内の任意の1つで垂直に積み重ねられてよい。特に、両方のアームのそれぞれの端部は、ロードステーションと整列されてよい。 In one example, a mechanical indexer includes two independently rotatable arms, each arm having first and second ends (eg, end effectors). The indexers may optionally be arranged in an X-shaped first configuration. In the X-shaped configuration, each of the ends may be aligned with a respective processing station within the processing module. For example, the first and second ends of the first arm may be aligned with diagonally opposed processing stations 1 and 3 (or 2 and 4), and the first and second ends of the second arm , may be aligned with diagonally opposite processing stations 2 and 4 (or 1 and 3). In a second configuration, one of the arms is lifted and rotated so that the first and second arms are aligned. In a second configuration, the first and second ends of each of the arms are aligned with stations 1 and 3 or 2 and 4. In other words, in the second configuration, the respective ends of both arms may be vertically stacked at any one of the processing stations. In particular, each end of both arms may be aligned with the load station.

したがって、この例では、2つの基板が、(例えば、同時に2つの基板を移送するように構成された垂直積層エンドエフェクタを有するVTMロボットを用いて)、処理モジュールへおよび/または処理モジュールから移送されてよい。処理モジュールへおよび/または処理モジュールから2つのさらなる基板を移送するために、アームの各々の反対側の端部がロードステーションと整列されるように、両方のアームが回転されてよい。次いで、機械式インデクサは、4つの基板の各々が、異なる処理ステーションに整列されるように、X字形の第1構成で配置されてよい。 Thus, in this example, two substrates are transferred to and/or from the processing module (eg, using a VTM robot having vertically stacked end effectors configured to transfer two substrates simultaneously). you can Both arms may be rotated such that opposite ends of each of the arms are aligned with the load station to transfer two additional substrates to and/or from the processing module. The mechanical indexer may then be arranged in an X-shaped first configuration such that each of the four substrates is aligned with a different processing station.

別の例において、処理モジュールは、2つのロードステーションを備えてもよい。例えば、ロードステーションは、VTMに隣接する処理ステーションに対応してよい。この例において、機械式インデクサは、第1および第2V字形アームを備える。インデクサは、第1X字形構成で配置されてよい。X字形構成では、第1V字形アームの第1および第2端部は、処理ステーション1および4(もしくは、処理ステーション2および1、3および2、もしくは、4および3)と整列されてよく、第2V字形アームの第1および第2端部は、処理ステーション2および3(もしくは、処理ステーション3および4、4および1、もしくは、1および2)と整列されてよい。第2構成では、第1アームおよび第2アームが整列するように、アームの一方が持ち上げられて回転される。第2構成において、アームの各々の第1および第2端部は、例えば、ステーション1および4と整列され、それらのステーションは、ロードステーションに対応してよい。換言すると、第2構成では、両方のアームのそれぞれの端部が、ロードステーション内で垂直に積み重ねられてよい。 In another example, the processing module may comprise two load stations. For example, a load station may correspond to a processing station adjacent to a VTM. In this example, the mechanical indexer comprises first and second V-arms. The indexers may be arranged in a first X-shaped configuration. In the X-shaped configuration, the first and second ends of the first V-arm may be aligned with processing stations 1 and 4 (or processing stations 2 and 1, 3 and 2, or 4 and 3); The first and second ends of the two V-arms may be aligned with processing stations 2 and 3 (or processing stations 3 and 4, 4 and 1, or 1 and 2). In a second configuration, one of the arms is lifted and rotated so that the first and second arms are aligned. In a second configuration, the first and second ends of each of the arms are aligned, for example, with stations 1 and 4, which stations may correspond to load stations. In other words, in the second configuration, the respective ends of both arms may be vertically stacked within the load station.

したがって、この例では、4つの基板が、(例えば、同時に2つの基板を移送するように構成された垂直積層エンドエフェクタをそれぞれ有する2つのVTMロボットを用いて)、処理モジュールへおよび/または処理モジュールから移送されてよい。次いで、機械式インデクサは、4つの基板の各々が、異なる処理ステーションに整列されるように、X字形の第1構成で配置されてよい。 Thus, in this example, four substrates (eg, using two VTM robots each having a vertically stacked end effector configured to transfer two substrates at the same time) are transferred to and/or from the processing module. may be transferred from The mechanical indexer may then be arranged in an X-shaped first configuration such that each of the four substrates is aligned with a different processing station.

後に詳述するように、本開示に従った基板処理/移送システムおよび方法によれば、エネルギ消費を削減すること、基板処理に関連するオーバヘッド時間を削減すること、処理スループットを改善すること、ツールあたりの処理モジュール数を増やすこと、などが可能である。4つの処理ステーションを有する処理モジュールに関して記載しているが、本開示の原理は、その他の数(例えば、2、3、5、6、7、8など)の処理ステーションを有する処理モジュールで実施されてもよい。 As detailed below, substrate processing/transfer systems and methods according to the present disclosure reduce energy consumption, reduce overhead time associated with substrate processing, improve processing throughput, It is possible to increase the number of processing modules per unit. Although described with respect to a processing module having four processing stations, the principles of the disclosure may be practiced with processing modules having other numbers of processing stations (eg, 2, 3, 5, 6, 7, 8, etc.). may

ここで、図2A、図2B、図2C、および、図2Dを参照すると、本開示の原理に従った機械式インデクサ204を備えた処理モジュール200の一例が示されている。この例において、機械式インデクサ204は、2つの独立的に回転可能なアーム208および212を備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ216、220、224、および、228)を有する。インデクサ204は、図2Aの第1X字形構成および図2Bの第2構成で配置される。X字形構成では、第1アーム208のエンドエフェクタ216および220が、処理ステーション1および3の上にそれぞれ配置され、エンドエフェクタ224および228が、処理ステーション2および4の上にそれぞれ配置される。処理ステーション1は、スロット236を介してアクセス可能なロードステーション232に対応又は連絡しうる。 2A, 2B, 2C and 2D, an example processing module 200 with a mechanical indexer 204 in accordance with the principles of the present disclosure is shown. In this example, mechanical indexer 204 includes two independently rotatable arms 208 and 212, each arm having first and second ends (e.g., end effectors 216, 220, 224, and , 228). Indexers 204 are arranged in a first X-shaped configuration in FIG. 2A and a second configuration in FIG. 2B. In the X configuration, end effectors 216 and 220 of first arm 208 are positioned over process stations 1 and 3, respectively, and end effectors 224 and 228 are positioned over process stations 2 and 4, respectively. Processing station 1 may correspond to or communicate with load station 232 accessible via slot 236 .

第2構成では、第2アーム212は、第1アーム208および第2アーム212が整列するように、持ち上げられて回転されてよい。例えば、図2Dに示すように、第1アーム208は、第1スピンドル240に結合されてよく、第2アーム212は、第2スピンドル244に結合されてよい。第2スピンドル244は、第1スピンドル240内に収容され、第1スピンドル240の内部で選択的に上下されるように構成されている。したがって、第2スピンドル244を上げると、第2アーム212が第1アーム208に対して持ち上がり、第2アーム212が第1アーム208から独立して回転されることが可能になる。このように、エンドエフェクタ216、220、224、および、228、ならびに、それぞれの基板248は、ロードステーション232の中、または、処理ステーション1~4の任意の1つの中で、互いの上/下に配置されうる。 In a second configuration, second arm 212 may be lifted and rotated such that first arm 208 and second arm 212 are aligned. For example, first arm 208 may be coupled to first spindle 240 and second arm 212 may be coupled to second spindle 244, as shown in FIG. 2D. A second spindle 244 is received within the first spindle 240 and is configured to be selectively raised and lowered within the first spindle 240 . Thus, raising the second spindle 244 lifts the second arm 212 relative to the first arm 208 , allowing the second arm 212 to rotate independently of the first arm 208 . In this manner, end effectors 216, 220, 224, and 228 and respective substrates 248 may be positioned above/below one another in load station 232 or in any one of processing stations 1-4. can be placed in

例えば、第2アーム212は、第1アーム208および第2アーム212が図2Bに示した第2構成で配置されるように回転されてよい。第2構成では、エンドエフェクタ216および228は各々、ロードステーション232内に配置される。 換言すると、第2構成において、エンドエフェクタ216および228は、ロードステーション232内で垂直に積み重ねられる。この時、エンドエフェクタ216および228上に配置された基板248が、処理モジュール200から回収されてよい、および/または、新しい(すなわち、未処理の)基板が、スロット236を介してエンドエフェクタ216および228上にロードされてよい。 For example, second arm 212 may be rotated such that first arm 208 and second arm 212 are arranged in the second configuration shown in FIG. 2B. In a second configuration, end effectors 216 and 228 are each positioned within load station 232 . In other words, in the second configuration, end effectors 216 and 228 are vertically stacked within load station 232 . At this time, substrates 248 positioned on end effectors 216 and 228 may be retrieved from processing module 200 and/or new (i.e., unprocessed) substrates may be transferred to end effectors 216 and 228 via slot 236 . H.228.

移送シーケンスの一例では、第1アーム208および第2アーム212の各々が、それぞれの処理ステーション1~4から基板248を持ち上げるために、第1高さまで上げられる。例えば、エンドエフェクタ216、220、224、および、228は、それぞれ、処理ステーション1、2、3、および、4に配置されてよい。第2アーム212は、第1高さよりも高い第2高さまでさらに上げられてよい。そこで、第2アーム212は、エンドエフェクタ228が処理ステーション1(すなわち、ロードステーション332)に位置するように回転されてよい(例えば、図2Bに示すように時計回り方向に約90°)。次いで、処理モジュール200の外部のVTMロボットが、エンドエフェクタ216および228の各々の上に配置された基板248を回収してよい。いくつかの例において、VTMロボットは、処理された基板248を未処理の基板と交換する。 In one example transfer sequence, each of first arm 208 and second arm 212 is raised to a first height to lift substrate 248 from respective processing stations 1-4. For example, end effectors 216, 220, 224, and 228 may be located at processing stations 1, 2, 3, and 4, respectively. The second arm 212 may be further raised to a second height that is higher than the first height. The second arm 212 may then be rotated (eg, approximately 90 degrees clockwise as shown in FIG. 2B) so that the end effector 228 is positioned at processing station 1 (ie, load station 332). A VTM robot external to processing module 200 may then retrieve substrate 248 positioned on each of end effectors 216 and 228 . In some examples, the VTM robot exchanges processed substrates 248 with unprocessed substrates.

基板248のアンロードおよび/またはエンドエフェクタ216および228上への未処理基板のロードに続いて、第1アーム208および第2アーム212のそれぞれの第1および第2高さを維持しつつ、インデクサ204全体(すなわち、第1アーム208および第2アーム212の両方)が約180°回転されてよい。したがって、インデクサ204は、エンドエフェクタ220および224がロードステーション232に位置するように回転される。次いで、VTMロボットは、エンドエフェクタ220および224から処理済みの基板248を回収してよい、および/または、エンドエフェクタ220および224上へ未処理の基板をロードしてよい。次いで、第2アーム212は、エンドエフェクタ216および220がそれぞれ処理ステーション3および1に残った状態で、エンドエフェクタ224および228をそれぞれ処理ステーション2および4に配置するために、アーム208に対して(例えば、時計回り方向に約90°)回転されてよい。次いで、第1アーム208および第2アーム212の各々は、それぞれの処理ステーション1~4に未処理の基板を配置するために下げられてよい。その他の移送シーケンス例が、実施されてもよい。 Following unloading of substrate 248 and/or loading of unprocessed substrates onto end effectors 216 and 228, the indexer is operated while maintaining the first and second heights of first arm 208 and second arm 212, respectively. The entire 204 (ie, both the first arm 208 and the second arm 212) may be rotated approximately 180°. Accordingly, indexer 204 is rotated such that end effectors 220 and 224 are positioned at load station 232 . The VTM robot may then retrieve processed substrates 248 from end effectors 220 and 224 and/or load unprocessed substrates onto end effectors 220 and 224 . Second arm 212 is then moved relative to arm 208 ( For example, it may be rotated approximately 90° in a clockwise direction. Each of the first arm 208 and the second arm 212 may then be lowered to deposit unprocessed substrates at respective processing stations 1-4. Other transfer sequence examples may be implemented.

ここで、図3A、図3B、図3C、図3D、および、図3Eを参照すると、本開示の原理に従った機械式インデクサ304を備えた処理モジュールの別の例300が示されている。一例において、処理モジュール300は、2つのロードステーション308および312と、対応するスロット316および320と、を備える。インデクサ304は、第1および第2V字形アーム324および328を備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ332、336、340、および、344)を有する。インデクサ304は、図3Aおよび図3Dの第1X字形構成ならびに図3Bおよび図3Eの第2構成で配置される。X字形構成では、エンドエフェクタ332および336が、処理ステーション1および4の上にそれぞれ配置され、エンドエフェクタ340および344が、処理ステーション2および3の上にそれぞれ配置される。処理ステーション1および4は、ロードステーション308および320にそれぞれ対応又は連絡する。 3A, 3B, 3C, 3D, and 3E, another example processing module 300 with a mechanical indexer 304 in accordance with the principles of the present disclosure is shown. In one example, processing module 300 includes two load stations 308 and 312 and corresponding slots 316 and 320 . Indexer 304 includes first and second V-shaped arms 324 and 328, each arm having first and second ends (eg, end effectors 332, 336, 340, and 344). Indexers 304 are arranged in a first X-shaped configuration of FIGS. 3A and 3D and a second configuration of FIGS. 3B and 3E. In the X configuration, end effectors 332 and 336 are positioned above processing stations 1 and 4, respectively, and end effectors 340 and 344 are positioned above processing stations 2 and 3, respectively. Processing stations 1 and 4 correspond to or communicate with load stations 308 and 320, respectively.

第2構成では、第2アーム328は、第1アーム324および第2アーム328が整列するように、持ち上げられて回転されてよい。例えば、第1アーム324および第2アーム328は、図2Dで説明したように、第1および第2スピンドル240および244と同様に動作するように構成された独立的に回転可能なスピンドル348および352に結合されてよい。したがって、第2アーム328は、第1アーム324および第2アーム328が図3Bに示した第2構成で配置されるように回転されてよい。第2構成において、エンドエフェクタ332および344は各々、ロードステーション308に配置され、エンドエフェクタ336および340は各々、ロードステーション312に配置される。例えば、エンドエフェクタ332および344、ならびに、それらの上に配置された対応する基板356は、ロードステーション308内で垂直に積み重ねられる。逆に、エンドエフェクタ336および340、ならびに、それらの上に配置された対応する基板356は、ロードステーション312内で垂直に積み重ねられる。したがって、基板356が、処理モジュール300から回収されてよい、および/または、新しい(すなわち、未処理の)基板が、それぞれ、スロット316および320を介してエンドエフェクタ332、344、および、336、340上にロードされてよい。 In the second configuration, second arm 328 may be lifted and rotated such that first arm 324 and second arm 328 are aligned. For example, first arm 324 and second arm 328 are independently rotatable spindles 348 and 352 configured to operate similarly to first and second spindles 240 and 244, as described in FIG. 2D. may be coupled to Accordingly, second arm 328 may be rotated such that first arm 324 and second arm 328 are positioned in the second configuration shown in FIG. 3B. In a second configuration, end effectors 332 and 344 are each located at load station 308 and end effectors 336 and 340 are each located at load station 312 . For example, end effectors 332 and 344 and corresponding substrates 356 positioned thereon are vertically stacked within load station 308 . Conversely, end effectors 336 and 340 and corresponding substrates 356 positioned thereon are vertically stacked within load station 312 . Accordingly, substrate 356 may be retrieved from processing module 300 and/or new (i.e., unprocessed) substrates may be delivered to end effectors 332, 344 and 336, 340 via slots 316 and 320, respectively. may be loaded on.

移送シーケンスの一例では、第1アーム324および第2アーム328の各々が、それぞれの処理ステーション1~4から基板356を持ち上げるために、第1高さまで上げられる。例えば、エンドエフェクタ332、340、344、および、336は、それぞれ、処理ステーション1、2、3、および、4に配置されてよい。第2アーム328は、第1高さよりも高い第2高さまでさらに上げられてよい。そこで、第2アーム328は、エンドエフェクタ344および340がそれぞれ処理ステーション1および2(すなわち、ロードステーション308および312)に位置するように回転されてよい(例えば、図3Bに示すように約180°)。次いで、処理モジュール300の外部のVTMロボットが、エンドエフェクタ332、340、344、および、336の各々の上に配置された基板356を回収してよい。いくつかの例において、VTMロボットは、処理された基板356を未処理の基板と交換する。 In one example transfer sequence, each of first arm 324 and second arm 328 is raised to a first height to lift substrate 356 from respective processing stations 1-4. For example, end effectors 332, 340, 344, and 336 may be located at processing stations 1, 2, 3, and 4, respectively. The second arm 328 may be further raised to a second height that is higher than the first height. Second arm 328 may then be rotated (eg, about 180° as shown in FIG. 3B) so that end effectors 344 and 340 are positioned at processing stations 1 and 2 (i.e., load stations 308 and 312), respectively. ). A VTM robot external to processing module 300 may then retrieve substrate 356 positioned on each of end effectors 332 , 340 , 344 , and 336 . In some examples, the VTM robot exchanges processed substrates 356 with unprocessed substrates.

基板356のアンロードおよび/またはエンドエフェクタ332、340、344、および、336上への未処理基板のロードに続いて、第1アーム324および第2アーム328のそれぞれの第1および第2高さを維持しつつ、第2アーム328が、インデクサ304をX字形構成に戻すために約180°回転される。したがって、エンドエフェクタ332、340、344、および、336は、それぞれ、ステーション1、2、3、および、4に配置される。次いで、第1アーム324および第2アーム328は、それぞれの処理ステーション1~4の上に降ろされてよい。その他の移送シーケンス例が、実施されてもよい。 Following unloading of substrate 356 and/or loading of unprocessed substrates onto end effectors 332, 340, 344, and 336, first and second heights of first arm 324 and second arm 328, respectively. , the second arm 328 is rotated approximately 180° to return the indexer 304 to the X configuration. Accordingly, end effectors 332, 340, 344, and 336 are located at stations 1, 2, 3, and 4, respectively. First arm 324 and second arm 328 may then be lowered onto respective processing stations 1-4. Other transfer sequence examples may be implemented.

ここで、図4A、図4B、および、図4Cを参照すると、移送ロボットの例408-1、408-2、および、408-3(集合的に、移送ロボット408と呼ぶ)を有する基板処理ツールの例400および404の上面図が示されている。処理ツール400および404は、例示の目的で、機械式インデクサなしで図示されている。例えば、ツール400および404の各々のそれぞれの処理モジュール412が、上述のように、機械式インデクサ204および機械式インデクサ304のいずれかを備えてよい。 4A, 4B, and 4C, a substrate processing tool having example transfer robots 408-1, 408-2, and 408-3 (collectively referred to as transfer robots 408). A top view of examples 400 and 404 is shown. Processing tools 400 and 404 are shown without mechanical indexers for illustrative purposes. For example, each respective processing module 412 of tools 400 and 404 may comprise either mechanical indexer 204 or mechanical indexer 304, as described above.

真空移送モジュール(VTM)416および装置フロントエンドモジュール(EFEM)420が各々、移送ロボット408の1つを備えてよい。移送ロボット408-1および408-2は、同じ構成または異なる構成を有してよい。単に例として、移送ロボット408-1は、2つの垂直に積み重ねられたエンドエフェクタを有する単一のアームを備える。逆に、移送ロボット408-2は、2つのアームを有することが図示されており、各アームは、図4Cに示すように、2つの垂直に積み重ねられたエンドエフェクタを有する。VTM416のロボット408は、ロードロック424へおよびロードロック424から、ならびに、処理モジュール412の間で、基板を選択的に移送する。EFEM420のロボット408-3は、EFEM420の内外へ、ならびに、ロードロック424へおよびロードロック424から、基板を移送する。単に例として、ロボット408-3は、単一のエンドエフェクタまたは2つの垂直に積み重ねられたエンドエフェクタを各々が有する2つのアームを備えてよい。 A vacuum transfer module (VTM) 416 and an equipment front end module (EFEM) 420 may each comprise one of the transfer robots 408 . Transfer robots 408-1 and 408-2 may have the same configuration or different configurations. By way of example only, transfer robot 408-1 comprises a single arm with two vertically stacked end effectors. Conversely, transfer robot 408-2 is shown having two arms, each arm having two vertically stacked end effectors, as shown in FIG. 4C. Robot 408 of VTM 416 selectively transfers substrates to and from loadlock 424 and between processing modules 412 . Robot 408 - 3 of EFEM 420 transfers substrates in and out of EFEM 420 and to and from loadlock 424 . By way of example only, robot 408-3 may comprise two arms each having a single end effector or two vertically stacked end effectors.

ツール400は、例えば、それぞれのスロット428を介してアクセス可能な単一のロードステーションを各々が有する4つの処理モジュール412と相互作用するように構成される。逆に、ツール404は、それぞれのスロット432および436を介して2つのロードステーションを各々が有する3つの処理モジュール412と相互作用するように構成される。図に示すように、VTM416の側面440は、異なる構成(例えば、異なる数、間隔、など)の処理モジュール412との結合を容易にするために角度を付けられてよい(例えば、面取りされてよい)。 Tool 400 is configured, for example, to interact with four processing modules 412 each having a single load station accessible via respective slots 428 . Conversely, tool 404 is configured to interact with three processing modules 412 each having two load stations via respective slots 432 and 436 . As shown, the sides 440 of the VTM 416 may be angled (e.g., chamfered) to facilitate coupling with processing modules 412 of different configurations (e.g., different number, spacing, etc.). ).

例えば、図4Aに示すように、VTMは、側面440あたり2つの処理モジュール412に結合される。逆に、VTM416の形状は、2つのロードステーションを有する処理モジュール412の接続も可能にする。例えば、2つのスロット432および436を有するアダプタプレート444が、図4Bに示すように、2つのロードステーションを有する単一の処理モジュール412を収容するために提供されてよい。図に示すように、アダプタプレート444は、VTM416の角度の付いた側面440と接続するように構成された角度のついた第1側面と、処理モジュール412と接続するように構成された角度のない(すなわち、まっすぐまたは平坦な)第2側面と、を有する。したがって、VTM416は、単一のロードステーションを有するより多くの数の処理モジュール412の接続を可能にする(すなわち、ツール400の単位面積あたりの処理ステーションの数を増やすための)柔軟性を提供し、同時に、図4Aに示したような1つだけのロードステーションまたは図4Bに示したような2つのロードステーションを有する処理モジュール412を用いる柔軟性も与える。他の例において、VTM416の側面は、角度が付いていなくてもよい(すなわち、まっすぐまたは平坦でもよい)。これらの例において、ツール400は、単一のロードステーションを各々が有する2つの処理モジュール412と接続するように構成された図4Dに示すようなアダプタプレート446を備えてよい。換言すると、VTM416の角度の付いた側面440を角度なしの側面に変換する代わりに、アダプタプレート446は、VTM416の角度なしの側面を角度の付いた側面に変換する。 For example, as shown in FIG. 4A, a VTM is coupled to two processing modules 412 per side 440 . Conversely, the shape of VTM 416 also allows connection of processing modules 412 having two load stations. For example, an adapter plate 444 with two slots 432 and 436 may be provided to accommodate a single processing module 412 with two load stations, as shown in FIG. 4B. As shown, the adapter plate 444 includes an angled first side configured to connect with the angled side 440 of the VTM 416 and a non-angled side configured to connect with the processing module 412 . a second side (ie, straight or flat); VTM 416 thus provides the flexibility to allow connection of a greater number of processing modules 412 with a single load station (i.e., to increase the number of processing stations per unit area of tool 400). At the same time, it also provides the flexibility of using a processing module 412 with only one load station as shown in FIG. 4A or two load stations as shown in FIG. 4B. In other examples, the sides of VTM 416 may be non-angled (ie, straight or flat). In these examples, the tool 400 may include an adapter plate 446 as shown in Figure 4D configured to connect with two processing modules 412 each having a single load station. In other words, instead of converting the angled side 440 of the VTM 416 to a non-angled side, the adapter plate 446 converts the non-angled side of the VTM 416 to an angled side.

VTM416のロボット408-2は、合計4つのエンドエフェクタ456の内、2つの垂直に積み重ねられたエンドエフェクタ456を各々が備える2つのアーム448および452を備える。したがって、アーム448および452の各々は、処理モジュール412の内のそれぞれの1つ、ロードロック424などへ、および/または、そこから、2つの基板を同時に移送するように構成される。図4Aに示した例において、ロボット408-1は、所与の移送において、2つの基板を処理モジュール412から回収し、2つの基板を処理モジュール412へロードしてよい。逆に、ロボット408-2は、所与の移送において、4つの基板を処理モジュール412から回収し、4つの基板を処理モジュール412へロードしてよい。 Robot 408 - 2 of VTM 416 comprises two arms 448 and 452 each comprising two vertically stacked end effectors 456 out of a total of four end effectors 456 . Accordingly, each of arms 448 and 452 is configured to simultaneously transfer two substrates to and/or from a respective one of processing modules 412, such as load lock 424. FIG. In the example shown in FIG. 4A, robot 408-1 may retrieve two substrates from processing module 412 and load two substrates into processing module 412 in a given transfer. Conversely, robot 408-2 may retrieve four substrates from processing module 412 and load four substrates into processing module 412 in a given transfer.

システムコントローラ460が、ロボット408の動作、(例えば、図2および図3のインデクサ204および304に対応する)処理モジュール412のそれぞれのインデクサの回転、などを含むがこれらに限定されない、基板処理ツール400および404の様々な動作を制御してよい。 The system controller 460 controls the substrate processing tool 400 including, but not limited to, motion of the robot 408, rotation of the indexers of each of the processing modules 412 (e.g., corresponding to the indexers 204 and 304 of FIGS. 2 and 3), etc. and 404 may control various operations.

図4Eに示す別の例では、基板処理ツール464が、移送ロボット468-1および468-2(集合的に、移送ロボット468と呼ぶ)を備える。処理ツール464は、例示の目的で、機械式インデクサなしで図示されている。例えば、ツール464のそれぞれの処理モジュール472が、上述のように、機械式インデクサ204および機械式インデクサ304のいずれかを備えてよい。 In another example shown in FIG. 4E, substrate processing tool 464 includes transfer robots 468-1 and 468-2 (collectively referred to as transfer robots 468). Processing tool 464 is shown without a mechanical indexer for illustrative purposes. For example, each processing module 472 of tool 464 may comprise either mechanical indexer 204 or mechanical indexer 304, as described above.

VTM476およびEFEM480が各々、移送ロボット408の1つを備えてよい。移送ロボット468-1および468-2は、同じ構成または異なる構成を有してよい。単に例として、移送ロボット468-1は、2つのアームを有することが図示されており、各アームは、図4Cに示すように、2つの垂直に積み重ねられたエンドエフェクタを有する。VTM476のロボット468-1は、EFEM480へおよびEFEM480から、ならびに、処理モジュール472の間で、基板を選択的に移送する。EFEM480のロボット468-2は、EFEM480の内外へ、基板を移送する。単に例として、ロボット468-2は、単一のエンドエフェクタまたは2つの垂直に積み重ねられたエンドエフェクタを各々が有する2つのアームを備えてよい。 VTM 476 and EFEM 480 may each include one of transfer robots 408 . Transfer robots 468-1 and 468-2 may have the same configuration or different configurations. By way of example only, transfer robot 468-1 is shown having two arms, each arm having two vertically stacked end effectors, as shown in FIG. 4C. Robot 468 - 1 of VTM 476 selectively transfers substrates to and from EFEM 480 and between processing modules 472 . Robot 468 - 2 of EFEM 480 transfers substrates into and out of EFEM 480 . By way of example only, robot 468-2 may comprise two arms each having a single end effector or two vertically stacked end effectors.

ツール464は、例えば、それぞれのスロット484を介してアクセス可能な単一のロードステーションを各々が有する4つの処理モジュール472と接続するように構成される。この例において、VTM476の側面488は、角度が付いていない(すなわち、側面488は、実質的にまっすぐまたは平面である)。このように、単一のロードステーションを各々が有する処理モジュール472の内の2つが、VTM476の側面488の各々に結合されてよい。したがって、EFEM480は、ツール464のフットプリントを削減するために、少なくとも部分的に処理モジュール472の内の2つの間に配置されてよい。 Tool 464 is configured, for example, to interface with four processing modules 472 each having a single load station accessible via respective slots 484 . In this example, side 488 of VTM 476 is non-angled (ie, side 488 is substantially straight or planar). Thus, two of the processing modules 472 each having a single load station may be coupled to each side 488 of the VTM 476 . Accordingly, EFEM 480 may be positioned at least partially between two of processing modules 472 to reduce the footprint of tool 464 .

ここで、図5を参照すると、基板処理ツールの機械式インデクサを動作させるための方法500の第1例が、工程504で始まる(例えば、図2A、図2B、図2C、および、図2Dに示した機械式インデクサ204)。単に例として、機械式インデクサの動作は、システムコントローラ460などのコントローラによって制御されてよい。工程508で、機械式インデクサは、第1X字形構成に配置され、ここで、第1アームの第1および第2端部は、第1および第3処理ステーションに配置され、第2アームの第1および第2端部は、第2および第4処理ステーションに配置される(例えば、図2Aに示したように)。第1および第2アームの端部の各々は、それぞれの処理済み基板を回収するように配置されてよい。工程512で、第1アームおよび第2アームは、処理ステーションから基板を持ち上げるために、それぞれのスピンドル上で上昇される。工程516で、第2アームは、第2アームの第2端部が、ロードステーションに対応又は連絡してよい第1処理ステーションに配置されるように回転される(例えば、図2Bに示したように、時計回り方向に90°)。工程520で、ロボットが、第1処理ステーションに配置された第1アームの第1端部および第2アームの第2端部から処理済み基板を回収する。 Referring now to FIG. 5, a first example method 500 for operating a mechanical indexer of a substrate processing tool begins at step 504 (see, eg, FIGS. 2A, 2B, 2C, and 2D). The shown mechanical indexer 204). Merely by way of example, operation of a mechanical indexer may be controlled by a controller such as system controller 460 . At step 508, the mechanical indexer is arranged in a first X configuration, where the first and second ends of the first arm are arranged at the first and third processing stations and the first arm of the second arm is arranged at the first and second processing stations. and second ends are placed in second and fourth processing stations (eg, as shown in FIG. 2A). Each end of the first and second arms may be arranged to retrieve a respective processed substrate. At step 512, the first arm and the second arm are raised over their respective spindles to lift the substrate from the processing station. At step 516, the second arm is rotated such that the second end of the second arm is positioned at the first processing station, which may correspond to or communicate with the load station (eg, as shown in FIG. 2B). 90° clockwise). At step 520, the robot retrieves the processed substrate from the first end of the first arm and the second end of the second arm located at the first processing station.

工程524で、ロボットは、第1処理ステーションに配置された第1アームの第1端部および第2アームの第2端部へ未処理基板を移送する。工程528で、第1アームおよび第2アームは、第1アームの第2端部および第2アームの第1端部の各々が第1処理ステーションに配置されるように回転される(例えば、180°)。工程532で、ロボットは、第1アームの第1端部および第2アームの第2端部から処理済み基板を回収する。工程536で、ロボットは、第1処理ステーションに配置された第1アームの第2端部および第2アームの第1端部へ未処理基板を移送する。工程540で、第2アームは、第2アームの第1および第2端部が第2および第4処理ステーションに配置される(すなわち、機械式インデクサが第1X字形構成に戻される)ように回転される(例えば、時計回り方向に90°)。工程544で、第1および第2アームは、それぞれの処理ステーション上に未処理基板を配置するために降ろされる。方法500は、工程548で終了する。 At step 524, the robot transfers the unprocessed substrate to the first end of the first arm and the second end of the second arm located at the first processing station. At step 528, the first arm and the second arm are rotated (e.g., 180) such that each of the first arm second end and the second arm first end is positioned at the first processing station. °). At step 532, the robot retrieves the processed substrate from the first end of the first arm and the second end of the second arm. At step 536, the robot transfers the unprocessed substrate to the second end of the first arm and the first end of the second arm located at the first processing station. At step 540, the second arm is rotated such that the first and second ends of the second arm are positioned at the second and fourth processing stations (i.e., the mechanical indexer is returned to the first X configuration). (eg, 90° clockwise). At step 544, the first and second arms are lowered to place unprocessed substrates onto their respective processing stations. Method 500 ends at step 548 .

ここで、図6を参照すると、基板処理ツールの機械式インデクサを動作させるための方法600の第2例が、工程604で始まる(例えば、図3A、図3B、図3C、図3D、および、図3Eに示した機械式インデクサ304)。単に例として、機械式インデクサの動作は、システムコントローラ460などのコントローラによって制御されてよい。工程608で、機械式インデクサは、第1X字形構成に配置され、ここで、第1アームの第1および第2端部は、第1および第4処理ステーションに配置され、第2アームの第1および第2端部は、第2および第3処理ステーションに配置される(例えば、図3Aに示したように)。第1および第2アームの端部の各々は、それぞれの処理済み基板を回収するように配置されてよい。工程612で、第1アームおよび第2アームは、処理ステーションから基板を持ち上げるために、それぞれのスピンドル上で上昇される。工程616で、第2アームは、第2アームの第1および第2端部が、ロードステーションに各々が対応又は連絡してよい第4および第1処理ステーションに配置されるように回転される(例えば、図3Bに示したように、時計回り方向に180°)。工程620で、1または複数のロボットが、第1および第4処理ステーションに配置された第1アームの第1および第2端部ならびに第2アームの第1および第2端部から処理済み基板を回収する。 6, a second example method 600 for operating a mechanical indexer of a substrate processing tool begins at step 604 (e.g., FIGS. 3A, 3B, 3C, 3D, and Mechanical indexer 304) shown in FIG. 3E. Merely by way of example, operation of a mechanical indexer may be controlled by a controller such as system controller 460 . At step 608, the mechanical indexer is arranged in a first X-shaped configuration, where the first and second ends of the first arm are arranged at the first and fourth processing stations and the first arm of the second arm and second ends are placed in second and third processing stations (eg, as shown in FIG. 3A). Each end of the first and second arms may be arranged to retrieve a respective processed substrate. At step 612, the first arm and the second arm are raised over their respective spindles to lift the substrate from the processing station. At step 616, the second arm is rotated such that the first and second ends of the second arm are positioned at fourth and first processing stations, each of which may correspond or communicate with a load station ( 180° in a clockwise direction, for example, as shown in FIG. 3B). At step 620, one or more robots remove processed substrates from first and second ends of a first arm and first and second ends of a second arm located at first and fourth processing stations. to recover.

工程624で、ロボットは、第1および第4処理ステーションに配置された第1アームの第1および第2端部ならびに第2アームの第1および第2端部に未処理基板を移送する。工程628で、第2アームは、第2アームの第1および第2端部が第2および第3処理ステーションに配置される(すなわち、機械式インデクサが第1X字形構成に戻される)ように回転される(例えば、180°)。工程632で、第1および第2アームは、それぞれの処理ステーション上に未処理基板を配置するために降ろされる。方法600は、工程636で終了する。 At step 624, the robot transfers unprocessed substrates to the first and second ends of the first arm and the first and second ends of the second arm located at the first and fourth processing stations. At step 628, the second arm is rotated such that the first and second ends of the second arm are positioned at the second and third processing stations (i.e., the mechanical indexer is returned to the first X configuration). (eg, 180°). At step 632, the first and second arms are lowered to place unprocessed substrates onto their respective processing stations. Method 600 ends at step 636 .

上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。 The above description is merely exemplary in nature and is not intended to limit the disclosure, its application, or uses. The broad teachings of this disclosure can be embodied in various forms. Thus, while the present disclosure includes certain examples, the true scope of the present disclosure should not be construed as other variations will become apparent upon study of the drawings, specification, and claims that follow. is not limited to the example of It should be understood that one or more steps included in a method may be performed in a different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described as having particular features, any one or more of the features described with respect to any embodiment of this disclosure may be used with other embodiments. It can be implemented in any and/or combined with features of any of the other embodiments, even if the combination is not explicitly stated. In other words, the above-described embodiments are not mutually exclusive and it is within the scope of this disclosure to replace one or more of the embodiments with each other.

要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。 Spatial and functional relationships between elements (e.g., between modules, between circuit elements, between semiconductor layers) are "connected," "engaged," "coupled," Described using various terms such as “adjacent,” “adjacent,” “above,” “above,” “below,” and “located with.” When describing the relationship between the first and second elements in this disclosure, unless it is expressly stated that the relationship is "direct," the relationship assumes that other intervening elements are the first and second elements. It can be a direct relationship that does not exist between the elements, but it can also be an indirect relationship where one or more intervening elements exist (spatially or functionally) between the first and second elements. Possible. As used herein, the phrase "at least one of A, B, and C" is taken to mean logical (A or B or C), using a non-exclusive OR should not be construed to mean "at least one of A, at least one of B, and at least one of C."

いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。 In some embodiments, the controller is part of the system, which may be part of the examples described above. Such systems may include semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer pedestals, gas flow systems, etc.). can be provided. These systems may be integrated with electronics to control the operation of the system before, during, and after semiconductor wafer or substrate processing. The electronics may be referred to as "controllers" and may control various components or sub-components of the system. Depending on the process requirements and/or type of system, the controller provides process gas supply, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF Such as matching circuit settings, frequency settings, flow rate settings, fluid supply settings, position and motion settings, and wafer movement in and out of the tool and other moving tools and/or loadlocks connected or coupled with the particular system. It may be programmed to control any of the processes disclosed herein.

概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。 Generally, the controller includes various integrated circuits, logic, memory, and/or , may be defined as an electronic device having software. An integrated circuit is defined as a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), an application specific integrated circuit (ASIC), and/or that executes program instructions (e.g., software). It may include one or more microprocessors or microcontrollers. Program instructions are communicated to the controller in the form of various individual settings (or program files) to provide operating parameters to the system for performing specific processes on or for semiconductor wafers. may be an instruction that defines The operating parameter, in some embodiments, is one or more process steps during processing of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. may be part of a recipe defined by the process engineer to achieve

コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。 The controller, in some embodiments, is a computer integrated with the system, connected to the system, otherwise networked with the system, or any combination thereof. It may be part of or connected to such a computer. For example, the controller may be in the "cloud" or may be all or part of a fab host computer system that allows remote access for wafer processing. The computer allows remote access to the system to change the parameters of the current process, set the process steps according to the current process, or initiate a new process to monitor the current progress of the manufacturing operation. It may monitor, examine the history of past manufacturing operations, or examine trends or performance indicators from multiple manufacturing operations. In some examples, a remote computer (eg, server) may provide processing recipes to the system over a network (which may include a local network or the Internet). The remote computer may include a user interface that allows for the entry or programming of parameters and/or settings, which are communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data, the instructions specifying parameters for each of the processing steps to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of processing being performed as well as the type of tool that the controller is configured to interface with or control. Thus, as noted above, the controllers may be distributed, such as by having one or more separate controllers that are networked and operate toward a common purpose (such as the processing and control described herein). . An example of a distributed controller for such purposes is one or more remotely located (such as at the platform level or located as part of a remote computer) cooperating to control processing in the chamber. one or more integrated circuits on the chamber communicating with the integrated circuits of the chamber.

限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。 Non-limiting examples of systems include plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, physical vapor deposition (PVD). Chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and semiconductor wafer processing and/or any other semiconductor processing system that may be associated with or utilized in manufacturing.

上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。 As noted above, depending on the one or more processing steps performed by the tool, the controller may select other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, nearby Tools, tools located throughout the fab, main computer, separate controllers, or tools used for material transport that carry containers of wafers to and from tool locations and/or load ports within a semiconductor manufacturing fab may communicate with one or more of the .

基板処理ツール内の処理モジュールが、マルチステーション逐次処理モードで動作されてよい。例えば、処理全体の一部のみが、処理モジュール内の複数の処理ステーションの各々において基板に実行されてよい。ステーションの各々における処理時間が短くなるにつれ、および/または、処理モジュールが基板に実行する処理の回数が多くなるにつれ、各基板が処理モジュール内に滞在する総時間の中で、機械式インデクサによる基板の回転および移送に関連する遅延が占める部分大きくなる。一例では、複数の基板が、ロードステーションに対応する処理ステーションに逐次移送される。インデクサは、インデクサ上で4つの処理ステーションの各々に基板が配置されるまで、各移送後に回転される。次いで、処理が、基板の各々に実行されてよい。 Processing modules within a substrate processing tool may be operated in a multi-station serial processing mode. For example, only a portion of the overall processing may be performed on the substrate at each of the plurality of processing stations within the processing module. The shorter the processing time at each of the stations and/or the greater the number of processes the processing module performs on the substrate, the more substrates through the mechanical indexer within the total time each substrate spends in the processing module. delays associated with the rotation and translation of the . In one example, multiple substrates are sequentially transferred to a processing station corresponding to the load station. The indexer is rotated after each transfer until a substrate is positioned on each of the four processing stations on the indexer. Processing may then be performed on each of the substrates.

ツール400は、例えば、それぞれのスロット428を介してアクセス可能な単一のロードステーションを各々が有する4つの処理モジュール412と相互作用するように構成される。逆に、ツール404は、それぞれのスロット432および436を介してアクセス可能な2つのロードステーションを各々が有する3つの処理モジュール412と相互作用するように構成される。図に示すように、VTM416の側面440は、異なる構成(例えば、異なる数、間隔、など)の処理モジュール412との結合を容易にするために角度を付けられてよい(例えば、面取りされてよい)。 Tool 400 is configured, for example, to interact with four processing modules 412 each having a single load station accessible via respective slots 428 . Conversely, tool 404 is configured to interact with three processing modules 412 each having two load stations accessible via respective slots 432 and 436 . As shown, the sides 440 of the VTM 416 may be angled (e.g., chamfered) to facilitate coupling with processing modules 412 of different configurations (e.g., different number, spacing, etc.). ).

VTM476およびEFEM480が各々、移送ロボット468の1つを備えてよい。移送ロボット468-1および468-2は、同じ構成または異なる構成を有してよい。単に例として、移送ロボット468-1は、2つのアームを有することが図示されており、各アームは、図4Cに示すように、2つの垂直に積み重ねられたエンドエフェクタを有する。VTM476のロボット468-1は、EFEM480へおよびEFEM480から、ならびに、処理モジュール472の間で、基板を選択的に移送する。EFEM480のロボット468-2は、EFEM480の内外へ、基板を移送する。単に例として、ロボット468-2は、単一のエンドエフェクタまたは2つの垂直に積み重ねられたエンドエフェクタを各々が有する2つのアームを備えてよい。 VTM 476 and EFEM 480 may each include one of transfer robots 468 . Transfer robots 468-1 and 468-2 may have the same configuration or different configurations. By way of example only, transfer robot 468-1 is shown having two arms, each arm having two vertically stacked end effectors, as shown in FIG. 4C. Robot 468 - 1 of VTM 476 selectively transfers substrates to and from EFEM 480 and between processing modules 472 . Robot 468 - 2 of EFEM 480 transfers substrates into and out of EFEM 480 . By way of example only, robot 468-2 may comprise two arms each having a single end effector or two vertically stacked end effectors.

上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本開示は、以下の適用例としても構成可能である。
<適用例1>
基板処理ツールのための機械式インデクサであって、
第1エンドエフェクタおよび第2エンドエフェクタを有する第1アームであって、(i)前記第1アームの前記第1エンドエフェクタを前記基板処理ツールの複数の処理ステーションに選択的に配置すると共に、(ii)前記第1アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第1スピンドル上で回転するように構成された、第1アームと、
第1エンドエフェクタおよび第2エンドエフェクタを有する第2アームであって、(i)前記第2アームの前記第1エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置すると共に、(ii)前記第2アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第2スピンドル上で回転するように構成された、第2アームと、
を備え、
前記複数の処理ステーションの少なくとも1つは、前記基板処理ツールのロードステーションに対応し、
前記第1アームは、前記第1アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されると同時に、前記第2アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されるように、前記第2アームと独立して回転するように構成される、機械式インデクサ。
<適用例2>
適用例1に記載の機械式インデクサであって、前記第1スピンドルおよび前記第2スピンドルは同軸である、機械式インデクサ。
<適用例3>
適用例1に記載の機械式インデクサであって、前記第1アームおよび前記第2アームの各々は、前記基板処理ツールの前記複数の処理ステーションに対して上下されるように構成される、機械式インデクサ。
<適用例4>
適用例1に記載の機械式インデクサであって、前記第2スピンドルは、前記第1スピンドル内に配置される、機械式インデクサ。
<適用例5>
適用例1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例6>
適用例5に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第3処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例7>
適用例6に記載の機械式インデクサであって、前記第1処理ステーションは、前記基板処理ツールの前記ロードステーションに対応する、機械式インデクサ。
<適用例8>
適用例6に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第3処理ステーションは、前記基板処理ツールの反対側の角に配置され、(ii)前記第2処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの反対側の角に配置される、機械式インデクサ。
<適用例9>
適用例1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例10>
適用例9に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第4処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例11>
適用例10に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの第1側面に配置され、(ii)前記第2処理ステーションおよび前記第3処理ステーションは、前記第1側面と反対側にある前記基板処理ツールの第2側面に配置される、機械式インデクサ。
<適用例12>
適用例10に記載の機械式インデクサであって、前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールのロードステーションに対応する、機械式インデクサ。
<適用例13>
基板処理ツールであって、
真空移送モジュールと、
前記真空移送モジュールに接続された複数の処理モジュールであって、前記複数の処理モジュールの少なくとも1つは、適用例1の機械式インデクサを備える、複数の処理モジュールと、
を備える、基板処理ツール。
<適用例14>
適用例13に記載の基板処理ツールであって、前記複数の処理モジュールは、前記真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、前記真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む、基板処理ツール。
<適用例15>
適用例14に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールとの間に配置されたアダプタプレートを備え、
前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された平坦な側面と、前記第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える、基板処理ツール。
<適用例16>
適用例14に記載の基板処理ツールであって、前記真空移送モジュールの前記第1側面および前記第2側面は面取りされている、基板処理ツール。
<適用例17>
適用例16に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールと、の間に配置されたアダプタプレートを備え、 前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された角度付きの側面と、前記第1および第2処理モジュールと接続するように構成された平坦な側面とを備える、基板処理ツール。
As noted above, depending on the one or more processing steps performed by the tool, the controller may select other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, nearby Tools, tools located throughout the fab, main computer, separate controllers, or tools used for material transport that carry containers of wafers to and from tool locations and/or load ports within a semiconductor manufacturing fab may communicate with one or more of the .
The present disclosure can also be configured as the following application examples.
<Application example 1>
A mechanical indexer for a substrate processing tool, comprising:
A first arm having a first end effector and a second end effector, wherein (i) selectively positioning the first end effector of the first arm at a plurality of processing stations of the substrate processing tool; ii) a first arm configured to rotate on a first spindle to selectively position the second end effector of the first arm at the plurality of processing stations of the substrate processing tool;
a second arm having a first end effector and a second end effector, wherein: (i) selectively positioning the first end effector of the second arm at the plurality of processing stations of the substrate processing tool; (ii) a second arm configured to rotate on a second spindle to selectively position the second end effector of the second arm at the plurality of processing stations of the substrate processing tool; ,
with
at least one of the plurality of processing stations corresponds to a load station of the substrate processing tool;
The first end effector of the first arm or the second end effector of the first arm is placed on the load station, and the first end effector or the second end effector of the second arm is A mechanical indexer configured to rotate independently of the second arm so as to be positioned at the load station.
<Application example 2>
The mechanical indexer according to Application 1, wherein the first spindle and the second spindle are coaxial.
<Application example 3>
2. The mechanical indexer of Application 1, wherein each of the first arm and the second arm is configured to be raised and lowered with respect to the plurality of processing stations of the substrate processing tool. indexer.
<Application example 4>
The mechanical indexer according to Application 1, wherein the second spindle is arranged within the first spindle.
<Application example 5>
The mechanical indexer according to Application Example 1,
said first arm and said second arm being rotatable to a first configuration;
In the first configuration, (i) the first end effector and the second end effector of the first arm are arranged at a first processing station and a third processing station among the plurality of processing stations, respectively; ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are positioned at a second and fourth one of said plurality of processing stations, respectively;
<Application example 6>
The mechanical indexer according to Application Example 5,
said first arm and said second arm being rotatable to a second configuration;
In the second configuration, (i) the first end effector and the second end effector of the first arm are positioned at the first processing station and the third processing station among the plurality of processing stations, respectively; , (ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at said third processing station and said first one of said plurality of processing stations, respectively; .
<Application example 7>
7. The mechanical indexer of Application 6, wherein the first processing station corresponds to the load station of the substrate processing tool.
<Application example 8>
7. The mechanical indexer of Application 6, wherein (i) the first processing station and the third processing station are located at opposite corners of the substrate processing tool; and (ii) the second processing station. and a mechanical indexer, wherein the fourth processing station is located at an opposite corner of the substrate processing tool.
<Application example 9>
The mechanical indexer according to Application Example 1,
said first arm and said second arm being rotatable to a first configuration;
In the first configuration, (i) the first end effector and the second end effector of the first arm are arranged at a first processing station and a fourth processing station among the plurality of processing stations, respectively; ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at a second and third one of said plurality of processing stations, respectively;
<Application example 10>
The mechanical indexer according to Application Example 9,
said first arm and said second arm being rotatable to a second configuration;
In the second configuration, (i) the first end effector and the second end effector of the first arm are positioned at the first processing station and the fourth processing station among the plurality of processing stations, respectively; , (ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at said fourth processing station and said first one of said plurality of processing stations, respectively; .
<Application example 11>
11. The mechanical indexer of Application 10, wherein (i) the first processing station and the fourth processing station are located on a first side of the substrate processing tool, and (ii) the second processing station and A mechanical indexer, wherein the third processing station is located on a second side of the substrate processing tool opposite the first side.
<Application example 12>
11. The mechanical indexer of Claim 10, wherein the first processing station and the fourth processing station correspond to load stations of the substrate processing tool.
<Application example 13>
A substrate processing tool comprising:
a vacuum transfer module;
a plurality of processing modules connected to the vacuum transfer module, wherein at least one of the plurality of processing modules comprises the mechanical indexer of Application 1;
A substrate processing tool, comprising:
<Application example 14>
14. The substrate processing tool of Application 13, wherein the plurality of processing modules comprises first and second processing modules connected to a first side of the vacuum transfer module and a second side of the vacuum transfer module. and connected third and fourth processing modules.
<Application example 15>
15. The substrate processing tool of application 14, further comprising an adapter plate positioned between (i) the first side and (ii) the first and second processing modules;
The adapter plate comprises a flat side configured to connect with the first side of the vacuum transfer module and an angled side configured to connect with the first and second process modules. , substrate processing tools.
<Application example 16>
15. The substrate processing tool of Application 14, wherein the first side and the second side of the vacuum transfer module are chamfered.
<Application example 17>
17. The substrate processing tool of application 16, further comprising an adapter plate positioned between (i) the first side and (ii) the first and second processing modules; a plate comprising an angled side configured to connect with said first side of said vacuum transfer module and a flat side configured to connect with said first and second processing modules; processing tools.

Claims (17)

基板処理ツールのための機械式インデクサであって、
第1エンドエフェクタおよび第2エンドエフェクタを有する第1アームであって、(i)前記第1アームの前記第1エンドエフェクタを前記基板処理ツールの複数の処理ステーションに選択的に配置すると共に、(ii)前記第1アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第1スピンドル上で回転するように構成された、第1アームと、
第1エンドエフェクタおよび第2エンドエフェクタを有する第2アームであって、(i)前記第2アームの前記第1エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置すると共に、(ii)前記第2アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第2スピンドル上で回転するように構成された、第2アームと、
を備え、
前記複数の処理ステーションの少なくとも1つは、前記基板処理ツールのロードステーションに対応し、
前記第1アームは、前記第1アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されると同時に、前記第2アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されるように、前記第2アームと独立して回転するように構成される、機械式インデクサ。
A mechanical indexer for a substrate processing tool, comprising:
A first arm having a first end effector and a second end effector, wherein (i) selectively positioning the first end effector of the first arm at a plurality of processing stations of the substrate processing tool; ii) a first arm configured to rotate on a first spindle to selectively position the second end effector of the first arm at the plurality of processing stations of the substrate processing tool;
a second arm having a first end effector and a second end effector, wherein: (i) selectively positioning the first end effector of the second arm at the plurality of processing stations of the substrate processing tool; (ii) a second arm configured to rotate on a second spindle to selectively position the second end effector of the second arm at the plurality of processing stations of the substrate processing tool; ,
with
at least one of the plurality of processing stations corresponds to a load station of the substrate processing tool;
The first end effector of the first arm or the second end effector of the first arm is placed on the load station, and the first end effector or the second end effector of the second arm is A mechanical indexer configured to rotate independently of the second arm so as to be positioned at the load station.
請求項1に記載の機械式インデクサであって、前記第1スピンドルおよび前記第2スピンドルは同軸である、機械式インデクサ。 2. The mechanical indexer of claim 1, wherein said first spindle and said second spindle are coaxial. 請求項1に記載の機械式インデクサであって、前記第1アームおよび前記第2アームの各々は、前記基板処理ツールの前記複数の処理ステーションに対して上下されるように構成される、機械式インデクサ。 2. The mechanical indexer of claim 1, wherein each of the first arm and the second arm is configured to be raised and lowered with respect to the plurality of processing stations of the substrate processing tool. indexer. 請求項1に記載の機械式インデクサであって、前記第2スピンドルは、前記第1スピンドル内に配置される、機械式インデクサ。 2. The mechanical indexer of claim 1, wherein the second spindle is located within the first spindle. 請求項1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される、機械式インデクサ。
The mechanical indexer of claim 1, comprising:
said first arm and said second arm being rotatable to a first configuration;
In the first configuration, (i) the first end effector and the second end effector of the first arm are arranged at a first processing station and a third processing station among the plurality of processing stations, respectively; ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are positioned at a second and fourth one of said plurality of processing stations, respectively;
請求項5に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第3処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
A mechanical indexer according to claim 5, comprising:
said first arm and said second arm being rotatable to a second configuration;
In the second configuration, (i) the first end effector and the second end effector of the first arm are positioned at the first processing station and the third processing station among the plurality of processing stations, respectively; , (ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at said third processing station and said first one of said plurality of processing stations, respectively; .
請求項6に記載の機械式インデクサであって、前記第1処理ステーションは、前記基板処理ツールの前記ロードステーションに対応する、機械式インデクサ。 7. The mechanical indexer of Claim 6, wherein the first processing station corresponds to the load station of the substrate processing tool. 請求項6に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第3処理ステーションは、前記基板処理ツールの反対側の角に配置され、(ii)前記第2処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの反対側の角に配置される、機械式インデクサ。 7. The mechanical indexer of claim 6, wherein (i) the first processing station and the third processing station are located at opposite corners of the substrate processing tool, and (ii) the second processing station. and a mechanical indexer, wherein the fourth processing station is located at an opposite corner of the substrate processing tool. 請求項1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される、機械式インデクサ。
The mechanical indexer of claim 1, comprising:
said first arm and said second arm being rotatable to a first configuration;
In the first configuration, (i) the first end effector and the second end effector of the first arm are arranged at a first processing station and a fourth processing station among the plurality of processing stations, respectively; ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at a second and third one of said plurality of processing stations, respectively;
請求項9に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第4処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
A mechanical indexer according to claim 9, comprising:
said first arm and said second arm being rotatable to a second configuration;
In the second configuration, (i) the first end effector and the second end effector of the first arm are positioned at the first processing station and the fourth processing station among the plurality of processing stations, respectively; , (ii) a mechanical indexer, wherein said first end effector and said second end effector of said second arm are located at said fourth processing station and said first one of said plurality of processing stations, respectively; .
請求項10に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの第1側面に配置され、(ii)前記第2処理ステーションおよび前記第3処理ステーションは、前記第1側面と反対側にある前記基板処理ツールの第2側面に配置される、機械式インデクサ。 11. The mechanical indexer of claim 10, wherein (i) the first processing station and the fourth processing station are located on a first side of the substrate processing tool, and (ii) the second processing station and A mechanical indexer, wherein the third processing station is located on a second side of the substrate processing tool opposite the first side. 請求項10に記載の機械式インデクサであって、前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールのロードステーションに対応する、機械式インデクサ。 11. The mechanical indexer of claim 10, wherein the first processing station and the fourth processing station correspond to load stations of the substrate processing tool. 基板処理ツールであって、
真空移送モジュールと、
前記真空移送モジュールに接続された複数の処理モジュールであって、前記複数の処理モジュールの少なくとも1つは、請求項1の機械式インデクサを備える、複数の処理モジュールと、
を備える、基板処理ツール。
A substrate processing tool comprising:
a vacuum transfer module;
a plurality of processing modules connected to said vacuum transfer module, wherein at least one of said plurality of processing modules comprises the mechanical indexer of claim 1;
A substrate processing tool, comprising:
請求項13に記載の基板処理ツールであって、前記複数の処理モジュールは、前記真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、前記真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む、基板処理ツール。 14. The substrate processing tool of claim 13, wherein the plurality of processing modules comprises first and second processing modules connected to a first side of the vacuum transfer module and a second side of the vacuum transfer module. and connected third and fourth processing modules. 請求項14に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールとの間に配置されたアダプタプレートを備え、
前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された平坦な側面と、前記第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える、基板処理ツール。
15. The substrate processing tool of claim 14, further comprising an adapter plate positioned between (i) the first side and (ii) the first and second processing modules;
The adapter plate comprises a flat side configured to connect with the first side of the vacuum transfer module and an angled side configured to connect with the first and second process modules. , substrate processing tools.
請求項14に記載の基板処理ツールであって、前記真空移送モジュールの前記第1側面および前記第2側面は面取りされている、基板処理ツール。 15. The substrate processing tool of claim 14, wherein the first side and the second side of the vacuum transfer module are chamfered. 請求項16に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールと、の間に配置されたアダプタプレートを備え、 前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された角度付きの側面と、前記第1および第2処理モジュールと接続するように構成された平坦な側面とを備える、基板処理ツール。 17. The substrate processing tool of claim 16, further comprising an adapter plate positioned between (i) the first side and (ii) the first and second processing modules; a plate comprising an angled side configured to connect with said first side of said vacuum transfer module and a flat side configured to connect with said first and second processing modules; processing tools.
JP2022165116A 2017-01-23 2022-10-14 Optimized low energy/high productivity deposition system Active JP7440592B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024020731A JP2024056883A (en) 2017-01-23 2024-02-15 Optimized low energy/high productivity deposition system

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762449325P 2017-01-23 2017-01-23
US62/449,325 2017-01-23
US15/868,347 2018-01-11
US15/868,347 US11024531B2 (en) 2017-01-23 2018-01-11 Optimized low energy / high productivity deposition system
JP2018006824A JP7394520B2 (en) 2017-01-23 2018-01-19 Optimized low energy/high productivity deposition system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018006824A Division JP7394520B2 (en) 2017-01-23 2018-01-19 Optimized low energy/high productivity deposition system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024020731A Division JP2024056883A (en) 2017-01-23 2024-02-15 Optimized low energy/high productivity deposition system

Publications (2)

Publication Number Publication Date
JP2022191406A true JP2022191406A (en) 2022-12-27
JP7440592B2 JP7440592B2 (en) 2024-02-28

Family

ID=61131919

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018006824A Active JP7394520B2 (en) 2017-01-23 2018-01-19 Optimized low energy/high productivity deposition system
JP2022165116A Active JP7440592B2 (en) 2017-01-23 2022-10-14 Optimized low energy/high productivity deposition system
JP2024020731A Pending JP2024056883A (en) 2017-01-23 2024-02-15 Optimized low energy/high productivity deposition system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018006824A Active JP7394520B2 (en) 2017-01-23 2018-01-19 Optimized low energy/high productivity deposition system

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024020731A Pending JP2024056883A (en) 2017-01-23 2024-02-15 Optimized low energy/high productivity deposition system

Country Status (7)

Country Link
US (2) US11024531B2 (en)
EP (1) EP3352205B1 (en)
JP (3) JP7394520B2 (en)
KR (2) KR102533126B1 (en)
CN (2) CN114551293A (en)
SG (1) SG10201800524XA (en)
TW (3) TW202344702A (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
CN113906546A (en) * 2019-03-29 2022-01-07 朗姆研究公司 Wafer placement correction in a indexed multi-station processing chamber
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11883958B2 (en) 2019-06-07 2024-01-30 Applied Materials, Inc. Robot apparatus including dual end effectors with variable pitch and methods
US20220262662A1 (en) * 2019-08-08 2022-08-18 Lam Research Corporation Spindle assembly for wafer transfer in a multi-station process module
KR102505474B1 (en) 2019-08-16 2023-03-03 램 리써치 코포레이션 Spatially tunable deposition to compensate for differential bow within the wafer
JP2021154444A (en) * 2020-03-27 2021-10-07 川崎重工業株式会社 Robot system and control method thereof
CN115989573A (en) * 2020-06-25 2023-04-18 朗姆研究公司 Multi-station processing tool with different station support features for backside processing
CN112594439B (en) * 2020-09-29 2022-11-25 如皋市蓝鹰齿轮制造有限公司 Multi-rotation type valve gear box convenient to disassemble and assemble and installation method thereof
KR102459642B1 (en) * 2020-12-21 2022-10-27 주식회사 테스 Substrate transfer method of Substrate processing apparatus
CN114695216A (en) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 Method and robot for transferring wafer
WO2022231987A1 (en) * 2021-04-27 2022-11-03 Lam Research Corporation Rotational indexers with wafer centering capability

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100291971B1 (en) 1993-10-26 2001-10-24 야마자끼 순페이 Substrate processing apparatus and method and thin film semiconductor device manufacturing method
JPH11163075A (en) * 1997-12-01 1999-06-18 Hitachi Ltd Method and device for manufacturing semiconductor device
JP2000174091A (en) 1998-12-01 2000-06-23 Fujitsu Ltd Carrying device and manufacturing device
JP4253107B2 (en) * 2000-08-24 2009-04-08 キヤノンアネルバ株式会社 Substrate processing apparatus and expansion method thereof
WO2003006216A1 (en) 2001-07-13 2003-01-23 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
US6949177B2 (en) * 2001-08-16 2005-09-27 Oriol Inc. System and method for processing semiconductor wafers using different wafer processes
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
TWI476855B (en) 2006-05-03 2015-03-11 Gen Co Ltd Substrate transferring apparatus and high speed substrate processing system using the same
KR100818044B1 (en) * 2006-05-04 2008-03-31 위순임 Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
KR100803559B1 (en) 2007-05-02 2008-02-15 피에스케이 주식회사 A unit and method for transferring substrates, and an apparatus and method for treating substrates with the unit
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20080178694A1 (en) * 2007-01-25 2008-07-31 Barford Lee A Dynamic environment measurements
US20080219807A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
JP2011199121A (en) 2010-03-23 2011-10-06 Ulvac Japan Ltd Conveying apparatus
CN201901699U (en) 2010-09-17 2011-07-20 中微半导体设备(上海)有限公司 MOCVD (metal-organic chemical vapor deposition) processing system for automatic substrate conveying and in-situ substrate test
WO2013023092A2 (en) 2011-08-10 2013-02-14 Applied Materials, Inc Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
JP6295037B2 (en) * 2013-08-08 2018-03-14 日本電産サンキョー株式会社 Industrial robot
WO2015066624A1 (en) 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9916995B2 (en) * 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
JP6271322B2 (en) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 Substrate processing system
CN104120389B (en) 2014-08-04 2016-08-24 上海和辉光电有限公司 Filming equipment
CN104846337A (en) 2015-04-30 2015-08-19 北京欣奕华科技有限公司 Evaporation device and evaporation production line
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Also Published As

Publication number Publication date
EP3352205B1 (en) 2021-10-13
TWI741133B (en) 2021-10-01
TWI792531B (en) 2023-02-11
JP7394520B2 (en) 2023-12-08
TW202146683A (en) 2021-12-16
CN108374157A (en) 2018-08-07
SG10201800524XA (en) 2018-08-30
KR102533126B1 (en) 2023-05-15
TW201840880A (en) 2018-11-16
KR20230073156A (en) 2023-05-25
JP2024056883A (en) 2024-04-23
CN108374157B (en) 2022-01-21
CN114551293A (en) 2022-05-27
JP2018139287A (en) 2018-09-06
KR20180087153A (en) 2018-08-01
TW202344702A (en) 2023-11-16
US20180211864A1 (en) 2018-07-26
US11024531B2 (en) 2021-06-01
US20210320029A1 (en) 2021-10-14
KR102656329B1 (en) 2024-04-09
JP7440592B2 (en) 2024-02-28
EP3352205A1 (en) 2018-07-25

Similar Documents

Publication Publication Date Title
JP7440592B2 (en) Optimized low energy/high productivity deposition system
TWI685909B (en) Equipment front end module for transferring wafers and method of transferring wafers
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
CN107731710B (en) Loading station for substrate processing system and substrate processing tool
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
JP2023516065A (en) Linear arrangement for substrate processing tools

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221111

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240215

R150 Certificate of patent or registration of utility model

Ref document number: 7440592

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150