JP2022130335A - Semiconductor processing system using in situ electrical bias - Google Patents

Semiconductor processing system using in situ electrical bias Download PDF

Info

Publication number
JP2022130335A
JP2022130335A JP2022026510A JP2022026510A JP2022130335A JP 2022130335 A JP2022130335 A JP 2022130335A JP 2022026510 A JP2022026510 A JP 2022026510A JP 2022026510 A JP2022026510 A JP 2022026510A JP 2022130335 A JP2022130335 A JP 2022130335A
Authority
JP
Japan
Prior art keywords
electric field
semiconductor wafer
electrode
heat source
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022026510A
Other languages
Japanese (ja)
Inventor
ハーレー デヴィッド
Hurley David
ドムサ イオアン
Domsa Ioan
コルガン イアン
Colgan Ian
ヴァン デル リンデ ゲラルドゥス
Van Del Linde Geraldus
ヒューズ パトリック
Hughes Patrick
ブレル マチェイ
Burel Maciej
クラーク バリー
Barrie Clark
イオアナ ポポヴィシ ミハエラ
Ioana Popovici Mihaela
ラグナルスソン ラーズ-アケ
Ragnarsson Lars-Ake
ジェイ.ルーシンク ゲリット
J Leusink Gerrit
ロバート,クラーク
Clark Robert
トリヨソ ディナ
Triyoso Dina
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/185,231 external-priority patent/US11894240B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2022130335A publication Critical patent/JP2022130335A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

To provide a semiconductor processing system using in situ electrical bias.SOLUTION: A system for processing a semiconductor wafer includes a processing chamber, a heat source, a substrate holder configured to expose the semiconductor wafer to the heat source, a first electrode configured to be removably coupled to a first main surface on the semiconductor wafer, and a second electrode coupled to the substrate holder. The first electrode and the second electrode together are configured to apply an electric field to the semiconductor wafer.SELECTED DRAWING: Figure 7A

Description

(関連出願の相互参照)
本出願は、2020年4月6日に出願された米国非仮特許出願第16/841,342号の一部継続出願であり、その出願は参照により本明細書に組み込まれる。
(Cross reference to related applications)
This application is a continuation-in-part of U.S. Nonprovisional Patent Application No. 16/841,342, filed April 6, 2020, which application is incorporated herein by reference.

(技術分野)
本発明は、概して、半導体処理システム及び方法に関し、特定の実施形態では、その場電気的バイアス(in-situ electrical bias)を用いた半導体処理システムに関する。
(Technical field)
The present invention relates generally to semiconductor processing systems and methods, and in particular embodiments to semiconductor processing systems using in-situ electrical bias.

一般に、半導体集積回路(IC)は、半導体基板上に材料(例えば、誘電体、金属、半導体など)の層を順次堆積し、フォトリソグラフィ及びエッチングを使用して層をパターン化して、回路構成要素(例えば、トランジスタ及びコンデンサ)並びに相互接続要素(例えば、配線、接点、及びビア)を形成することによって製造される。その最小外形サイズは、液浸リソグラフィ及びマルチパターン化などの革新と共に定期的に縮小されており、パッキング密度を高めることでコストを削減している。構成要素のフットプリントの小型化は、単位面積あたりの構成要素の出力を増大することで強化され得る。例えば、単位幅あたりのトランジスタの駆動電流、又はコンデンサの蓄積電荷密度は、それぞれ、より薄いゲート誘電体又はより薄いコンデンサ誘電体を使用することによって向上させることができる。 In general, semiconductor integrated circuits (ICs) are manufactured by sequentially depositing layers of materials (e.g., dielectrics, metals, semiconductors, etc.) on a semiconductor substrate and patterning the layers using photolithography and etching to form circuit components. (eg, transistors and capacitors) and interconnect elements (eg, lines, contacts, and vias). Its minimum feature size is being regularly shrunk with innovations such as immersion lithography and multi-patterning, reducing cost by increasing packing density. A smaller component footprint can be enhanced by increasing component power per unit area. For example, the drive current of a transistor or the stored charge density of a capacitor per unit width can be improved by using a thinner gate dielectric or a thinner capacitor dielectric, respectively.

しかしながら、小型化の利点は、プロセスの複雑さ、回路速度、及び待機電力消費に対処する必要があり得、いくらかのコストを伴う。配線幅をより狭くし、導体と電極間の間隔を低減するスケーリングの傾向には、パフォーマンス上のトレードオフがある。これらのトレードオフのいくつかは、新しい材料を使用することによって軽減され得る。例えば、より高い配線抵抗及びビア抵抗、並びに配線間容量の増大による相互接続システムのIR低下及びRC遅延の増大は、(タングステン及び銅の代わりに)ルテニウム及びコバルト、並びにフルオロシリケートガラス及び炭素ドープ酸化物などの低k金属間誘電体(IMD)などの金属を使用することによって軽減することができる。トランジスタのソースドレイン間隔を低減し、ゲート誘電体又はコンデンサ誘電体をより薄くすることにより、スタンバイリークが増大する場合がある。この問題は、高k誘電体又は強誘電性誘電体材料を使用することによって、軽減することができる。 However, the benefits of miniaturization come at some cost, which may have to be addressed in process complexity, circuit speed, and standby power consumption. The scaling trend toward narrower line widths and reduced spacing between conductors and electrodes has performance tradeoffs. Some of these tradeoffs can be mitigated by using new materials. For example, ruthenium and cobalt (instead of tungsten and copper) and fluorosilicate glasses and carbon-doped oxides have been shown to increase IR degradation and RC delay in interconnect systems due to higher line and via resistances and increased interline capacitance. can be mitigated by using metals such as low-k intermetal dielectrics (IMDs). Reducing the source-drain spacing of transistors and making gate dielectrics or capacitor dielectrics thinner can increase standby leakage. This problem can be alleviated by using high-k or ferroelectric dielectric materials.

新しい材料を組み込むことには、ICにおけるその使用によって提供される利点をより良く活用するための更なる革新が必要である。 Incorporating new materials requires further innovation to better exploit the advantages offered by their use in ICs.

本発明の実施形態によれば、半導体ウェーハを処理するためのシステムが提供され、システムは、処理チャンバと、熱源と、半導体ウェーハを熱源に露出するように構成された基板ホルダと、半導体ウェーハの第1の主表面に取り外し可能に結合されるように構成された第1の電極と、基板ホルダに結合された第2の電極と、を含み、第1の電極及び第2の電極は一緒に、半導体ウェーハに電界を印加するように構成されている。 According to an embodiment of the present invention, a system is provided for processing a semiconductor wafer, the system comprising a processing chamber, a heat source, a substrate holder configured to expose the semiconductor wafer to the heat source, and a semiconductor wafer. a first electrode configured to be removably coupled to the first major surface and a second electrode coupled to the substrate holder, the first electrode and the second electrode together , configured to apply an electric field to the semiconductor wafer.

本発明の実施形態によれば、半導体ウェーハを処理するためのシステムが提供され、システムは、処理チャンバと、熱源と、複数の半導体ウェーハを熱源に露出するように構成された基板ホルダと、複数の半導体ウェーハの各々の第1の側面に接触するための第1の複数の電極を含む第1のバスと、複数の半導体ウェーハの各々の第2の側面に接触するための第2の複数の電極を含む第2のバスと、を含み、第1のバス及び第2のバスは一緒に、複数の半導体ウェーハの各々に電界を印加するように構成されている。 According to an embodiment of the present invention, a system is provided for processing semiconductor wafers, the system includes a processing chamber, a heat source, a substrate holder configured to expose a plurality of semiconductor wafers to the heat source, and a plurality of a first bus including a first plurality of electrodes for contacting a first side of each of the semiconductor wafers of the semiconductor wafer; a second plurality of electrodes for contacting a second side of each of the plurality of semiconductor wafers; and a second bus including electrodes, the first bus and the second bus being together configured to apply an electric field to each of the plurality of semiconductor wafers.

本発明の実施形態によれば、半導体ウェーハを処理するための急速熱処理(RTP)システムが提供され、システムは、RTPチャンバと、基板を支持するように構成された基板ホルダと、基板ホルダによって支持された基板を加熱するように構成された電磁エネルギー源と、基板の第1の側面に取り外し可能に結合されるように構成された第1の電極であって、第1の電位ノードに結合された、第1の電極と、基板の反対側の第2の側面に取り外し可能に結合されるように構成された第2の電極であって、第2の電位ノードに結合された、第2の電極と、を含み、第1の電極及び第2の電極は一緒に、基板を介して電界を印加するように構成されている。 According to embodiments of the present invention, a rapid thermal processing (RTP) system for processing semiconductor wafers is provided, the system comprising an RTP chamber, a substrate holder configured to support a substrate, and a substrate supported by the substrate holder. and a first electrode configured to be removably coupled to the first side of the substrate and coupled to the first potential node. and a first electrode and a second electrode configured to be removably coupled to an opposite second side of the substrate, the second electrode being coupled to a second potential node; and an electrode, the first electrode and the second electrode together being configured to apply an electric field through the substrate.

本発明及びその利点のより詳細な理解のために、ここで、以下の添付図面と併せて行われる後述の説明を参照する。
本発明の実施形態による、電界アニーラの処理チャンバの断面図を示す。 本発明の代替の実施形態による、電界アニーラの処理チャンバの断面図を示す。 本発明の実施形態による、電界アニーラのロードレールの斜視図を示す。 図2に示される斜視図の細部の拡大斜視図である。 本発明の実施形態による、電界アニーラのロードレールの斜視図を示す。 図2に示される斜視図の細部の拡大斜視図である。 図2に示される斜視図の詳細の異なる向きからの拡大斜視図である。 本発明の実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェーハの断面図を示す。 本発明の実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェーハの断面図を示す。 本発明の実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェーハの断面図を示す。 本発明の実施形態による、熱源からの伝導熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの伝導熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの放射熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの放射熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの放射熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの放射熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、熱源からの対流熱伝達を使用する単一のウェーハ電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、複数ウェーハの電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、複数ウェーハの電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、複数ウェーハの電界アニール処理チャンバを備える電界アニール構成の断面図を示す。 本発明の実施形態による、電界アニールモジュールを備えるクラスタツールの断面図を示す。 本発明の実施形態による、電界アニールモジュールを備えるクラスタツールの断面図を示す。 本発明の実施形態による、電界アニールモジュールを備えるクラスタツールの断面図を示す。 本発明の実施形態による、電界アニールモジュールを備えるクラスタツールの断面図を示す。
For a more detailed understanding of the invention and its advantages, reference is now made to the following description taken in conjunction with the accompanying drawings below.
FIG. 4 illustrates a cross-sectional view of a processing chamber of an electric field annealer, in accordance with embodiments of the present invention; FIG. 4 shows a cross-sectional view of a processing chamber of an electric field annealer, according to an alternative embodiment of the present invention; FIG. 4 shows a perspective view of a load rail for an electric field annealer, in accordance with embodiments of the present invention. Figure 3 is an enlarged perspective view of a detail of the perspective view shown in Figure 2; FIG. 4 shows a perspective view of a load rail for an electric field annealer, in accordance with embodiments of the present invention. Figure 3 is an enlarged perspective view of a detail of the perspective view shown in Figure 2; Figure 3 is an enlarged perspective view from a different orientation of the detail of the perspective view shown in Figure 2; FIG. 4 illustrates a cross-sectional view of various semiconductor wafers positioned within a processing chamber of an electric field annealer, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of various semiconductor wafers positioned within a processing chamber of an electric field annealer, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of various semiconductor wafers positioned within a processing chamber of an electric field annealer, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using conductive heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using conductive heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using radiative heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using radiative heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using radiative heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using radiative heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 4 illustrates a cross-sectional view of an electric field anneal configuration comprising a single wafer electric field anneal processing chamber using convective heat transfer from a heat source, in accordance with embodiments of the present invention. FIG. 2 illustrates a cross-sectional view of an electric field anneal configuration comprising a multi-wafer electric field anneal processing chamber, in accordance with an embodiment of the present invention. FIG. 2 illustrates a cross-sectional view of an electric field anneal configuration comprising a multi-wafer electric field anneal processing chamber, in accordance with an embodiment of the present invention. FIG. 2 illustrates a cross-sectional view of an electric field anneal configuration comprising a multi-wafer electric field anneal processing chamber, in accordance with an embodiment of the present invention. FIG. 3 illustrates a cross-sectional view of a cluster tool with an electric field annealing module, according to embodiments of the invention. FIG. 3 illustrates a cross-sectional view of a cluster tool with an electric field annealing module, according to embodiments of the invention. FIG. 3 illustrates a cross-sectional view of a cluster tool with an electric field annealing module, according to embodiments of the invention. FIG. 3 illustrates a cross-sectional view of a cluster tool with an electric field annealing module, according to embodiments of the invention.

本開示は、処理中に電気的バイアス電圧がウェーハの2つの導電層にわたって印加されている間に、半導体ウェーハを処理するための装置及び方法を記載する。バイアスは、ウェーハと直接、電気的に接触し、且つ処理チャンバの外側に位置する電源に接続された電極を介して印加される。この文書では、電気的バイアスと同時に実行されるアニールプロセスを電界アニールと呼び、電界アニールを実行するために使用される処理装置を電界アニーラと呼ぶ。処理チャンバは、電界アニールチャンバと呼ばれ得る。例示的な実施形態では、電気的バイアスを使用して、堆積後アニール(PDA)プロセスステップ中に、ウェーハ内の誘電体膜を所望の大きさのDC電界(電界)にさらす。 The present disclosure describes an apparatus and method for processing a semiconductor wafer while an electrical bias voltage is applied across two conductive layers of the wafer during processing. The bias is applied through an electrode in direct electrical contact with the wafer and connected to a power supply located outside the processing chamber. In this document, the annealing process performed simultaneously with an electrical bias is referred to as electric field anneal, and the processing equipment used to perform electric field anneal is referred to as electric field annealer. A processing chamber may be referred to as an electric field annealing chamber. In an exemplary embodiment, an electrical bias is used to subject the dielectric film in the wafer to a DC electric field (electric field) of desired magnitude during a post-deposition anneal (PDA) process step.

金属酸化膜半導体電界効果トランジスタ(MOSFET)及び/又はコンデンサなどの強誘電性誘電体ベースの電子構成要素の製造を含むいくつかの製造プロセスフローでは、以下に説明するように、電界PDAを使用することが有利な場合がある。強誘電体層を形成するために使用されるプロセスステップには、強誘電性酸化物、例えば、ドープされたハフニウムオキシド、又はドープされたハフニウムジルコネート、又はバリウムストロンチウムチタネートなどのペロブスカイト酸化物、又はビスミュートを堆積させることを含み得る。La、Al、Si、Sr、Gd、Yなどの多数のドーパントは、結晶構造を歪めることによって強誘電挙動を改善することが示されている。しかしながら、HfO、HfAlO、又はHfZrOの場合、複数のフェーズが可能である。これらの材料では、堆積後アニール(PDA)条件が、強誘電挙動を伴う所望の非中心対称斜方晶相を導入する際に重要な役割を果たす。強誘電アニール(FEA)と呼ばれるPDAステップは、堆積した酸化ハフニウム層を安定又は準安定の多結晶強誘電性酸化ハフニウム層に変換することができる。酸化ハフニウムベースの強誘電性誘電体を使用する電子構成要素を含むICの製造フローには、通常、安定した強誘電特性を得るために、本明細書ではウェイクアップサイクリングと呼ばれる、電気サイクリングステップが含まれる。本開示の実施形態では、強誘電体MOSFET(FE-FET)及び強誘電体コンデンサは、例えば、酸化ハフニウムなどを含む強誘電性誘電体を使用して構築することができ、ここで、結晶化FEAの間、誘電体は、以下で更に詳細に説明される装置及び方法を使用して、上記の印加されたDC電界にさらされる。例示的な実施形態で使用される電界FEA技術は、短縮化の利点を提供することができ、いくつかの実施形態では、ウェイクアップサイクリングを排除することができる。ウェイクアップ効果については、以下で更に詳しく説明する。本開示の様々な実施形態を使用して説明された電界FEA技術は、酸化ハフニウムベースの材料以外の材料を使用して強誘電体層を形成する際に同様の利益を提供することができることが理解されよう。 Some manufacturing process flows, including the fabrication of ferroelectric dielectric-based electronic components such as metal oxide semiconductor field effect transistors (MOSFETs) and/or capacitors, use electric field PDAs, as described below. can be advantageous. Process steps used to form the ferroelectric layer include ferroelectric oxides, such as doped hafnium oxide, or doped hafnium zirconate, or perovskite oxides such as barium strontium titanate, or Depositing Bismuth may be included. A number of dopants such as La, Al, Si, Sr, Gd, Y have been shown to improve ferroelectric behavior by distorting the crystal structure. However, in the case of HfO2 , HfAlOx , or HfZrOx , multiple phases are possible. In these materials, post-deposition annealing (PDA) conditions play an important role in introducing the desired non-centrosymmetric orthorhombic phase with ferroelectric behavior. A PDA step called ferroelectric annealing (FEA) can transform the deposited hafnium oxide layer into a stable or metastable polycrystalline ferroelectric hafnium oxide layer. The fabrication flow of ICs containing electronic components using hafnium oxide-based ferroelectric dielectrics typically includes an electrical cycling step, referred to herein as wake-up cycling, to obtain stable ferroelectric properties. included. In embodiments of the present disclosure, ferroelectric MOSFETs (FE-FETs) and ferroelectric capacitors can be constructed using ferroelectric dielectrics, including, for example, hafnium oxide, where crystallization During FEA, the dielectric is exposed to the applied DC electric field described above using apparatus and methods described in more detail below. The electric field FEA technique used in exemplary embodiments can provide the advantage of shortening and, in some embodiments, can eliminate wake-up cycling. Wake-up effects are described in more detail below. It is believed that the electric field FEA techniques described using various embodiments of the present disclosure can provide similar benefits when using materials other than hafnium oxide-based materials to form ferroelectric layers. be understood.

誘電体材料は、電界(E)によって分極され得る。電界に応答する電気分極ベクトル(P)は、一般に、電界Eの関数であり、ほぼ線形で中心対称誘電体に対して対称である。中心対称誘電体は、非強誘電性である(すなわち、E=0においてP=0)。しかしながら、一部の非中心対称誘電体は強誘電性であり、すなわち、自発分極又は残留分極を示し、E=0においてP=P≠0であり、残留分極(P)と呼ばれる。強誘電性誘電体においてPをゼロに強制するために、逆極性の強制電界(E)を印加する必要がある。強誘電体のP対E曲線は非線形であり、ほぼ対称のヒステリシスループを有する。当業者には既知であるように、酸化ハフニウムベースの強誘電体薄膜などのいくつかの強誘電体膜は、ウェイクアップ効果を示し、従来の処理(電界アニールなし)を使用して製造された元の膜は、比較的高い順方向(正)及び逆方向(負)の電界を複数回、例えば約10サイクル~約10サイクル循環した後、安定したより広い幅のヒステリシスループ(より大きなP)を開く、ピンチされたヒステリシス曲線(小さなP)を有する。通常、不安定なPを有する元の誘電体膜を構成する全ての強誘電体構成要素は、それぞれの回路が設計されたように機能するために、ウェイクアップサイクリングによって安定化される必要がある。したがって、本開示で説明される革新的な電界アニール技術は、ウェイクアップサイクルの数を低減し、いくつかの実施形態では、ウェイクアップサイクリングステップを排除することによって、重要な利点を提供することが認識され得る。 A dielectric material can be polarized by an electric field (E). The electric polarization vector (P) in response to the electric field is generally a function of the electric field E and is approximately linear and symmetric for centrosymmetric dielectrics. A centrosymmetric dielectric is nonferroelectric (ie, P=0 at E=0). However, some non-centrosymmetric dielectrics are ferroelectric, ie exhibit spontaneous or remnant polarization, P=P R ≠0 at E=0, called remnant polarization (P R ). In order to force P to zero in a ferroelectric dielectric, it is necessary to apply a forcing electric field ( Ec ) of opposite polarity. The P versus E curve of ferroelectrics is nonlinear and has a nearly symmetrical hysteresis loop. As known to those skilled in the art, some ferroelectric films, such as hafnium oxide-based ferroelectric thin films, exhibit wake-up effects and were fabricated using conventional processing (no field annealing). The pristine film exhibits a stable, wider hysteresis loop ( more P R ) has a pinched hysteresis curve (small P R ). Normally, all ferroelectric components that make up the original dielectric film with unstable P R need to be stabilized by wake-up cycling in order for the respective circuit to function as designed. be. Accordingly, the innovative electric field annealing techniques described in this disclosure may provide significant advantages by reducing the number of wake-up cycles and, in some embodiments, eliminating the wake-up cycling step. can be recognized.

P対E特性にヒステリシスが存在することにより、強誘電体コンデンサを不揮発性メモリ(NVM)要素として使用することが可能になる。例えば、強誘電体コンデンサを、高い正又は負の分極の対応する状態にそれぞれ対応する、高い正又は負のバイアス電圧を有するP対Eヒステリシスループの上部又は下部のいずれかの分岐に強制することによって、「1」又は「0」のいずれかのバイナリロジック状態を保存することができる。バイアスが除去された後(E=0)、強誘電体コンデンサがP対Eヒステリシスループの上部又は下部の分岐に強制されたかどうかに応じて、分極の一部が、残留分極+P又は-Pとして保持される。ヒステリシス曲線の各分岐の(P対Eの最大勾配に対応する)最大変位電流は反対の極性で発生するため、例えば、所与の極性の電圧ランプに応答してコンデンサ電流を検出することによって、保存された情報を取得することができる。上述のデータ保存及び取得メカニズムから理解されるように、安定した高いPの臨界のため、ウェイクアップサイクリングステップは通常、上記の電界FEAなしで形成された酸化ハフニウムベースの強誘電体NVMを含むICの製造の際に実行される。しかしながら、本開示で説明される電界アニーラ及び電界FEAを使用することにより、ウェイクアップサイクルの数を低減し、いくつかの実施形態では、製造フローからのウェイクアップサイクリングステップを排除することによって、酸化ハフニウムベースの強誘電性NVMのコストを削減するという利点を提供することができる。 The presence of hysteresis in the P vs. E characteristic allows ferroelectric capacitors to be used as non-volatile memory (NVM) elements. For example, forcing a ferroelectric capacitor into either the top or bottom branch of a P vs. E hysteresis loop with a high positive or negative bias voltage corresponding to the corresponding state of high positive or negative polarization, respectively. can store a binary logic state of either '1' or '0'. After the bias is removed (E=0), some of the polarization is remnant polarization +PR or −P , depending on whether the ferroelectric capacitor was forced into the upper or lower branch of the P vs. E hysteresis loop. Retained as R. Since the maximum displacement current (corresponding to the maximum slope of P versus E) in each branch of the hysteresis curve occurs with opposite polarity, for example, by sensing the capacitor current in response to a voltage ramp of a given polarity, Stored information can be retrieved. As can be seen from the data storage and retrieval mechanisms described above, due to the criticality of stable and high PR, the wake-up cycling step usually involves hafnium oxide-based ferroelectric NVMs formed without the above electric field FEA. Executed during manufacture of the IC. However, use of the electric field annealer and electric field FEA described in this disclosure reduces the number of wake-up cycles and, in some embodiments, eliminates the wake-up cycling step from the fabrication flow, thus reducing the oxidation It can provide the advantage of reducing the cost of hafnium-based ferroelectric NVM.

強誘電体は、FE-FETのゲート誘電体スタックの形成の際に使用され得る。ゲート誘電体スタックの残留分極が十分に高い場合、強誘電体コンデンサと同様に、トランジスタは、いったんプログラムされると、その状態を保持し、プログラム電圧が除去された後でさえもオン又はオフのままになり得る。そのようなFE-FETはまた、デジタル情報をNVMセルに記憶するために使用され得る。酸化ハフニウムベースの強誘電体コンデンサNVMのコンテキストで上述したように、酸化ハフニウムベースの強誘電体FE-FET NVMの製造コストは、革新的な電界アニーラ及び電界FEAを使用することによって削減され得る。 Ferroelectrics can be used in forming the gate dielectric stack of FE-FETs. If the remnant polarization of the gate dielectric stack is sufficiently high, the transistor, like a ferroelectric capacitor, will retain its state once programmed, turning it on or off even after the programming voltage is removed. can be left Such FE-FETs can also be used to store digital information in NVM cells. As described above in the context of hafnium oxide-based ferroelectric capacitor NVMs, the manufacturing cost of hafnium oxide-based ferroelectric FE-FET NVMs can be reduced by using an innovative electric field annealer and electric field FEA.

FE-FETは、デジタルロジック又はアナログ回路において使用される場合、従来の(すなわち、非強誘電性)MOSFETに比べていくつかの利点を提供することができる。デジタルロジック及び/又はアナログ回路で使用されるFE-FETのゲート誘電体スタックは、強誘電体及び非強誘電体薄膜を含む。回路で使用される場合、例えばデジタルスイッチとして使用される場合、ゲート誘電体スタックの強誘電体部分は、動的容量を提供し、これが、特定のバイアス掃引条件(例えば、掃引速度又は周波数)の下で、強誘電体の分極における変化による電圧スナップバックをもたらし得る。このスナップバックにより、望ましいより急勾配の閾値以下で、より高いFE-FETのION/IOFF比がもたらされ得る。このコンテキストでは、FE-FETは一般に、負の容量の電界効果トランジスタ(NCFET)と呼ばれている。ここでは、より正確には、急勾配の強電界効果トランジスタ(SSFEFET)と呼ばれる。しかしながら、ヒステリシスのないトランジスタのI-V及びC-V曲線を実現するために、ゲート誘電体スタックの強誘電特性(例えば、P)及び膜厚を適切に調整する必要があり得る。当業者には既知であるように、ヒステリシスのないI-V及びC-V曲線は、安定したトランジスタ動作を示唆するが、ヒステリシスの存在は、回路の不安定性及び意図しない電気的振動をもたらす場合がある。回路の安定性を考慮すると、SSFEFETが回路を不安定にすることなく期待される回路の利点を提供するために、Pが安定して設計ウィンドウ内に留まる必要があることが理解されよう。したがって、電界FEAを含まないSSFEFETの製造フローは、ウェイクアップサイクリングステップを組み込むことができ、一方で、本開示で説明される本発明の電界アニール技術を使用することで、ウェイクアップサイクルを低減して、いくつかの実施形態ではウェイクアップサイクルなしで、安定した強誘電特性を達成することによって、コストを削減するという利点を提供することができる。 FE-FETs can offer several advantages over conventional (ie, non-ferroelectric) MOSFETs when used in digital logic or analog circuits. Gate dielectric stacks of FE-FETs used in digital logic and/or analog circuits include ferroelectric and non-ferroelectric thin films. When used in circuits, e.g., as digital switches, the ferroelectric portion of the gate dielectric stack provides dynamic capacitance, which under certain bias sweep conditions (e.g., sweep speed or frequency). Below, changes in the polarization of the ferroelectric can lead to voltage snapback. This snapback can result in a higher FE-FET I ON /I OFF ratio below the desired steeper threshold. In this context, FE-FETs are commonly referred to as negative capacitance field effect transistors (NCFETs). Here it is more precisely referred to as a steep slope strong field effect transistor (SSFEFET). However, the ferroelectric properties (eg, P R ) and film thickness of the gate dielectric stack may need to be adjusted appropriately to achieve the IV and CV curves of the transistor without hysteresis. As known to those skilled in the art, IV and CV curves without hysteresis suggest stable transistor operation, but the presence of hysteresis can lead to circuit instability and unintended electrical oscillations. There is Considering circuit stability, it will be appreciated that P R must remain stable and within the design window for the SSFEFET to provide the expected circuit benefits without destabilizing the circuit. Thus, a SSFEFET fabrication flow that does not include electric field FEA can incorporate a wake-up cycling step, while using the inventive electric field annealing techniques described in this disclosure reduces wake-up cycles. Thus, achieving stable ferroelectric properties, in some embodiments without a wake-up cycle, can provide cost savings advantages.

本開示では、最初に、電界アニール技術は、図1Bの代替の実施形態と共に図1Aに示されるように、電界アニール(例えば、電界FEA)プロセスステップ中の電界アニールの処理チャンバの断面図の概略図を使用して説明される。電界アニーラについては、図2~図5に示される電界アニーラのロードレールの様々な斜視図を参照して更に説明する。FE-FET/SSFEFET及び/又はMOS強誘電体コンデンサのゲート誘電体層の電界FEA中の電気接続については、図6A及び6Bにそれぞれ示される、平面バルク相補型MOS(CMOS)及びシリコンオンインシュレータ(SOI)CMOS半導体ウェーハの断面図を参照して説明する。MOSコンデンサに加えて、一般にMIMコンデンサと呼ばれるIC内のコンデンサ構成要素は、コンデンサの上部電極と下部電極の両方に金属層を使用して形成され得る。この開示では、略語により、非強誘電性絶縁体と強誘電性絶縁体を区別し、非強誘電性絶縁体はIと略され、強誘電性絶縁体はFと略される。電界FEA中にMFMコンデンサの電極に行われる電気接続は、図6Cに示される断面図を参照して説明される。 In this disclosure, first, the electric field annealing technique is illustrated in FIG. 1A along with the alternative embodiment of FIG. 1B. It is explained using a figure. The electric field annealer is further described with reference to various perspective views of the electric field annealer load rail shown in FIGS. For electrical connections during electric field FEA of gate dielectric layers of FE-FET/SSFEFET and/or MOS ferroelectric capacitors, planar bulk complementary MOS (CMOS) and silicon-on-insulator (CMOS) are shown in FIGS. 6A and 6B, respectively. SOI) A description will be given with reference to a cross-sectional view of a CMOS semiconductor wafer. In addition to MOS capacitors, capacitor components within ICs, commonly referred to as MIM capacitors, can be formed using metal layers for both the top and bottom electrodes of the capacitor. In this disclosure, abbreviations distinguish between nonferroelectric and ferroelectric insulators, nonferroelectric insulator being abbreviated as I and ferroelectric insulator being abbreviated as F. The electrical connections made to the electrodes of the MFM capacitor during electric field FEA are described with reference to the cross-sectional view shown in FIG. 6C.

図1A及び図1Bを参照して説明したように、電界アニールは、単一のウェーハ処理チャンバ(例えば、処理チャンバ225)又は複数のウェーハ(又はバッチ)処理チャンバ(例えば、処理チャンバ226)において実行され得る。半導体ウェーハ50は、熱源、温度センサ、及び熱源に供給される電力を調節する温度コントローラを含む熱処理システム(例えば、熱処理システム235及び236)を使用して、所望の温度に加熱され、所望の温度に維持される。電界アニーラは、温度上昇が遅く、安定化時間が数分オーダのオーブン、又は半導体ウェーハが、多くの場合数秒又は数ミリ秒以内、場合によっては数マイクロ秒で、急速に高温まで加熱される急速熱処理(RTP)に適した熱源で構成され得る。RTP技術は処理時間を短縮することができ、単一のウェーハ処理用に構成された電界アニーラに対して多くの利点を提供する。しかしながら、単一又は複数のいずれかのウェーハ処理チャンバで構成された電界アニーラは、RTP用に構成されてもよい。様々な電界アニーラの実施形態は、以下で更に説明されるように、半導体ウェーハに対して様々な方法で配向された様々な熱源を備える様々な熱処理システムで構成され得る。 As described with reference to FIGS. 1A and 1B, electric field annealing is performed in a single wafer processing chamber (eg, processing chamber 225) or a multiple wafer (or batch) processing chamber (eg, processing chamber 226). can be Semiconductor wafer 50 is heated to a desired temperature using a thermal processing system (e.g., thermal processing systems 235 and 236) that includes a heat source, a temperature sensor, and a temperature controller that regulates the power supplied to the heat source. maintained at Electric field annealers are used in ovens with slow temperature rises and stabilization times on the order of minutes, or in rapid ovens where semiconductor wafers are rapidly heated to high temperatures, often within seconds or milliseconds, and sometimes microseconds. It may consist of a heat source suitable for thermal processing (RTP). RTP technology can reduce processing time and offers many advantages over electric field annealers configured for single wafer processing. However, electric field annealers configured in either single or multiple wafer processing chambers may be configured for RTP. Various electric field annealer embodiments may be configured in various thermal processing systems with various heat sources oriented in various ways relative to the semiconductor wafer, as further described below.

図1A及び図1Bを参照しても説明されたように、半導体ウェーハ50は、単一のウェーハ又は複数のウェーハの処理チャンバでの電界アニール中に電気的にバイアスされる。電気的バイアスは、半導体ウェーハ50の電極及び処理チャンバ(例えば、処理チャンバ225及び226)の導電性部分を、DC電源130、電圧計150、及び接地と呼ばれる基準電位などの、チャンバの外側の電気構成要素に電気的に結合することによって提供及び監視され得る。様々な実施形態では、電界アニーラは、以下で更に説明されるように、異なる電気的接続を有するように構成され得る。 As also described with reference to FIGS. 1A and 1B, semiconductor wafer 50 is electrically biased during electric field annealing in a single wafer or multiple wafer processing chamber. Electrical biasing causes the electrodes of the semiconductor wafer 50 and the conductive portions of the processing chambers (e.g., processing chambers 225 and 226) to be connected to an electrical source outside the chamber, such as a DC power supply 130, a voltmeter 150, and a reference potential called ground. It can be provided and monitored by electrically coupling the component. In various embodiments, the electric field annealer can be configured with different electrical connections, as further described below.

図7A~図7B、図8A~図8D、及び図9は、単一のウェーハ処理用に構成された電界アニーラの様々な構成を示している。図10A~図10Cは、バッチ処理に適した電界アニーラの構成を示している。 7A-7B, 8A-8D, and 9 illustrate various configurations of electric field annealers configured for single wafer processing. 10A-10C show configurations of electric field annealers suitable for batch processing.

様々な実施形態では、熱処理システムは、伝導性、放射性、又は対流性の熱伝達メカニズム、又はこれらのメカニズムの組み合わせを使用して、電界アニール中に半導体ウェーハの所望の温度を達成する。図7A~図7Bは、ホットプレート熱源からの伝導熱伝達を使用する実施形態を示している。様々なタイプの熱源からの放射熱伝達を使用するように構成された実施形態が図8A~図8Dに示され、対流加熱は図9を参照して説明されている。 In various embodiments, the thermal processing system uses conductive, radiative, or convective heat transfer mechanisms, or a combination of these mechanisms, to achieve the desired temperature of the semiconductor wafer during electric field annealing. Figures 7A-7B illustrate an embodiment using conductive heat transfer from a hotplate heat source. Embodiments configured to use radiant heat transfer from various types of heat sources are shown in FIGS. 8A-8D and convective heating is described with reference to FIG.

様々な実施形態では、電界を印加し、半導体ウェーハ上の電位を監視するための電気的接続を行うための様々な構成が可能である。図10A~図10Cは、半導体ウェーハ50及び処理チャンバの様々な導電性部分をDC電源130、電圧計150、及び接地に電気的に結合するための異なる電気接続スキームを有する、バッチ処理用に構成された3つの例示的な実施形態を示している。 In various embodiments, various configurations are possible for applying the electric field and making electrical connections for monitoring the potential on the semiconductor wafer. 10A-10C are configured for batch processing with different electrical connection schemes for electrically coupling the semiconductor wafer 50 and various conductive portions of the processing chamber to the DC power supply 130, voltmeter 150, and ground. 3 shows three exemplary embodiments.

電界アニール処理チャンバは、スタンドアロン処理チャンバ、同時又は順次のいずれかで実行されるいくつかの他のプロセス(例えば、堆積)と共に電界アニールを実行するように構成された処理チャンバ、又は他のチャンバを備える半導体処理システムのクラスタ構成内の電界アニールチャンバであり得る。クラスタツールと呼ばれる、処理モジュールのクラスタを含む半導体処理システムのいくつかの例が、図11A~図11Dを参照して説明されている。 An electric field anneal processing chamber may be a stand-alone processing chamber, a processing chamber configured to perform electric field anneal in conjunction with several other processes (e.g., deposition) performed either simultaneously or sequentially, or other chambers. It may be an electric field anneal chamber in a cluster configuration of a semiconductor processing system comprising: Some examples of semiconductor processing systems that include clusters of processing modules, called cluster tools, are described with reference to FIGS. 11A-11D.

強誘電性電子デバイス(例えば、トランジスタ及びコンデンサ)において使用するために、材料層の様々な組み合わせのスタックを形成することができる。スタックは、非強誘電性誘電体層、金属層、及び半導体に加えて、強誘電体層を含み得る。その例には、以下のスタック、すなわち(層を上から下に列挙すると)、金属-強誘電体-金属(MFM)、金属-強誘電体-絶縁体-金属(MFIM)、金属-強誘電体-絶縁体-半導体(MFIS)、金属-強誘電体-金属-半導体(MFMS)、金属-強誘電体-金属-絶縁体-半導体(MFMIS)、半導体-強誘電体-半導体(SFS)、及び半導体-強誘電体-絶縁体-半導体(SFIS)が含まれるが、これらに限定されない。本開示では、例示的なスタックは、(例えば、FEFET/SSFEFETトランジスタ内の)MFIS、又は(例えば、上部及び下部金属電極を備えるコンデンサ内の)MFMであり得る。 Stacks of various combinations of material layers can be formed for use in ferroelectric electronic devices (eg, transistors and capacitors). The stack may include ferroelectric layers in addition to non-ferroelectric dielectric layers, metal layers, and semiconductors. Examples include the following stacks (listing layers from top to bottom): metal-ferroelectric-metal (MFM), metal-ferroelectric-insulator-metal (MFIM), metal-ferroelectric Body-Insulator-Semiconductor (MFIS), Metal-Ferroelectric-Metal-Semiconductor (MFMS), Metal-Ferroelectric-Metal-Insulator-Semiconductor (MFMIS), Semiconductor-Ferroelectric-Semiconductor (SFS), and semiconductor-ferroelectric-insulator-semiconductor (SFIS). In this disclosure, exemplary stacks can be MFIS (eg, in FEFET/SSFEFET transistors) or MFM (eg, in capacitors with top and bottom metal electrodes).

図1Aは、電界アニールを実行するために装備されるアニーラである電界アニールの処理チャンバ225内部の基板ホルダ10上に配置された半導体ウェーハ50の断面図を概略的に示している。処理チャンバ225は、処理チャンバ225内に配置されたウェーハを熱処理するように設計された熱処理システム235を備える。様々な実施形態では、熱処理システム235は、ランプ、抵抗要素、及び処理チャンバ225の内部又は外部の様々な場所に配置された他のものを使用することによって、加熱及び冷却要素を制御して、処理チャンバ225内の半導体ウェーハ50の所望の温度を維持する温度コントローラを備える。電界アニールチャンバの実施形態で使用されるいくつかの熱処理システムは、以下で更に説明される。 FIG. 1A schematically shows a cross-sectional view of a semiconductor wafer 50 placed on a substrate holder 10 inside an electric field annealing process chamber 225, which is an annealer equipped to perform electric field annealing. Processing chamber 225 includes a thermal processing system 235 designed to thermally process wafers disposed within processing chamber 225 . In various embodiments, the thermal processing system 235 controls heating and cooling elements by using lamps, resistive elements, and others placed at various locations inside or outside the processing chamber 225 to A temperature controller is provided to maintain the desired temperature of the semiconductor wafer 50 within the processing chamber 225 . Some thermal processing systems used in embodiments of electric field annealing chambers are described further below.

半導体ウェーハ50は、基板20、基板20の上に形成されたMOS誘電体層30、及びMOS誘電体層30上に形成された導電性上部電極層40を備える。 Semiconductor wafer 50 comprises a substrate 20 , a MOS dielectric layer 30 formed over substrate 20 , and a conductive top electrode layer 40 formed over MOS dielectric layer 30 .

図1Aに概略的に示されるように、第1の電界アニーラ電極は、導電性上部電極層40と物理的及び電気的に接触している。第1の電界アニーラ電極は、高温処理の影響を受けない導電性材料を含み得る。一実施形態では、第1の電界アニーラ電極は、タングステンを含み得る。第1の電界アニーラ電極は、損傷することなくアニール中に高温に加熱され得る適切な導体(例えば、タングステン)の一次配線110を使用してDC電源130の第1端子に接続されている、一次電極211(例えば、タングステンリボン)を備える。一次電極211のリボン形状は、スリップを防止し、且つアニールプロセス中に加熱されるときに半導体ウェーハ50の表面との良好な物理的接続を維持するのに役立つ、ばねのような作用を提供する。導電性上部電極層40の電位は、別の監視電極212、例えば、導電性上部電極層40と接触して配置された別のタングステンリボンに対して、監視配線112(一次配線110と同様)によって接続された電圧計150を使用して、任意選択的に監視され得る。2つの電極は、導電性上部電極層40によって一緒に電気的に短絡されている。一次電極211及び監視電極212は、集合的に、第1の電界アニーラ電極210と呼ばれ得る。一次配線110及び監視配線112は、集合的に、2つの配線115と呼ばれ得る。 As shown schematically in FIG. 1A, the first field annealer electrode is in physical and electrical contact with the conductive top electrode layer 40 . The first field annealer electrode may comprise a conductive material that is immune to high temperature processing. In one embodiment, the first field annealer electrode may comprise tungsten. The first electric field annealer electrode is connected to a first terminal of a DC power supply 130 using a suitable conductor (e.g., tungsten) primary wiring 110 that can be heated to high temperatures during annealing without damage. An electrode 211 (eg, a tungsten ribbon) is provided. The ribbon shape of the primary electrode 211 provides a spring-like action that prevents slippage and helps maintain good physical contact with the surface of the semiconductor wafer 50 as it is heated during the annealing process. . The electrical potential of the conductive top electrode layer 40 is applied by the monitoring line 112 (similar to the primary line 110) to another monitoring electrode 212, e.g., another tungsten ribbon, placed in contact with the conductive top electrode layer 40. It can optionally be monitored using a connected voltmeter 150 . The two electrodes are electrically shorted together by a conductive top electrode layer 40 . Primary electrode 211 and monitoring electrode 212 may collectively be referred to as first field annealer electrode 210 . Primary wiring 110 and monitoring wiring 112 may collectively be referred to as two wirings 115 .

図1Aに示される例示的な実施形態では、半導体ウェーハ50の裏面と物理的に接触している基板ホルダ10の表面が、第2の電界アニーラ電極として使用される。基板ホルダ10の表面は、適切な導電性材料、例えば、シリコンベース、カーボンベース、シリコン及びカーボンコンポジットベース、又は金属窒化物ベースのコーティングでコーティングされて、アニール温度における電極としての使用に適した導電性表面を得ることができる。裏面、及び裏面に隣接する半導体ウェーハ50の一部は、n型又はp型をドープしたシリコン又はゲルマニウムなどの導電性材料であってもよく、基板ホルダ10の表面と電気的に接触していてもよい。いくつかの実施形態では、半導体ウェーハ50の裏面と基板ホルダ10の表面との間に電気的接触を確立するために、裏面エッチングを使用して裏面における導電性表面を露出させることができる。 In the exemplary embodiment shown in FIG. 1A, the surface of substrate holder 10 that is in physical contact with the backside of semiconductor wafer 50 is used as the second electric field annealer electrode. The surface of the substrate holder 10 is coated with a suitable electrically conductive material, such as a silicon-based, carbon-based, silicon and carbon composite-based, or metal nitride-based coating to provide suitable electrical conductivity for use as an electrode at annealing temperatures. surface can be obtained. The backside, and the portion of the semiconductor wafer 50 adjacent to the backside, which may be a conductive material such as n-type or p-type doped silicon or germanium, is in electrical contact with the surface of the substrate holder 10 . good too. In some embodiments, a backside etch can be used to expose a conductive surface on the backside in order to establish electrical contact between the backside of the semiconductor wafer 50 and the surface of the substrate holder 10 .

図1Aに概略的に示されるように、基板ホルダ10の表面、したがって、半導体ウェーハ50の裏面は、接地と呼ばれ、図1AではGNDと示されている基準電位に接続され得る。接地接続は、一次配線110と同様の二次配線113を使用して確立され得る。この実施形態では、二次配線113は、装置の主構造の導電性部分をシステム接地に接続する接地配線に電気的に接続されている。DC電源130の第2の端子もまた、半導体ウェーハ50にわたってバイアス電圧を印加するために接地(GND)に接続されている。当業者によって理解され、以下で更に説明されるように、DC電源の2つの端子間の電圧降下は、MOS誘電体層30に所望の極性及び所望の範囲内の電界強度を有する電界を達成するように調整され得る。様々な実施形態では、DC電源130は、1V~100V、及び一実施形態では3V~10Vなどの適切な電圧を供給するように構成され得る。 As shown schematically in FIG. 1A, the front surface of the substrate holder 10, and thus the back surface of the semiconductor wafer 50, can be connected to a reference potential called ground, indicated as GND in FIG. 1A. A ground connection may be established using secondary wiring 113 similar to primary wiring 110 . In this embodiment, secondary wiring 113 is electrically connected to the ground wiring that connects the conductive portion of the main structure of the device to system ground. A second terminal of DC power supply 130 is also connected to ground (GND) for applying a bias voltage across semiconductor wafer 50 . As understood by those skilled in the art and further described below, the voltage drop across the two terminals of the DC power supply achieves an electric field in the MOS dielectric layer 30 having the desired polarity and field strength within the desired range. can be adjusted to In various embodiments, DC power supply 130 may be configured to provide a suitable voltage, such as 1V-100V, and in one embodiment 3V-10V.

アニール中に印加されるバイアスは、固定電圧又は時変電圧であってもよく、その大きさ及び波形は、材料、層の厚さ、アニール条件、及び特定のデバイスアプリケーションに応じて大きく変動し得ることに留意されたい。上記のDCバイアス電圧は説明のみのためのものであり、制限的であると解釈されるべきではない。時変電圧波形には、パルスDC、交流パルス、正弦波、のこぎり波などを含み得る。印加されるバイアスは、共通接地電位、いくつかの他の固定基準電位、制御された可変基準電位、時変電位、又は浮動ノード電位を基準とすることができることに更に留意されたい。 The bias applied during annealing can be a fixed voltage or a time-varying voltage, the magnitude and waveform of which can vary widely depending on the material, layer thickness, annealing conditions, and specific device application. Please note that The above DC bias voltages are for illustration only and should not be construed as limiting. Time-varying voltage waveforms may include pulsed DC, alternating pulses, sine waves, sawtooth waves, and the like. It is further noted that the bias applied can be referenced to a common ground potential, some other fixed reference potential, a controlled variable reference potential, a time varying potential, or a floating node potential.

図1Aの実施形態は、処理チャンバ225内部の単一の半導体ウェーハ50を示しているが、ダミーウェーハを含む複数のウェーハが、適切に設計された処理チャンバ内に配置され得ることが理解されよう。図1Aの電界アニーラ電極及び電気接続は、単一のウェーハ処理用に構成されて示されている。しかしながら、電界アニーラの構成を変更して、半導体ウェーハのバッチをアニールしてもよい。バッチ処理に適した例示的な実施形態が、図1Bに示されている。 Although the embodiment of FIG. 1A shows a single semiconductor wafer 50 inside processing chamber 225, it will be appreciated that multiple wafers, including dummy wafers, may be placed within an appropriately designed processing chamber. . The electric field annealer electrodes and electrical connections of FIG. 1A are shown configured for single wafer processing. However, the configuration of the electric field annealer may be modified to anneal batches of semiconductor wafers. An exemplary embodiment suitable for batch processing is shown in FIG. 1B.

図1Bでは、複数の半導体ウェーハ50が、高温処理に対して影響を受けない絶縁体(例えば、セラミック絶縁体)を備えるスロット付き基板ホルダ14上に水平に積み重ねられている。絶縁材料は、基板ホルダ14が半導体ウェーハ50の導電性上面と裏面との間に電気的短絡を生じるのを防止する。積み重ねられたウェーハは、電界アニーラの処理チャンバ226内部にロードされて示されている。処理チャンバ226の内部には、2つの導電性バス、すなわち、スロット付き基板ホルダ14の上下にそれぞれ固定された、第1の導電性バス108及び第2の導電性バス109、が配置されている。処理チャンバ226内部の温度は、熱処理システム236によって制御され得る。 In FIG. 1B, a plurality of semiconductor wafers 50 are stacked horizontally on a slotted substrate holder 14 comprising an insulator (eg, a ceramic insulator) that is immune to high temperature processing. The insulating material prevents the substrate holder 14 from creating an electrical short between the conductive top and back sides of the semiconductor wafer 50 . The stacked wafers are shown loaded inside the processing chamber 226 of the electric field annealer. Located inside the processing chamber 226 are two conductive buses, a first conductive bus 108 and a second conductive bus 109, secured above and below the slotted substrate holder 14, respectively. . The temperature inside processing chamber 226 may be controlled by thermal processing system 236 .

各ウェーハの導電性上面は、図1Aの一次電極211と同様の一次電極215によって、第1の導電性バス108に電気的に接続されて示されている。図1Bに示されるように、第1の導電性バス108と一次電極215との間の接続は、スロット付き基板ホルダ14の開口部を通過する接続配線を使用して確立され得る。この実施形態では、第1の電界アニーラ電極は、一次電極215及び第1の導電性バス108を含む。第1の電界アニーラ電極は、図1Aと同様に、一次配線110を使用してDC電源130に接続されている。各ウェーハの導電性裏面は、二次電極216及び接続配線(上面と同様)を使用して、第2の導電性バス109に接続され得る。この実施形態では、二次電極216及び第2の導電性バス109を含む第2の電界アニーラ電極は、二次配線114を使用してGNDに接続されている。ウェーハの上面の電位は、図1Bに示されるように、監視配線112を使用して第1の導電性バス108を電圧計150に接続することによって、監視することができる。 The conductive top surface of each wafer is shown electrically connected to the first conductive bus 108 by a primary electrode 215 similar to primary electrode 211 of FIG. 1A. As shown in FIG. 1B, connections between the first conductive busses 108 and the primary electrodes 215 can be established using connecting wires that pass through openings in the slotted substrate holder 14 . In this embodiment, the first electric field annealer electrode includes primary electrode 215 and first conductive bus 108 . The first field annealer electrode is connected to a DC power supply 130 using primary wiring 110, similar to FIG. 1A. The conductive backside of each wafer can be connected to the second conductive bus 109 using secondary electrodes 216 and connecting wires (similar to the topside). In this embodiment, the second field annealer electrodes, including secondary electrode 216 and second conductive bus 109 are connected to GND using secondary trace 114 . The potential on the top surface of the wafer can be monitored by connecting the first conductive bus 108 to a voltmeter 150 using a monitor wire 112, as shown in FIG. 1B.

図1Bを参照して上述された電界アニーラは、水平スタックに配置されたウェーハをバッチ処理するのに適している。処理チャンバ226の設計では、同様の電界アニーラを提供するように修正されてもよく、半導体ウェーハ50は、水平に積み重ねられる代わりに、垂直に積み重ねられてもよい。 The electric field annealer described above with reference to FIG. 1B is suitable for batch processing wafers arranged in horizontal stacks. The design of processing chamber 226 may be modified to provide a similar electric field annealer, and semiconductor wafers 50 may be stacked vertically instead of horizontally.

電界アニール中に処理チャンバ内の半導体ウェーハにバイアスをかける電気的接続を行うために、様々な構成が可能である。これらの可能性のいくつかを説明するいくつかの実施形態を、以下に更に説明する。 Various configurations are possible for making electrical connections that bias a semiconductor wafer in a processing chamber during electric field anneals. Several embodiments illustrating some of these possibilities are further described below.

図2は、本発明の実施形態による、電界アニーラのロードレール100の斜視図を示している。ロードレール100を使用して、電界アニーラの処理チャンバ225内にウェーハを導入することができる。ウェーハは、最初にロードレールステージに取り付けられた基板ホルダのスロット内にロードされる(図2)。次いで、電極が、ウェーハ/各ウェーハに対して適切な電気的接触を行うように配置される。次に、ロードレールステージを使用して、基板ホルダ内のウェーハをオーブンの加熱ゾーン内に配置する。 FIG. 2 shows a perspective view of an electric field annealer load rail 100, in accordance with an embodiment of the present invention. The load rail 100 can be used to introduce the wafer into the processing chamber 225 of the electric field annealer. The wafer is first loaded into the slot of the substrate holder attached to the load rail stage (Fig. 2). Electrodes are then positioned to make proper electrical contact to the/each wafer. A load rail stage is then used to position the wafer in the substrate holder into the heating zone of the oven.

図2では、2つの配線115(図1Aの一次配線110及び監視配線112と同様)が、(図2の破線の円で示されている)領域B1までつながるように示されている。領域B1は、半導体ウェーハ50の導電性上部電極層40に接触する2つのタングステンリボンを備える第1の電界アニーラ電極210を含む。上記のように、リボン形状は、アニールプロセス中に半導体ウェーハ50との良好な物理的接続を維持するのに役立つ。第1の電界アニーラ電極210は、露出した金属(例えば、露出したタングステン)である2つの配線115の一部に取り付けられている。2つの配線115の他の一部は、絶縁材料、例えば絶縁セラミックビーズによって、装置の他の導電性部分から電気的に絶縁されている。2つの配線115の絶縁された部分は、絶縁された導電性配線310と呼ばれる。図3は、図2の破線の円で示される領域D1の拡大斜視図における、(例えば、セラミックビーズを使用して絶縁された)絶縁された導電性配線310を示している。 In FIG. 2, two wires 115 (similar to primary wire 110 and monitor wire 112 in FIG. 1A) are shown leading to region B1 (indicated by the dashed circle in FIG. 2). Region B1 includes a first field annealer electrode 210 comprising two tungsten ribbons in contact with conductive top electrode layer 40 of semiconductor wafer 50 . As noted above, the ribbon shape helps maintain good physical contact with the semiconductor wafer 50 during the annealing process. A first field annealer electrode 210 is attached to a portion of two traces 115 that are exposed metal (eg, exposed tungsten). Other portions of the two wires 115 are electrically isolated from other conductive portions of the device by an insulating material, such as insulating ceramic beads. The insulated portion of the two traces 115 is called the insulated conductive trace 310 . FIG. 3 shows an insulated conductive trace 310 (eg, insulated using ceramic beads) in an enlarged perspective view of region D1 indicated by the dashed circle in FIG.

上記のように、2つの配線115のうちの最初の配線は、(図2に示される)電力フィードスルー120を通過し、誘電体層、例えば、半導体ウェーハ50のMOS誘電体層30に電界を提供するために使用されるDC電源130に接続され得る。図2に概略的に示されるように、2つの配線115のうちの他方の配線(図1Aの監視配線112と同様)は、半導体ウェーハ50の導電性上部電極層40の電位を監視するために、一方の端部において第1の電界アニーラ電極210に接続され得、反対側の端部において電圧計150に接続され得る。半導体ウェーハ50の裏面と接触している基板ホルダ(例えば、図1Aの基板ホルダ10)を含む、装置の主構造の導電性部分は、接地配線140によって接地GNDに接続されている。半導体ウェーハ50の基板ホルダは、領域B1(図2の破線の円で示されている)の拡大斜視図を示す図5Aを参照して、以下で更に説明される。 As noted above, the first of the two wires 115 passes through a power feedthrough 120 (shown in FIG. 2) to apply an electric field to a dielectric layer, such as the MOS dielectric layer 30 of the semiconductor wafer 50. It may be connected to a DC power supply 130 used to provide power. As shown schematically in FIG. 2, the other of the two wires 115 (similar to the monitor wire 112 of FIG. 1A) is for monitoring the potential of the conductive top electrode layer 40 of the semiconductor wafer 50. , may be connected to the first electric field annealer electrode 210 at one end and to the voltmeter 150 at the opposite end. Conductive portions of the main structure of the device, including the substrate holder (eg, substrate holder 10 of FIG. 1A) in contact with the backside of semiconductor wafer 50, are connected to ground GND by ground trace 140. FIG. The substrate holder for semiconductor wafer 50 is further described below with reference to FIG. 5A, which shows an enlarged perspective view of area B1 (indicated by the dashed circle in FIG. 2).

図2で矢印Cにより示される、異なる角度からのロードレール100の斜視図が、図4に示されている。図4は、2つのそれぞれの開口部を通過する2つのそれぞれの絶縁された導電性配線310からセラミックビーズを除去することによって露出された、2つの配線115の導体を示している。2つの配線115は、半導体ウェーハ50の上面と接触している第1の電界アニーラ電極210の2つのタングステンリボンに接続する。図4のこれらの2つの配線115は、第1の電界アニーラ電極210からDC電源130及び電圧計150にそれぞれ延びる、図2に示されたものと同じ配線である。図4の斜視図では、第1の電界アニーラ電極210は、(破線の円で示される)領域C1に配置されている。図2の斜視図では、第1の電界アニーラ電極210が、領域B1に配置されている。 A perspective view of the loadrail 100 from a different angle, indicated by arrow C in FIG. 2, is shown in FIG. FIG. 4 shows the conductors of the two wires 115 exposed by removing the ceramic beads from the two respective insulated conductive wires 310 passing through the two respective openings. Two wires 115 connect to two tungsten ribbons of the first field annealer electrode 210 that are in contact with the top surface of the semiconductor wafer 50 . These two wires 115 in FIG. 4 are the same wires shown in FIG. 2 extending from the first field annealer electrode 210 to the DC power supply 130 and the voltmeter 150 respectively. In the perspective view of FIG. 4, the first electric field annealer electrode 210 is located in region C1 (indicated by the dashed circle). In the perspective view of FIG. 2, the first electric field annealer electrode 210 is placed in region B1.

図2の領域B1及び図4のC1はそれぞれ、図5A及び図5Bに示される拡大斜視図においてより詳細に示されている。図5Aの斜視図は、2つの配線115のうちの1つと第1の電界アニーラ電極210との間の接続をより明確に示している。図5Bの斜視図が示される角度は、半導体ウェーハ50の導電性上部電極層40と物理的に接触している第1の電界アニーラ電極210のタングステンリボンのより明確な図を提供している。図5A及び図5Bの半導体ウェーハ50は、支持プレート230によって下部から支持されて示されている。支持プレート230は、図2及び図3に示されるスロット付き基板ホルダの一部であり、また、図1Aの基板ホルダ10の例示的な実施形態であり得る。支持プレート230の表面は、例えばステンレス鋼を含む金属製であってもよく、半導体ウェーハ50の導電性裏面と物理的及び電気的に接触し得る。一実施形態では、支持プレート230は、リングの形態であり得る。リング形状はウェーハの外径を支持するが、裏面の大部分を熱源に露出する。支持プレート230は、接地GNDに接続された導電性材料を含み得る。 Regions B1 of FIG. 2 and C1 of FIG. 4 are shown in greater detail in the enlarged perspective views shown in FIGS. 5A and 5B, respectively. The perspective view of FIG. 5A more clearly shows the connection between one of the two wires 115 and the first field annealer electrode 210. FIG. The angle at which the perspective view of FIG. 5B is shown provides a clearer view of the tungsten ribbons of first field annealer electrode 210 in physical contact with conductive top electrode layer 40 of semiconductor wafer 50 . Semiconductor wafer 50 in FIGS. 5A and 5B is shown supported from below by support plate 230 . Support plate 230 is part of the slotted substrate holder shown in FIGS. 2 and 3 and can also be an exemplary embodiment of substrate holder 10 of FIG. 1A. The surface of support plate 230 may be metallic, including, for example, stainless steel, and may be in physical and electrical contact with the conductive backside of semiconductor wafer 50 . In one embodiment, support plate 230 may be in the form of a ring. The ring shape supports the outer diameter of the wafer but exposes most of the backside to the heat source. Support plate 230 may include a conductive material connected to ground GND.

図5Aは、アニール中に半導体ウェーハ50の表面にわたるより均一な温度プロファイルを達成するのに役立つ、いくつかの任意選択のバッファウェーハ240を示している。任意選択のバッファウェーハ240は、明確にするために図4及び5Bには示されていない。図5Bに示されるように、絶縁セラミックタブ250は、半導体ウェーハ50と電界アニーラの導電性表面との間に望ましくない電気的短絡が誤って生じる可能性を低減するために、半導体ウェーハ50及び支持プレート230のエッジに近いキャリアレールに沿って配置され得る。 FIG. 5A shows some optional buffer wafers 240 that help achieve a more uniform temperature profile across the surface of semiconductor wafer 50 during annealing. Optional buffer wafer 240 is not shown in FIGS. 4 and 5B for clarity. As shown in FIG. 5B, an insulating ceramic tab 250 is formed between the semiconductor wafer 50 and the support to reduce the possibility of inadvertently creating an undesirable electrical short between the semiconductor wafer 50 and the conductive surface of the electric field annealer. It can be arranged along a carrier rail near the edge of plate 230 .

電界PDA中にDC電源130が設定され得るDCバイアス電圧は、一般に、電界PDAが実行されているターゲット誘電体層(例えば、図1AのMOS誘電体層30)の厚さtOXだけでなく、以下に説明されるように、導電性上部電極層40で使用される材料などの他の層の特性、及びターゲット誘電体層の下の層の材料、厚さ、及び特性にも依存する。いくつかの実施形態では、DC電源130のDCバイアス電圧は、電界アニール中に一定に保たれるように制御され得る。 The DC bias voltage to which the DC power supply 130 can be set during the electric field PDA generally depends not only on the thickness t OX of the target dielectric layer (eg, the MOS dielectric layer 30 of FIG. 1A) on which the electric field PDA is performed, but also on It also depends on the properties of the other layers, such as the material used in the conductive top electrode layer 40, and the materials, thicknesses, and properties of the layers below the target dielectric layer, as explained below. In some embodiments, the DC bias voltage of DC power supply 130 may be controlled to remain constant during field anneal.

図6A及び図6Bはそれぞれ、平面バルクCMOSフロー及び平面SOI CMOSフローの電界アニールステップにおける半導体ウェーハ50の断面図を示している。図6A及び図6Bに示される例示的な実施形態での電界アニールステップは、導電性上部電極層40がMOS誘電体層30の上に形成された後に実行される電界強誘電アニール、FEAである。導電性上部電極層40は、FE-FET/SSFEFET又は強誘電性MOSコンデンサのゲート電極として使用することができ、TiN、TaN、W、金属合金などの1つ以上の導電性材料を含み得る。 6A and 6B show cross-sectional views of semiconductor wafer 50 during the electric field annealing step of planar bulk CMOS and planar SOI CMOS flows, respectively. The electric field anneal step in the exemplary embodiment shown in FIGS. 6A and 6B is an electric field ferroelectric anneal, FEA, performed after the conductive top electrode layer 40 is formed over the MOS dielectric layer 30. . Conductive top electrode layer 40 can be used as the gate electrode of a FE-FET/SSFEFET or a ferroelectric MOS capacitor, and can include one or more conductive materials such as TiN, TaN, W, metal alloys, and the like.

図6A及び図6Bでは、ゲートファーストプロセス統合法を使用して、MOS誘電体層30を使用する強誘電体構成要素(例えば、FE-FET/SSFEFET、及び強誘電体MOSコンデンサ)を製造することができる。しかしながら、当業者であれば、これらの実施形態の革新的な態様は、ゲートラスト(又は置換ゲート)プロセス統合法を使用して製造されたそれぞれの強誘電体構成要素に適用可能であることが理解されよう。 6A and 6B, fabricating ferroelectric components (eg, FE-FET/SSFEFETs and ferroelectric MOS capacitors) using MOS dielectric layer 30 using gate-first process integration. can be done. However, those skilled in the art will appreciate that the innovative aspects of these embodiments are applicable to each ferroelectric component fabricated using gate-last (or replacement-gate) process integration methods. be understood.

図6A及び図6Bに示される例示的な実施形態では、MOS誘電体層30は、ドープされたアモルファス酸化ハフニウム膜、及び半導体(例えば、シリコン)の表面に隣接する界面誘電体膜(例えば、酸化ケイ素)を含む。MOS誘電体層30の厚さtOXは、アプリケーションに依存し、約1nmから約100nmまで変動し得る。アニール温度は、アニール中に、アモルファス酸化ハフニウムが結晶化して多結晶酸化ハフニウム膜を形成するように調整され得る。例えば、電界FEAは、例えば低圧での不活性ガス環境においで、約200℃~約1200℃の温度で実行され得る。200℃未満の温度は、アモルファス層の結晶化には不十分な場合があり、1200℃超の温度は、より初期の処理ステップ中に形成された他の層の特性を変化させる場合がある。酸化ハフニウムの斜方晶相は強誘電性であるが、純粋なHfOでは斜方晶相が不安定であるため、純粋なアモルファスHfOは、自然に単晶相又は立方晶相の結晶粒に変換され得る。しかしながら、当業者には既知であるように、HfOの斜方晶相は、ジルコニウム、シリコン、又はランタン原子などの特定のドーパント原子によって安定化され得る。したがって、MOS誘電体層30にドープされたアモルファス酸化ハフニウム膜が結晶化するにつれて、HfOの斜方晶相が形成され、強誘電性である準安定斜方晶相内のドーパントによって安定化され得る。電界FEA中の電界強度は、1MV/cm~約100MV/cmに調整され得る。電界が過度に低いと、ウェイクアップサイクリングを低減/排除する際に十分な利点を提供できない場合があるが、電界が過度に高いと、MOS誘電体層30を損傷し及び/又はその寿命を低下させたりする場合がある。以下で更に説明するように、MOS誘電体層30に所望の範囲の電界を提供するためのDC電源130のそれぞれのDCバイアス電圧設定は、プロセスフローがバルクCMOS又はSOI CMOSのどちらの製造用であるかに依存する。 In the exemplary embodiment shown in FIGS. 6A and 6B, MOS dielectric layer 30 comprises a doped amorphous hafnium oxide film and an interfacial dielectric film (e.g. oxide) adjacent to the surface of the semiconductor (e.g. silicon). silicon). The thickness t OX of MOS dielectric layer 30 depends on the application and can vary from about 1 nm to about 100 nm. The annealing temperature can be adjusted so that the amorphous hafnium oxide crystallizes during annealing to form a polycrystalline hafnium oxide film. For example, electric field FEA can be performed at temperatures from about 200° C. to about 1200° C., eg, in an inert gas environment at low pressure. Temperatures below 200° C. may be insufficient to crystallize the amorphous layer, and temperatures above 1200° C. may change the properties of other layers formed during earlier processing steps. The orthorhombic phase of hafnium oxide is ferroelectric, but the orthorhombic phase is unstable in pure HfO2, so pure amorphous HfO2 naturally exhibits single crystal or cubic phase grains. can be converted to However, as known to those skilled in the art, the orthorhombic phase of HfO2 can be stabilized by certain dopant atoms such as zirconium, silicon, or lanthanum atoms. Therefore, as the amorphous hafnium oxide film doped in the MOS dielectric layer 30 crystallizes, an orthorhombic phase of HfO 2 forms and is stabilized by dopants within the metastable orthorhombic phase, which is ferroelectric. obtain. The electric field strength during electric field FEA can be adjusted from 1 MV/cm to about 100 MV/cm. An electric field that is too low may not provide sufficient benefit in reducing/eliminating wakeup cycling, while an electric field that is too high damages and/or reduces the lifetime of the MOS dielectric layer 30. may be allowed to do so. As will be further described below, the respective DC bias voltage settings of DC power supply 130 to provide the desired range of electric fields in MOS dielectric layer 30 are dependent on whether the process flow is for bulk CMOS or SOI CMOS fabrication. depends on what.

図6A~図6Cでは、その上に強誘電体構成要素に対して固有の層が形成される半導体ウェーハ50の層は、集合的に、基板20と呼ばれる。したがって、図6A及び図6Bに示される、平面FE-FET/SSFEFET又は強誘電性MOSコンデンサの場合、基板20は、MOS誘電体層30を形成する前に形成された全ての層を含む。図6Cに示されるMFM強誘電体コンデンサの場合、基板20は、MFM導電性下部電極層45を形成する前に形成された全ての層を含む。 6A-6C, the layers of semiconductor wafer 50 upon which the layers specific for ferroelectric components are formed are collectively referred to as substrate 20. FIG. Thus, for a planar FE-FET/SSFEFET or ferroelectric MOS capacitor, shown in FIGS. 6A and 6B, substrate 20 includes all layers formed prior to forming MOS dielectric layer 30. FIG. For the MFM ferroelectric capacitor shown in FIG. 6C, substrate 20 includes all layers formed prior to forming MFM conductive bottom electrode layer 45 .

平面FE-FET/SSFEFET又は強誘電体MOSコンデンサの場合、基板20は、第1の導電性型(例えば、p型)の第1の半導体領域21、第2の導電性型(例えば、n型)の第2の半導体領域22、及び隣接する電子構成要素を電気的に絶縁するのに役立つシャロートレンチ分離(STI)領域25と呼ばれる絶縁領域を備える。電子構成要素は、2つの半導体領域(第1の半導体領域21及び第2の半導体領域22)のいずれかにあり得る。当業者には既知であるように、第1の半導体領域21及び第2の半導体領域22上の導電性上部電極層40は、同じプロセスによって形成された同じ材料を含み得るか、又は別個のプロセスによって形成された異なる材料を含み得る。別個のプロセスを使用する場合、適切な領域をマスク及び露出するために、様々なマスキングステップが使用され得る。 In the case of a planar FE-FET/SSFEFET or a ferroelectric MOS capacitor, the substrate 20 comprises a first semiconductor region 21 of a first conductivity type (eg p-type), a second conductivity type (eg n-type). ) and isolation regions called shallow trench isolation (STI) regions 25 that serve to electrically isolate adjacent electronic components. The electronic components can be in either of two semiconductor regions (first semiconductor region 21 and second semiconductor region 22). As known to those skilled in the art, the conductive top electrode layer 40 over the first semiconductor region 21 and the second semiconductor region 22 may comprise the same material formed by the same process or may be formed by separate processes. may include different materials formed by When using separate processes, various masking steps can be used to mask and expose the appropriate areas.

図6Aに示されるように、バルクCMOSでは、第1の導電性型の第1の半導体領域21は、半導体ウェーハ50の裏面までずっと延び、第2の導電性型の第2の半導体領域22は、深さまで延びて、第1の半導体領域21とp-n接合を形成する。p-n接合は、一般に、nウェルpウェル間接合と呼ばれる。SOI CMOSでは、第1の半導体領域21、第2の半導体領域22、及びSTI領域25は、図6Bに示されるように、例えば、酸化ケイ素を含む埋め込み酸化物(BOX)層15と呼ばれる絶縁領域によって下で終端される。BOX層15を有する半導体ウェーハは、当業者には既知であるように、酸素注入による分離(SIMOX)プロセス、ウェーハボンディングプロセス、例えばスマートカット技術などの、いくつかの方法を使用して製造され得る。BOX層15の下のドープされた半導体領域12は、半導体ウェーハ50の裏面までずっと延びている。 As shown in FIG. 6A, in bulk CMOS, a first semiconductor region 21 of a first conductivity type extends all the way to the backside of a semiconductor wafer 50 and a second semiconductor region 22 of a second conductivity type extends all the way to the backside of the semiconductor wafer 50. , extends to a depth to form a pn junction with the first semiconductor region 21 . A pn junction is commonly referred to as an n-well to p-well junction. In SOI CMOS, the first semiconductor region 21, the second semiconductor region 22, and the STI region 25 are an insulating region called a buried oxide (BOX) layer 15 comprising, for example, silicon oxide, as shown in FIG. 6B. terminated below by A semiconductor wafer having a BOX layer 15 can be manufactured using several methods, such as a separation by oxygen implantation (SIMOX) process, a wafer bonding process, e.g. Smart Cut technology, as known to those skilled in the art. . Doped semiconductor region 12 under BOX layer 15 extends all the way to the backside of semiconductor wafer 50 .

図1A及び図2を参照して上述されたように、半導体ウェーハ50の裏面及びDC電源130の第2の端子は、接地GNDに接続され、DC電源の第1の端子は、一次配線110を使用して、第1の電界アニーラ電極の一次電極211に接続される。(簡単にするために、監視電極212及び監視配線112は、図6A~図6Cには示されていない。)図6A及び図6Bに示される一次電極211は、図1Aの断面図並びに図5A及び図5Bの詳細な斜視図と同様に、導電性上部電極層40と物理的及び電気的に接触している。したがって、DC電源130によって供給される全DCバイアス電圧は、導電性上部電極層40及び半導体ウェーハ50の裏面にわたって印加される。 As described above with reference to FIGS. 1A and 2, the backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to ground GND, and the first terminal of the DC power supply is connected to the primary wiring 110. is used to connect to the primary electrode 211 of the first electric field annealer electrode. (For simplicity, monitoring electrode 212 and monitoring wiring 112 are not shown in FIGS. 6A-6C.) Primary electrode 211 shown in FIGS. and in physical and electrical contact with the conductive top electrode layer 40, similar to the detailed perspective view of FIG. 5B. Thus, the entire DC bias voltage supplied by DC power supply 130 is applied across conductive top electrode layer 40 and the backside of semiconductor wafer 50 .

再び図6Aを参照すると、バルクCMOSでは、第1の半導体領域21において、MOS誘電体層30の半導体側における電位は、半導体ウェーハ50の裏面の電位とほぼ同じである。したがって、MOS誘電体層30にわたる電圧降下は、DC電源130により供給されるDCバイアス電圧、及びこの領域の上の第1の半導体領域21と導電性上部電極層40との間の仕事関数差によって決定される。しかしながら、第2の半導体領域22では、nウェルpウェル間接合にわたる電圧降下を、MOS誘電体層30の半導体側における電位の決定する際に、したがって、MOS誘電体層30にわたる電圧降下を決定する際に、含める必要がある。したがって、p-n接合が順方向にバイアスされるようにDC電源130によって供給されるDCバイアス電圧の極性を選択することによって、nウェルpウェル間接合にわたる電圧降下を最小化することが有利であり得る。一実施形態では、電界FEA中のDC電源130のDCバイアス電圧設定は、MOS誘電体層30の約10nmのtOX値に対して約3V~約10Vであり得る。DCバイアス電圧は、材料、層の厚さ、及びアニール条件によって大きく変動し得る。上記の値は説明のみのためのものであり、制限的であると解釈されるべきではない。 Referring again to FIG. 6A, in bulk CMOS, the potential on the semiconductor side of MOS dielectric layer 30 is approximately the same as the potential on the backside of semiconductor wafer 50 in first semiconductor region 21 . Therefore, the voltage drop across MOS dielectric layer 30 is due to the DC bias voltage supplied by DC power supply 130 and the work function difference between first semiconductor region 21 and conductive top electrode layer 40 above this region. It is determined. However, in the second semiconductor region 22, the voltage drop across the n-well/p-well junction determines the voltage drop across the MOS dielectric layer 30 in determining the potential on the semiconductor side of the MOS dielectric layer 30. should be included when Therefore, it is advantageous to minimize the voltage drop across the n-well to p-well junction by selecting the polarity of the DC bias voltage supplied by DC power supply 130 such that the pn junction is forward biased. could be. In one embodiment, the DC bias voltage setting of DC power supply 130 during electric field FEA may be between about 3V and about 10V for a t OX value of about 10 nm for MOS dielectric layer 30 . The DC bias voltage can vary greatly with materials, layer thicknesses, and annealing conditions. The above values are for illustration only and should not be construed as limiting.

図6Bを参照すると、SOI CMOSでは、DC電源130によって供給されるDCバイアス電圧のかなりの部分が、MOS誘電体層30及びBOX層15の厚さの比率及び誘電率の比率に応じて、BOX層15にわたって降下し得る。したがって、SOI CMOSプロセスフローの電界FEAに使用されるDCバイアス電圧は、バルクCMOSプロセスフローの対応する値に対して増大させる必要があり得る。 Referring to FIG. 6B, in SOI CMOS, a significant portion of the DC bias voltage supplied by DC power supply 130 depends on the thickness ratio and dielectric constant ratio of MOS dielectric layer 30 and BOX layer 15 to BOX It can descend over layer 15 . Therefore, the DC bias voltage used for electric field FEA in SOI CMOS process flows may need to be increased relative to corresponding values in bulk CMOS process flows.

比較的高度なCMOS ICは、FinFET構造と呼ばれる3次元MOS構造を使用する場合があり、これは一般に、ゲート及びゲート誘電体が、半導体基板から突出した薄くて長い半導体フィンの3つの側面を包み込んでいる。図6A及び図6Bに示される平面MOS構造を参照して説明される電界FEA中のFE-FET/SSFEFET及びMOS強誘電体コンデンサへの電気的接続は、当業者であれば、それぞれのFinFET構造の電界FEAを実行するために適合され得る。 More advanced CMOS ICs may use a three-dimensional MOS structure, called a FinFET structure, in which a gate and gate dielectric generally wrap around three sides of a thin, long semiconductor fin protruding from a semiconductor substrate. I'm in. The electrical connections to the FE-FET/SSFEFET and MOS ferroelectric capacitor during electric field FEA described with reference to the planar MOS structure shown in FIGS. can be adapted to perform field FEA of

図6Cは、MFM強誘電体コンデンサの製造を含むプロセスフローで実行される電界FEAステップを示している。図6CでのMFM強誘電体コンデンサ構造は、導電性上部電極層40と導電性下部電極層45との間に挟まれた、ドープされた酸化ハフニウムベースの強誘電性誘電体層35を備える。導電性上部電極層40と接触して示されている一次電極211は、一次配線110を使用して、DC電源130(図示せず)の第1の端子に接続されている。図6A及び図6Bの半導体ウェーハ50の場合と同様に、半導体ウェーハ50の裏面及びDC電源130の第2の端子は、GNDに接続されている。しかしながら、以下に説明するように、導電性下部電極層45が、基板20内の誘電体層の過度に高い累積厚さによって、図6Cの半導体ウェーハ50の裏面GND接続から効果的に電気的に絶縁された場合、これらの接続だけでは、MFMコンデンサの強誘電性誘電体層35に十分に高い電界を生成するのに十分ではない場合がある。 FIG. 6C shows an electric field FEA step performed in a process flow involving the fabrication of MFM ferroelectric capacitors. The MFM ferroelectric capacitor structure in FIG. 6C comprises a doped hafnium oxide based ferroelectric dielectric layer 35 sandwiched between a conductive top electrode layer 40 and a conductive bottom electrode layer 45 . Primary electrode 211 , shown in contact with conductive top electrode layer 40 , is connected to a first terminal of DC power supply 130 (not shown) using primary wiring 110 . As with the semiconductor wafer 50 of FIGS. 6A and 6B, the backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to GND. However, as will be explained below, the excessively high cumulative thickness of the dielectric layers within substrate 20 effectively prevents conductive bottom electrode layer 45 from being electrically disconnected from the backside GND connection of semiconductor wafer 50 of FIG. 6C. If isolated, these connections alone may not be sufficient to produce a sufficiently high electric field in the ferroelectric dielectric layer 35 of the MFM capacitor.

導電性下部電極層45を含むMFMコンデンサ層は、一般に、IC製造フローのバックエンドオブライン(BEOL)中に形成される。図6Cの基板20は、導電性下部電極層45の下に形成された全ての層を備えるため、導電性半導体の上に物理的に配置された比較的厚い層間誘電体(ILD)層及び金属間誘電体(IMD)層、並びにMOSFETのゲート層を含み得る。したがって、導電性下部電極層45が、図6Cに示される製造の中間段階において、ビア及び接点によって、導電性半導体及びMOSFETのゲート層に接続されていない限り、半導体ウェーハ50の裏面と導電性下部電極層45との間の電気的結合は、MFMコンデンサの強誘電性誘電体層35に十分に高い電界を生成するには弱すぎる場合がある。そのような実施形態では、半導体ウェーハ50の裏面と電気的に接触している基板ホルダ、例えば、図1Aの基板ホルダ10又は図5Aの支持プレート230は、有効な第2の電界アニーラ電極ではない場合がある。そのような場合、図6Cを参照して以下に説明するように、追加の処理を使用して、効果的な第2の電界アニーラ電極接続を作成することができる。 The MFM capacitor layers, including the conductive bottom electrode layer 45, are generally formed during the Back End of Line (BEOL) of the IC manufacturing flow. Since the substrate 20 of FIG. 6C comprises all layers formed below the conductive bottom electrode layer 45, a relatively thick interlevel dielectric (ILD) layer and metal physically located over the conductive semiconductor. It may include inter-dielectric (IMD) layers, as well as gate layers of MOSFETs. Therefore, unless the conductive bottom electrode layer 45 is connected to the conductive semiconductor and the gate layer of the MOSFET by vias and contacts in the intermediate stages of fabrication shown in FIG. The electrical coupling between the electrode layer 45 may be too weak to generate a sufficiently high electric field in the ferroelectric dielectric layer 35 of the MFM capacitor. In such embodiments, the substrate holder that is in electrical contact with the backside of the semiconductor wafer 50, such as the substrate holder 10 of FIG. 1A or the support plate 230 of FIG. 5A, is not an effective second electric field annealer electrode. Sometimes. In such cases, additional processing can be used to create an effective second field annealer electrode connection, as described below with reference to FIG. 6C.

電界FEAが望まれるプロセスフローの中間段階において、導電性下部電極層45が半導体ウェーハ50の裏面から電気的に分離されるIC設計では、図6Cに示されるように、マスキングステップを使用して、MFMコンデンサの強誘電性誘電体層35及び導電性上部電極層40をパターン化して、導電性下部電極層45の一部を露出させることができる。導電性下部電極層45の露出領域は、例えば、半導体ウェーハ50のエッジに沿ったリングの形状であってもよい。(図1Aの断面図並びに図5A及び図5Bの詳細な斜視図に示されている第1の電界アニーラ電極210の電極と構造が類似している)追加の二次電極214を、導電性下部電極層45の露出部分と物理的及び電気的に接触して配置することができる。導電性下部電極層45への直接電気接続である二次電極214は、効果的な第2の電界アニーラ電極接続であり得る。図6Cに示されるように、追加の二次電極214は、二次配線114(一次配線110と同様)を使用してGNDに接続され得る。したがって、DCバイアス電圧全体が、MFMコンデンサの強誘電性誘電体層35にわたって降下する。一実施形態では、電界FEA中のDC電源130用のDCバイアス電圧設定は、MFMコンデンサの強誘電性誘電体層35に対する約10nmのtOX値に対して約3V~約10Vであり得る。別の実施形態では、DCバイアス電圧設定は、約0.5V~約3Vであり得る。 In IC designs where the conductive bottom electrode layer 45 is electrically isolated from the backside of the semiconductor wafer 50 at intermediate stages in the process flow where electric field FEA is desired, a masking step may be used, as shown in FIG. The ferroelectric dielectric layer 35 and the conductive top electrode layer 40 of the MFM capacitor can be patterned to expose a portion of the conductive bottom electrode layer 45 . The exposed area of conductive bottom electrode layer 45 may be, for example, in the shape of a ring along the edge of semiconductor wafer 50 . An additional secondary electrode 214 (similar in construction to the electrode of the first field annealer electrode 210 shown in the cross-sectional view of FIG. 1A and the detailed perspective views of FIGS. 5A and 5B) It can be placed in physical and electrical contact with the exposed portions of electrode layer 45 . Secondary electrode 214, which is a direct electrical connection to conductive bottom electrode layer 45, can be effectively a second field annealer electrode connection. As shown in FIG. 6C, additional secondary electrodes 214 may be connected to GND using secondary traces 114 (similar to primary traces 110). The overall DC bias voltage is therefore dropped across the ferroelectric dielectric layer 35 of the MFM capacitor. In one embodiment, the DC bias voltage setting for the DC power supply 130 during electric field FEA may be from about 3V to about 10V for a t OX value of about 10 nm for the ferroelectric dielectric layer 35 of the MFM capacitor. In another embodiment, the DC bias voltage setting can be from about 0.5V to about 3V.

電界アニールの実施形態の説明では、DC電圧を半導体ウェーハ50に印加することに言及しているが、様々な実施形態では、印加されたバイアス電圧は、アニール中にパルス化、サイクル化、又は交互にされてもよい。いくつかの実施形態では、DCバイアス電圧は、強誘電性誘電体層にわたって所望のバイアス電圧を提供するために、GND以外の固定又は可変電位に対して設定され得る。例えば、電界を生成する全ての電極が接地電位に接続されなくてもよく、又は電極のうちの1つが浮遊電位ノードに接続されてもよい。 Although the description of the electric field anneal embodiments refers to applying a DC voltage to the semiconductor wafer 50, in various embodiments the applied bias voltage is pulsed, cycled, or alternated during annealing. may be made In some embodiments, the DC bias voltage can be set to a fixed or variable potential other than GND to provide the desired bias voltage across the ferroelectric dielectric layer. For example, not all the electrodes generating the electric field may be connected to ground potential, or one of the electrodes may be connected to a floating potential node.

上記の電界アニールを実行するように電界アニールを構成するためには、様々な方法が存在し得る。電界アニーラ構成の様々な実施形態を、図7A~図7B、図8A~図8D、図9、及び図10A~図10Cを参照して説明する。 Various methods may exist for configuring the electric field anneal to perform the electric field anneal described above. Various embodiments of electric field annealer configurations are described with reference to FIGS. 7A-7B, 8A-8D, 9, and 10A-10C.

図7A及び図7Bは、伝導熱伝達を使用して半導体ウェーハ50を加熱する電界アニーラ構成を示している。伝導熱伝達は、半導体ウェーハ50と直接接触している加熱された本体を使用して達成される。利用され得る伝導熱伝達の方法には、熱源としてのホットプレート、例えば、セラミックホットプレート、金属ホットプレートなどを含む。 7A and 7B illustrate an electric field annealer configuration that heats semiconductor wafer 50 using conductive heat transfer. Conductive heat transfer is accomplished using a heated body in direct contact with semiconductor wafer 50 . Methods of conductive heat transfer that may be utilized include hotplates as the heat source, such as ceramic hotplates, metal hotplates, and the like.

基板20、基板20の上に形成されたMOS誘電体層30、及びMOS誘電体層30上に形成された導電性上部電極層40を含む半導体ウェーハ50は、図1Aを参照して上述された構成と同様に、処理チャンバ225内に配置される。図7A及び図7Bでは、半導体ウェーハ50は、基板ホルダの一部でもあるホットプレート熱源上に配置されている。DC電源130及び電圧計150は、上記の図1Aに示される接続と同様に、一次電極211及び監視電極212を使用して導電性上部電極層40に接続されている。 A semiconductor wafer 50 including a substrate 20, a MOS dielectric layer 30 formed over the substrate 20, and a conductive top electrode layer 40 formed over the MOS dielectric layer 30 was described above with reference to FIG. 1A. Like the configuration, it is located within the processing chamber 225 . In Figures 7A and 7B, a semiconductor wafer 50 is placed on a hotplate heat source that is also part of the substrate holder. DC power supply 130 and voltmeter 150 are connected to conductive top electrode layer 40 using primary electrode 211 and monitoring electrode 212, similar to the connections shown in FIG. 1A above.

図7Aに示される電界アニーラの構成701では、半導体ウェーハ50は、ホットプレート710上に配置されている。ホットプレート710の表面は、半導体ウェーハ50の裏面と物理的に接触している。表面は、良好な電気的及び熱的導体である材料、例えば、金属若しくは窒化チタンなどの金属ベースの化合物を含むコーティング、又は炭素ベースのコーティングを含む。 In the electric field annealer configuration 701 shown in FIG. 7A, a semiconductor wafer 50 is placed on a hotplate 710 . The front surface of hot plate 710 is in physical contact with the back surface of semiconductor wafer 50 . The surface comprises a material that is a good electrical and thermal conductor, for example a coating comprising a metal or a metal-based compound such as titanium nitride, or a carbon-based coating.

対照的に、図7Bは、電界アニーラが電気的に絶縁されているが熱伝導性の表面を有するホットプレート720で構成され得る構成702を示している。接地プレートと呼ばれる、導電性及び熱伝導性材料(例えば、ステンレス鋼などの金属、又はタングステン、銅、アルミニウム、銀、亜鉛、マグネシウム、ニッケル、チタン、スズ、又はこれらの元素を含む合金などの元素)を含む、導電性プレート730は、ホットプレート720の表面の上に配置されてもよく、半導体ウェーハ50は、導電性プレート730の上に配置されてもよい。導電性プレート730の材料は、アニールプロセス中に熱的に安定であると同時に、プロセスチャンバ内に汚染物質を導入しないように選択され得る。ホットプレート720の表面は、窒化アルミニウム、アルミナ、窒化ケイ素、又は炭化ケイ素などのセラミックを含み得る。 In contrast, FIG. 7B shows a configuration 702 in which the electric field annealer may consist of a hotplate 720 with an electrically insulating but thermally conductive surface. Electrically and thermally conductive materials (e.g. metals such as stainless steel, or elements such as tungsten, copper, aluminum, silver, zinc, magnesium, nickel, titanium, tin, or alloys containing these elements, called ground plates) ) may be placed over the surface of the hot plate 720 and the semiconductor wafer 50 may be placed over the conductive plate 730 . The material of the conductive plate 730 can be selected to be thermally stable during the annealing process while not introducing contaminants into the process chamber. The surface of hotplate 720 may comprise a ceramic such as aluminum nitride, alumina, silicon nitride, or silicon carbide.

図7A及び図7Bに示されるように、構成701及び702の両方において、半導体ウェーハ50の裏面は、導電性配線を使用して共通の接地(GNDで示されている)に電気的に接続され得る。いくつかの実施形態では、チャンバ壁227の導電性部分はまた、共通の接地に電気的に結合され得る。 As shown in FIGS. 7A and 7B, in both configurations 701 and 702, the backside of semiconductor wafer 50 is electrically connected to a common ground (designated GND) using conductive traces. obtain. In some embodiments, conductive portions of chamber walls 227 may also be electrically coupled to a common ground.

図7A及び図7Bに示される構成701及び702では、電界アニーラは、半導体ウェーハ50の裏面とそれぞれのホットプレート710又は720との間の熱伝導を介して、半導体ウェーハ50の温度を調整することができる。ホットプレート710及び720は、ヒータ740によって概略的に示される熱エネルギー源で構成されている。様々な実施形態において、ヒータ740は、熱交換器を通って流れる抵抗性又は誘導性のヒータ又は流体を含み得る。例示的な構成701及び702などのホットプレートからの伝導熱伝達を使用する構成は、一般に、様々な実施形態では、中程度の温度、例えば200℃~600℃における比較的長いアニールに使用される。 In the configurations 701 and 702 shown in FIGS. 7A and 7B, the electric field annealer adjusts the temperature of the semiconductor wafer 50 via heat conduction between the backside of the semiconductor wafer 50 and the respective hotplate 710 or 720. can be done. Hotplates 710 and 720 are comprised of a thermal energy source represented schematically by heater 740 . In various embodiments, heater 740 may include a resistive or inductive heater or fluid flowing through a heat exchanger. Configurations that use conductive heat transfer from a hotplate, such as exemplary configurations 701 and 702, are generally used for relatively long anneals at moderate temperatures, such as 200° C.-600° C., in various embodiments. .

図8A~図8Dは、熱源から半導体ウェーハ50にエネルギーを伝達するために放射熱伝達を使用する電界アニーラ構成を示している。放射熱伝達法に利用できる熱源は、絶縁抵抗配線ヒータ、セラミックコーティングされた抵抗を含むヒートプレート、広域スペクトル赤外線(IR)及び紫外線(UV)ランプヒータ、並びに可視及びUV範囲で単色光を放出するレーザである。放射熱源は、半導体ウェーハ50から離れて、処理チャンバ225の内側又は外側の様々な場所に配置され得る。いくつかの実施形態では、半導体ウェーハ50は、スキャナを使用して、熱源から発出される放射線のビームを通って移動してもよい。 8A-8D illustrate electric field annealer configurations that use radiative heat transfer to transfer energy from a heat source to semiconductor wafer 50. FIG. Heat sources available for radiant heat transfer methods include insulated resistance wire heaters, heat plates containing ceramic coated resistors, broad spectrum infrared (IR) and ultraviolet (UV) lamp heaters, and monochromatic light emitting in the visible and UV ranges. Laser. The radiant heat source can be located at various locations inside or outside the processing chamber 225 away from the semiconductor wafer 50 . In some embodiments, semiconductor wafer 50 may be moved through a beam of radiation emitted from a heat source using a scanner.

構成801、802、及び803(それぞれ図8A、図8B、及び図8Cに示されている)は、複数の熱源を用いて、放射熱伝達を使用して半導体ウェーハ50を上下から加熱する。構成804(図8Dに示されている)は、レーザビーム852を提供するレーザシステム850を使用して、半導体ウェーハ50をその上面から加熱する。構成804では、半導体ウェーハ50は、表面全体を露光するために、レーザビーム852を通してスキャンされる。 Configurations 801, 802, and 803 (shown in FIGS. 8A, 8B, and 8C, respectively) use multiple heat sources to heat semiconductor wafer 50 from above and below using radiant heat transfer. Configuration 804 (shown in FIG. 8D) uses laser system 850 to provide laser beam 852 to heat semiconductor wafer 50 from its top surface. In configuration 804, semiconductor wafer 50 is scanned through laser beam 852 to expose the entire surface.

電界アニーラ構成801、802、803、及び804の例示的な実施形態は、処理チャンバ225内部の位置に熱源を有する。しかしながら、いくつかの他の実施形態では、熱源は、処理チャンバ225の外側に配置されるか、又はチャンバ壁227に取り付けられ得ることが理解されよう。 Exemplary embodiments of electric field annealer configurations 801 , 802 , 803 , and 804 have heat sources at locations inside processing chamber 225 . However, it will be appreciated that in some other embodiments the heat source may be located outside of the processing chamber 225 or attached to the chamber wall 227 .

電界アニーラ構成801、802、803、及び804では、半導体ウェーハ50は、半導体ウェーハ50の周囲に沿って支持を提供する隆起したウェーハ支持体810上に配置され得る。隆起したウェーハ支持体810は、半導体ウェーハ50の上下に配置された熱源から放出される放射線に露出された半導体ウェーハ50の上面及び下面の大部分を残す。 In electric field annealer configurations 801 , 802 , 803 , and 804 , semiconductor wafer 50 may be placed on raised wafer support 810 that provides support along the perimeter of semiconductor wafer 50 . The raised wafer support 810 leaves most of the top and bottom surfaces of the semiconductor wafer 50 exposed to radiation emitted from heat sources located above and below the semiconductor wafer 50 .

加えて、ウェーハ支持体810を使用して、半導体ウェーハ50の裏面に電気的に接触することができる。図8A~図8Dに示されるように、ウェーハ支持体810への接地接続を提供することによって、半導体ウェーハ50の裏面を共通接地に電気的に結合することができる。良好な電気的接触は、例えば、金属又は金属コーティングを含むウェーハ支持体810を使用することによって達成される。チャンバ壁227への任意の接地接続、及び構成801、802、803、並びに804における半導体ウェーハ50とDC電源130及び電圧計150との間の電気的結合は、図7A及び図7Bを参照して説明したものと同様であり得る。 Additionally, wafer support 810 can be used to make electrical contact to the backside of semiconductor wafer 50 . The backside of semiconductor wafer 50 can be electrically coupled to a common ground by providing a ground connection to wafer support 810, as shown in FIGS. 8A-8D. Good electrical contact is achieved, for example, by using a wafer support 810 that includes a metal or metal coating. Optional ground connections to chamber wall 227 and electrical coupling between semiconductor wafer 50 and DC power supply 130 and voltmeter 150 in configurations 801, 802, 803, and 804 are shown in FIGS. 7A and 7B. It can be similar to that described.

電界アニーラ構成801(図8A)及び802(図8B)の半導体ウェーハ50の上下に配置された熱源は、抵抗性発熱要素を備える。例示的な構成801及び802などの抵抗性発熱体を使用する構成は、一般に、より長い熱時定数を有し、中程度のアニール温度、例えば、200℃~1000℃に対して使用され得る。 The heat sources positioned above and below semiconductor wafer 50 in electric field annealer configurations 801 (FIG. 8A) and 802 (FIG. 8B) comprise resistive heating elements. Configurations using resistive heating elements, such as exemplary configurations 801 and 802, generally have longer thermal time constants and can be used for moderate annealing temperatures, eg, 200°C-1000°C.

構成801(図8A)で使用される抵抗性発熱体820は、鉱物絶縁(MI)ケーブル822及びケーブル支持体824を含む。MIケーブルは、熱伝導性鉱物(例えば、酸化マグネシウム)を使用して電気的に絶縁された導電性配線抵抗性要素を含む半剛性電気抵抗性加熱ケーブルである。鉱物は、たとえ高いアニール温度においても安全な電気的絶縁を提供することができる。 A resistive heating element 820 used in configuration 801 (FIG. 8A) includes mineral insulated (MI) cable 822 and cable support 824 . MI cables are semi-rigid, electrically resistive heating cables that contain electrically conductive wiring resistive elements that are electrically insulated using thermally conductive minerals (eg, magnesium oxide). Minerals can provide safe electrical insulation even at high annealing temperatures.

電界アニーラ構成802(図8B)では、抵抗性加熱要素830は、高温能力及び延長されたヒータ寿命を達成するために、熱分解窒化ホウ素(PBN)でコーティングされたグラファイト抵抗器を含む。高純度PBNコーティングは、グラファイト構成要素に電気的絶縁、熱安定性、耐熱衝撃性、及び化学的不活性を提供することができる。 In the electric field annealer configuration 802 (FIG. 8B), the resistive heating element 830 comprises a graphite resistor coated with pyrolytic boron nitride (PBN) to achieve high temperature capability and extended heater life. High purity PBN coatings can provide electrical insulation, thermal stability, thermal shock resistance, and chemical inertness to graphite components.

図8Cは、ランプヒータ840が半導体ウェーハ50の上面及び下面を照射してそれをアニール温度に加熱するために使用される構成803を示している。放射により、半導体ウェーハ50全体を加熱し、半導体ウェーハ50を非常に高いアニール温度(例えば、800℃~1200℃)に急速に加熱するのに十分な電力を提供することができ、したがって、構成803はRTPに適している。ランプヒータ840は、多くの場合可視範囲に及ぶ、広いスペクトルでの放射を放出するIRランプ又はUVランプを含み得る。IRランプは、急速な温度上昇(例えば、毎秒200℃)を提供することができる非常に高い電力密度で近赤外光を放射することができる。いくつかの実施形態では、IRランプは、約1ミリ秒~約10秒のアニール時間での急速熱アニール(RTA)に使用される。フラッシュランプアニール(FLA)と呼ばれる、より速いランプ速度(例えば、10℃/秒~10℃/秒)を必要とする更に短いアニール時間でのRTPは、例えば、UVから可視までの範囲で滑らかな発光曲線を有するフラッシュキセノンアークランプのバンクを使用して達成され得る。 FIG. 8C shows configuration 803 in which lamp heaters 840 are used to irradiate the top and bottom surfaces of semiconductor wafer 50 to heat it to the annealing temperature. Radiation can heat the entire semiconductor wafer 50 and provide sufficient power to rapidly heat the semiconductor wafer 50 to very high annealing temperatures (eg, 800° C.-1200° C.), thus configuration 803 is suitable for RTP. Lamp heater 840 may include an IR or UV lamp that emits radiation in a broad spectrum, often extending into the visible range. IR lamps can emit near-infrared light at very high power densities that can provide rapid temperature increases (eg, 200° C. per second). In some embodiments, an IR lamp is used for rapid thermal annealing (RTA) with annealing times from about 1 millisecond to about 10 seconds. RTP with even shorter annealing times requiring faster ramp rates (e.g., 10 3 °C/sec to 10 6 °C/sec), called flash lamp anneal (FLA), can be used, for example, in the UV to visible range. This can be achieved using a bank of flash xenon arc lamps with smooth emission curves.

更なる実施形態では、ランプヒータ840は、マイクロ波ランプなどのマイクロ波電源を備え得る。 In further embodiments, lamp heater 840 may comprise a microwave power source such as a microwave lamp.

図8A~図8Cに示される例示的な構成801、802、及び803では、半導体ウェーハ50は、上面及び下面の両方から照射されるが、他の実施形態では、半導体ウェーハ50は、上面又は下面のいずれかから照射され得る。 In the exemplary configurations 801, 802, and 803 shown in FIGS. 8A-8C, the semiconductor wafer 50 is illuminated from both top and bottom surfaces, although in other embodiments the semiconductor wafer 50 is illuminated from either the top or bottom surface. can be irradiated from either

図8Dは、エネルギー源又は熱源がレーザシステム850内のレーザであり、且つエネルギーがレーザビーム852によって半導体ウェーハ50に放射的に伝達される例示的な構成804を示している。レーザビーム852は、半導体ウェーハ50の表面の小さな領域と交差するように集束される。したがって、約10℃/秒~約10℃/秒の局所温度のスパイクをもたらす非常に高い出力密度は、レーザ加熱、レーザスパイクアニール(LSA)と呼ばれる技術を使用して得ることができる。 FIG. 8D shows an exemplary configuration 804 in which the energy or heat source is a laser in laser system 850 and energy is radiatively transferred to semiconductor wafer 50 by laser beam 852 . Laser beam 852 is focused to intersect a small area of the surface of semiconductor wafer 50 . Thus, very high power densities leading to local temperature spikes of about 10 7 ° C./s to about 10 9 ° C./s can be obtained using laser heating, a technique called laser spike annealing (LSA).

上記のように、半導体ウェーハ50全体のアニールは、スキャナを使用することによって達成される必要があり得る。様々な実施形態では、スキャン装置は、レーザビーム852、又は(ウェーハ支持体810内に移動部品を有する)半導体ウェーハ50、又はその両方を処理チャンバ225内で移動させてもよい。移動は、半導体ウェーハ50の主要な上面に平行な平面内の線形スキャン又は回転スキャンであり得る。図8Dの断面図では、レーザビーム852は、半導体ウェーハ50の主要な上面に対して垂直に入射している。しかしながら、いくつかの実施形態では、レーザビーム852は、高い傾斜角で入射してもよく、それによって、半導体ウェーハ50の全範囲にわたって延びる線として主要な上面と交差することができる。これにより、スキャン方向の数が1つだけ減少することで、スキャン時間を短縮するのに役立ち得る。 As noted above, annealing of the entire semiconductor wafer 50 may need to be accomplished using a scanner. In various embodiments, the scanning device may move the laser beam 852, or the semiconductor wafer 50 (having moving parts in the wafer support 810), or both, within the processing chamber 225. The movement can be a linear scan in a plane parallel to the top major surface of the semiconductor wafer 50 or a rotational scan. In the cross-sectional view of FIG. 8D, laser beam 852 is incident normal to the top major surface of semiconductor wafer 50 . However, in some embodiments, laser beam 852 may be incident at a high oblique angle, thereby allowing it to intersect the major top surface as a line extending over the entire extent of semiconductor wafer 50 . This can help reduce scan time by reducing the number of scan directions by one.

図9は、対流熱伝達を使用して半導体ウェーハ50を加熱する例示的な電界アニーラ構成900を示している。対流熱伝達は、熱源から半導体ウェーハ50に熱を伝達するために、処理チャンバ225に対して加熱された媒体を使用して達成される。利用され得る対流熱伝達の方法は、直接的又は間接的に加熱されるガスなどである。 FIG. 9 shows an exemplary electric field annealer configuration 900 that heats the semiconductor wafer 50 using convective heat transfer. Convective heat transfer is accomplished using a heated medium to process chamber 225 to transfer heat from a heat source to semiconductor wafer 50 . Methods of convective heat transfer that may be utilized include directly or indirectly heated gas.

図9に示されるように、処理チャンバ225は、ガス入口パイプ910及びガス出口パイプ920で構成されている。ポンプ及び様々なガス源を含むガスフローシステムを使用して、ガス、一般的には不活性ガス(例えば、窒素及びアルゴン)を半導体ウェーハ50の上に流すことができる。ガスは、ガス入口パイプ910を通って処理チャンバ225内に流れ込み、ガス出口パイプ920を通って処理チャンバ225から除去される。図9に示される例では、ヒータコイル930がガス入口パイプ910の周りに巻かれ、流入するガスを加熱するように構成されている。ヒータコイル930は、温度コントローラに結合されて、ヒータコイル930に供給される電力を調整することによって、流入するガスの温度を所望の値に調整することができる。構成900では、ヒータコイル930は熱源であり、加熱されたガスが半導体ウェーハ50の表面上を流れるときに、加熱された流入ガスがヒータコイル930から半導体ウェーハ50に熱エネルギーを伝達する。 As shown in FIG. 9, the processing chamber 225 consists of a gas inlet pipe 910 and a gas outlet pipe 920 . Gases, typically inert gases (eg, nitrogen and argon), can be flowed over the semiconductor wafer 50 using a gas flow system including pumps and various gas sources. Gases flow into processing chamber 225 through gas inlet pipe 910 and are removed from processing chamber 225 through gas outlet pipe 920 . In the example shown in FIG. 9, a heater coil 930 is wrapped around the gas inlet pipe 910 and configured to heat the incoming gas. The heater coil 930 can be coupled to a temperature controller to adjust the temperature of the incoming gas to a desired value by adjusting the power supplied to the heater coil 930 . In configuration 900 , heater coil 930 is the heat source and heated incoming gas transfers thermal energy from heater coil 930 to semiconductor wafer 50 as the heated gas flows over the surface of semiconductor wafer 50 .

図10A~図10Cは、各々が複数のウェーハ処理チャンバ1026(図1Bを参照して上述した処理チャンバ226と同様)を有する、第1、第2、及び第3の構成1001、1002、及び1003をそれぞれ示している。処理チャンバ1026の内部の半導体ウェーハ50のバッチは、図10A~図10Cでの例示的な実施形態に示されるように、ウェーハ支持構造体によって保持される垂直スタック内にある。処理チャンバ1026は、例えば、石英チャンバ壁1020、及び例えば半導体ウェーハ支持構造体を支持する金属ベースを含むベース1024を有する管のように形付けられ得る。ベースプレートは、電気フィードスルーを組み込んで、ベースプレートを介してウェーハ接点1018及び1016への電気的接続の伝送を可能にするが、ベースプレートは、印加された電界(例えば、DC電源130)のバイアス源から電気的に絶縁されたままである。図10A~図10Cに示されるように、いくつかの熱源1010を様々な場所において使用して、半導体ウェーハ50のスタックを所望の温度まで均一に加熱することができる。ウェーハ支持構造体は、耐火材料を含み得る。耐火材料は、石英などの絶縁体(例えば、ウェーハ支持体1022)、又は導電性材料若しくはコーティング、例えば、ステンレス鋼又は炭素ベースのコーティング(例えば、ウェーハ支持体1028)を含み得る。図10B及び図10Cのウェーハ処理チャンバ1026では、ウェーハ支持構造体自体が半導体ウェーハ50の裏面への電気的接触として利用されるため、導電性ウェーハ支持体1028が使用される。図10B及び図10Cに示されるように、導電性支持体1028は、ベース1024から絶縁され得る電気フィードスルーを介してGNDに接続されている。 10A-10C illustrate first, second, and third configurations 1001, 1002, and 1003, each having multiple wafer processing chambers 1026 (similar to processing chambers 226 described above with reference to FIG. 1B). are shown respectively. A batch of semiconductor wafers 50 inside the processing chamber 1026 are in a vertical stack held by a wafer support structure, as shown in the exemplary embodiment in FIGS. 10A-10C. The processing chamber 1026 may be shaped like a tube having, for example, a quartz chamber wall 1020 and a base 1024 that includes, for example, a metal base that supports a semiconductor wafer support structure. The baseplate incorporates electrical feedthroughs to allow transmission of electrical connections to the wafer contacts 1018 and 1016 through the baseplate, while the baseplate is isolated from the bias source of the applied electric field (e.g., DC power supply 130). Remains electrically isolated. As shown in FIGS. 10A-10C, several heat sources 1010 can be used at various locations to uniformly heat the stack of semiconductor wafers 50 to a desired temperature. The wafer support structure may include refractory material. Refractory materials can include insulators such as quartz (eg, wafer support 1022), or conductive materials or coatings, such as stainless steel or carbon-based coatings (eg, wafer support 1028). In the wafer processing chamber 1026 of FIGS. 10B and 10C, a conductive wafer support 1028 is used because the wafer support structure itself is utilized as an electrical contact to the backside of the semiconductor wafer 50. FIG. As shown in FIGS. 10B and 10C, the conductive support 1028 is connected to GND via an electrical feedthrough that can be isolated from the base 1024. FIG.

様々な実施形態では、様々な構成を使用して、DC電源130及び接地を半導体ウェーハ50のスタックに電気的に結合することができる。第1及び第2の構成1001(図10A)及び1002(図10B)などのいくつかの実施形態では、電圧計150を使用して半導体ウェーハ50における電位を監視するための監視電極(図1Aの監視電極212など)が存在しない。第3の構成1003(図10C)などのいくつかの他の実施形態では、電圧計150は、電界アニールを受ける半導体ウェーハ50に接触する監視電極1044に電気的に結合されている。 In various embodiments, various configurations may be used to electrically couple the DC power supply 130 and ground to the stack of semiconductor wafers 50 . In some embodiments, such as the first and second configurations 1001 (FIG. 10A) and 1002 (FIG. 10B), a voltmeter 150 is used to monitor the potential at the semiconductor wafer 50 using monitoring electrodes (FIG. 1A). monitoring electrodes 212, etc.) are not present. In some other embodiments, such as third configuration 1003 (FIG. 10C), voltmeter 150 is electrically coupled to monitoring electrode 1044 that contacts semiconductor wafer 50 undergoing electric field annealing.

図10A~図10Cの小さな円によって概略的に示されるように、処理チャンバ1026の外側の電気構成要素からの絶縁配線は、ベース1024上に配置された適切に絶縁されたコネクタを使用して、処理チャンバ1026の内側の導電体に電気的に結合され得る。 As shown schematically by the small circles in FIGS. 10A-10C, insulated wiring from electrical components outside of the processing chamber 1026 is routed using appropriately insulated connectors located on the base 1024. It can be electrically coupled to a conductor inside the processing chamber 1026 .

図10Aは、第1の構成1001における電気的結合を示している。第1の構成1001でのDC電源130は、(図1Bでの第1の導電性バス108と同様)第1の導電性バス1016を使用して、一次電極1040(図1Bでの一次電極215と同様)に電気的に結合されている。共通接地(GNDで示されている)は、第2の導電性バス1018(図1Bの第2の導電性バス109と同様)第2の導電性バス1018を使用して、二次電極1042(図1Bの二次電極216と同様)に電気的に結合されている。一次電極は、半導体ウェーハ50の上面の一部と接触しており、二次電極は、半導体ウェーハ50の裏面の一部と接触している。 FIG. 10A shows electrical coupling in a first configuration 1001. FIG. DC power supply 130 in first configuration 1001 uses first conductive bus 1016 (similar to first conductive bus 108 in FIG. 1B) to connect primary electrode 1040 (primary electrode 215 in FIG. 1B). ) are electrically coupled to A common ground (shown as GND) is connected to the secondary electrode 1042 (similar to the second conductive bus 109 in FIG. 1B) using a second conductive bus 1018 (similar to the second conductive bus 109 in FIG. 1B). (similar to secondary electrode 216 in FIG. 1B). The primary electrode is in contact with part of the top surface of the semiconductor wafer 50 and the secondary electrode is in contact with part of the back surface of the semiconductor wafer 50 .

図10Bは、第2の構成1002における電気的結合を示している。第2の構成1002内のDC電源130は、第1の構成1001内のそれぞれの接続と同様に、第1の導電性バス1016を使用して一次電極1040に電気的に結合されている。しかしながら、別個の第2の導電性バスを有する代わりに、ウェーハ支持体のうちの1つ(例えば、ウェーハ支持体1028)を、半導体ウェーハ50の裏面に接地を結合するための導電性バスとして使用することができる。したがって、第2の構成1002(図10B)では、ウェーハ支持体1028は、導電性耐火材料又はコーティングを含む。図10Bに示されるように、共通接地(GNDで示されている)は、半導体ウェーハ50の裏面と接触しているウェーハ支持体1028に電気的に結合されている。 FIG. 10B shows the electrical coupling in the second configuration 1002. FIG. DC power source 130 in second configuration 1002 is electrically coupled to primary electrode 1040 using first conductive bus 1016, similar to the respective connections in first configuration 1001. FIG. However, instead of having a separate second conductive bus, one of the wafer supports (e.g., wafer support 1028) is used as a conductive bus to couple ground to the backside of semiconductor wafer 50. can do. Accordingly, in the second configuration 1002 (FIG. 10B), the wafer support 1028 includes a conductive refractory material or coating. As shown in FIG. 10B, a common ground (designated GND) is electrically coupled to wafer support 1028 which is in contact with the backside of semiconductor wafer 50 .

図10Cは、第3の構成1003を示している。第3の構成1003では、半導体ウェーハ50のそれぞれの上面は、2つの電極、すなわち、一次電極1040及び監視電極1044(図1Aでの一次電極211及び監視電極212と同様)と接触している。一次電極1040は、第1の導電性バス1016を介してDC電源130に電気的に結合され、監視電極1044は、第2の導電性バス1018を介して電圧計150に電気的に結合されている。図10Cに示されるように、第3の構成1003の共通接地は、第2の構成1002の接地接続(10B)と同様に、導電性材料又はコーティングを含むウェーハ支持体1028を介して半導体ウェーハ50の裏面に電気的に結合されている。 FIG. 10C shows a third configuration 1003. FIG. In a third configuration 1003, each top surface of semiconductor wafer 50 is in contact with two electrodes, primary electrode 1040 and monitoring electrode 1044 (similar to primary electrode 211 and monitoring electrode 212 in FIG. 1A). Primary electrode 1040 is electrically coupled to DC power supply 130 via first conductive bus 1016 and monitoring electrode 1044 is electrically coupled to voltmeter 150 via second conductive bus 1018 . there is As shown in FIG. 10C, the common ground of the third configuration 1003, like the ground connection (10B) of the second configuration 1002, is connected to the semiconductor wafer 50 through the wafer support 1028, which includes a conductive material or coating. is electrically coupled to the backside of the

半導体ウェーハ50の裏面及び上面への(例えば、一次電極211及び監視電極212などの電界アニーラ電極210を使用して行われる)電気的接続は、プロセス制御に使用できるその場電気測定を組み込むために、上述の電界アニーラの様々な実施形態を構成するという追加の利点を提供する。例えば、電気接続は、電界アニール中に半導体ウェーハ50の層を通る電流-電圧曲線を測定するように構成されたプロセス制御システムの測定プローブの一部であり得る。電界アニールが、例えば、堆積された酸化ハフニウム誘電体層を安定又は準安定な多結晶強誘電性酸化ハフニウム層に変換するために実行されるFEAである例示的な実施形態では、ランプ電流-電圧曲線は、誘電体層での強誘電性斜方晶相の形成と相関し得る。例えば、電流-電圧曲線を使用して、自己制限プロセスと同様に、膜の残留分極(P)強度が飽和するポイントを検出することができる。プロセス制御システムは、フォワード制御又は「仮想計測」を備えたそのようなその場診断を使用して、所望の最適な膜特性を達成することができる。 Electrical connections (e.g., using field annealer electrodes 210, such as primary electrode 211 and monitoring electrode 212) to the backside and topside of semiconductor wafer 50 are made to incorporate in situ electrical measurements that can be used for process control. , provides the additional advantage of constructing the various embodiments of the electric field annealer described above. For example, the electrical connection may be part of a measurement probe of a process control system configured to measure current-voltage curves through layers of semiconductor wafer 50 during electric field anneal. In an exemplary embodiment where the electric field anneal is, for example, FEA performed to transform a deposited hafnium oxide dielectric layer into a stable or metastable polycrystalline ferroelectric hafnium oxide layer, lamp current-voltage A curve can be correlated with the formation of a ferroelectric orthorhombic phase in the dielectric layer. For example, a current-voltage curve can be used to detect the point at which the membrane remanent polarization (P R ) intensity saturates, similar to a self-limiting process. A process control system can use such in-situ diagnostics with forward control or "virtual instrumentation" to achieve desired optimum film properties.

上述のように、電界アニールは、スタンドアロンの処理チャンバ、同時又は順次のいずれかで実行されるいくつかの他のプロセス(例えば、堆積)と共に電界アニールを実行するように構成された処理チャンバ、又は他のチャンバを備えた半導体処理システムのクラスタ構成内の電界アニールチャンバにおいて実行することができる。 As noted above, the electric field anneal can be performed in a stand-alone processing chamber, a processing chamber configured to perform the electric field anneal in conjunction with some other process (e.g., deposition), either performed simultaneously or sequentially, or It can be performed in an electric field anneal chamber within a cluster configuration of a semiconductor processing system with other chambers.

電界アニール処理チャンバは、電界アニール構成の様々な実施形態に対するスタンドアロンチャンバとして説明されてきた。しかしながら、半導体処理システムは、複数の処理技術のために単一の処理チャンバを使用するように構成されてもよい。例えば、いくつかの実施形態では、追加のガスライン、センサ、無線周波数(RF)源、RFアンテナ、DCバイアス源、スパッタターゲットなどを追加して電界アニールチャンバの構成を拡張し、その機能を拡張して追加のプロセス、例えば、化学蒸着、プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、及びプラズマ処理(例えば、プラズマ前洗浄)を実行することができる。 The electric field anneal processing chamber has been described as a stand-alone chamber for various embodiments of electric field anneal configurations. However, semiconductor processing systems may be configured to use a single processing chamber for multiple processing technologies. For example, in some embodiments, additional gas lines, sensors, radio frequency (RF) sources, RF antennas, DC bias sources, sputter targets, etc. are added to extend the configuration of the electric field annealing chamber and extend its capabilities. Additional processes such as chemical vapor deposition, plasma-enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), and plasma treatment (eg, plasma pre-clean) can be performed.

スタンドアロンの電界アニール処理チャンバ又は拡張機能を備えた処理チャンバは、いくつかの半導体処理チャンバのクラスタ構成内に含まれ得る。図11A~図11Cは、電界アニールを実行するように構成されたモジュールを備える3つのクラスタツール1101、1102、及び1103の概略図を示している。更に、クラスタツールは、いくつかの他のモジュールを含み得る。例えば、プラズマエッチング処理チャンバ1150、プラズマ前洗浄処理チャンバ1116、及びPVD処理チャンバ1118が、クラスタツール1101、1102、及び1103に含まれることが示されている。 A stand-alone electric field anneal processing chamber or a processing chamber with extended capabilities may be included in a cluster configuration of several semiconductor processing chambers. 11A-11C show schematic diagrams of three cluster tools 1101, 1102, and 1103 with modules configured to perform electric field annealing. Additionally, the cluster tool may contain several other modules. For example, plasma etch processing chamber 1150 , plasma preclean processing chamber 1116 , and PVD processing chamber 1118 are shown included in cluster tools 1101 , 1102 , and 1103 .

一般に、半導体ウェーハ(例えば、半導体ウェーハ50)は、図11A~図11Cで概略的に示される装置フロントエンドモジュール(EFEM)1130によって、クラスタツール(例えば、クラスタツール1101、1102、及び1103)に移送及びロードされるローディングコンパートメントにキューイングされる。次いで、半導体ウェーハは、いくつかのウェーハ移送モジュール1120によって、処理するために別のモジュールに移送され得る。 Generally, a semiconductor wafer (eg, semiconductor wafer 50) is transferred to a cluster tool (eg, cluster tools 1101, 1102, and 1103) by an Equipment Front End Module (EFEM) 1130, shown schematically in FIGS. 11A-11C. and queued in the loading compartment to be loaded. Semiconductor wafers may then be transferred to another module for processing by a number of wafer transfer modules 1120 .

図11Aは、拡張された機能を有する2つの電界アニール処理チャンバを備えるクラスタツール1101の概略図を示している。一実施形態では、処理チャンバ1110は、PVDプロセス及び電界アニールを実行するように構成され得、処理チャンバ1114は、プラズマ前洗浄プロセス及び電界アニールを実行するように構成され得る。上記のように、プラズマエッチング処理チャンバ1150、プラズマ前洗浄処理チャンバ1116、及びPVD処理チャンバ1118もまた、クラスタツール1101に含まれる。 FIG. 11A shows a schematic diagram of a cluster tool 1101 with two electric field annealing process chambers with extended capabilities. In one embodiment, processing chamber 1110 may be configured to perform a PVD process and electric field anneal, and processing chamber 1114 may be configured to perform a plasma pre-clean process and electric field anneal. Also included in cluster tool 1101 are plasma etch processing chamber 1150 , plasma preclean processing chamber 1116 , and PVD processing chamber 1118 , as described above.

図11Bは、1つの電界アニール処理チャンバ1140を含むクラスタツール1102の概略図を示しており、(図11Cに示される)クラスタツール1103は、電界アニールを排他的に実行する2つの処理チャンバ1140を備える。 FIG. 11B shows a schematic diagram of a cluster tool 1102 containing one electric field annealing processing chamber 1140, and a cluster tool 1103 (shown in FIG. 11C) comprising two processing chambers 1140 that exclusively perform electric field annealing. Prepare.

図11Dは、クラスタツール1102の一部を示しており、ここで、電界アニール処理チャンバ1140及びPVD処理チャンバ1118の両方が、ウェーハ移送モジュール1120によってアクセスされ得る。処理チャンバ1140は、構成802の処理チャンバ225と同様であり得る(図8Bを参照)。半導体ウェーハは、図11Dの二重矢印によって示されるように、ウェーハ移送モジュール1120のウェーハ移送ロボットによって、1つのモジュールから別のモジュールに移送され得る。クラスタツールの別の部分では、ウェーハ移送モジュール1120は、処理チャンバの異なるペア間で半導体ウェーハ50を移送することができる。 FIG. 11D shows a portion of cluster tool 1102 where both electric field anneal processing chamber 1140 and PVD processing chamber 1118 can be accessed by wafer transfer module 1120 . Processing chamber 1140 can be similar to processing chamber 225 of configuration 802 (see FIG. 8B). Semiconductor wafers may be transferred from one module to another by the wafer transfer robot of wafer transfer module 1120, as indicated by the double arrows in FIG. 11D. In another part of the cluster tool, a wafer transfer module 1120 can transfer semiconductor wafers 50 between different pairs of processing chambers.

本発明の例示的な実施形態を、ここに要約する。他の実施形態も、本明細書の全体及び本明細書で出願される特許請求の範囲から理解され得る。 Exemplary embodiments of the invention are summarized here. Other embodiments can be appreciated from the entire specification and claims filed herein.

例1.半導体ウェーハを処理するためのシステムであって、処理チャンバと、熱源と、半導体ウェーハを熱源に露出するように構成された基板ホルダと、半導体ウェーハの第1の主表面に取り外し可能に結合されるように構成された第1の電極と、基板ホルダに結合された第2の電極と、を含み、第1の電極及び第2の電極は一緒に、半導体ウェーハに電界を印加するように構成されている、システム。 Example 1. A system for processing a semiconductor wafer, comprising a processing chamber, a heat source, a substrate holder configured to expose the semiconductor wafer to the heat source, and removably coupled to a first major surface of the semiconductor wafer. and a second electrode coupled to the substrate holder, the first electrode and the second electrode together configured to apply an electric field to the semiconductor wafer. system.

例2.熱源が、半導体ウェーハの裏面の下に配置されたホットプレートである、例1に記載のシステム。 Example 2. The system of Example 1, wherein the heat source is a hotplate positioned under the backside of the semiconductor wafer.

例3.ホットプレートが、電気的絶縁層を含む外面を有する基板を含み、電気的絶縁層が、導電性プレートによって覆われており、導電性プレートが、半導体ウェーハの裏面に電気的に結合されるように構成されている、例1又は2に記載のシステム。 Example 3. A hot plate includes a substrate having an outer surface including an electrically insulating layer, the electrically insulating layer being covered by a conductive plate such that the conductive plate is electrically coupled to the backside of the semiconductor wafer. 3. The system of example 1 or 2, configured.

例4.ホットプレートが、導電性材料であり、半導体ウェーハの裏面に電気的に結合されるように構成されている、例1~3のいずれか1つに記載のシステム。 Example 4. The system of any one of Examples 1-3, wherein the hotplate is an electrically conductive material and is configured to be electrically coupled to the backside of the semiconductor wafer.

例5.熱源が、半導体ウェーハを放射的に加熱するように構成された複数の熱源を含む、例1~4のいずれか1つに記載のシステム。 Example 5. The system of any one of Examples 1-4, wherein the heat source comprises a plurality of heat sources configured to radiantly heat the semiconductor wafer.

例6.熱源が、処理チャンバの外側に配置され、放射熱伝達によって半導体ウェーハを加熱するように構成されている、例1~5のいずれか1つに記載のシステム。 Example 6. The system of any one of Examples 1-5, wherein the heat source is positioned outside the processing chamber and configured to heat the semiconductor wafer by radiant heat transfer.

例7.熱源が、処理チャンバの内側に配置され、放射熱伝達によって半導体ウェーハを加熱するように構成されている、例1~6のいずれか1つに記載のシステム。 Example 7. The system of any one of Examples 1-6, wherein the heat source is positioned inside the processing chamber and configured to heat the semiconductor wafer by radiant heat transfer.

例8.熱源が、抵抗性熱源を含む、例1~7のいずれか1つに記載のシステム。 Example 8. The system of any one of Examples 1-7, wherein the heat source comprises a resistive heat source.

例9.熱源が、鉱物絶縁(MI)ケーブル、セラミックでコーティングされた抵抗器、又は熱分解窒化ホウ素(PBN)でコーティングされたグラファイト抵抗器を含む、例1~8のいずれか1つに記載のシステム。 Example 9. The system of any one of Examples 1-8, wherein the heat source comprises a mineral insulated (MI) cable, a ceramic coated resistor, or a pyrolytic boron nitride (PBN) coated graphite resistor.

例10.熱源が、赤外線(IR)ランプ、紫外線(UV)ランプ、又はフラッシュアークランプを含む、例1~9のいずれか1つに記載のシステム。 Example 10. The system of any one of Examples 1-9, wherein the heat source comprises an infrared (IR) lamp, an ultraviolet (UV) lamp, or a flash arc lamp.

例11.電界が、第1の電極及び第2の電極にわたって固定電圧を維持することによって、又は第1の電極及び第2の電極にわたって時変電圧を維持することによって、印加されるように構成され、時変電圧が、パルス電圧又は正弦波電圧を含む、例1~10のいずれか1つに記載のシステム。 Example 11. an electric field configured to be applied by maintaining a fixed voltage across the first electrode and the second electrode or by maintaining a time-varying voltage across the first electrode and the second electrode; 11. The system of any one of Examples 1-10, wherein the varying voltage comprises a pulse voltage or a sinusoidal voltage.

例12.第1の電極又は第2の電極が、浮遊電位ノードに結合されている、例1~11のいずれか1つに記載のシステム。 Example 12. 12. The system of any one of Examples 1-11, wherein the first electrode or the second electrode is coupled to a floating potential node.

例13.スキャナを更に含み、熱源がレーザビーム源であり、レーザビームが、レーザビームと交差する半導体ウェーハの主表面の一部を加熱するように構成され、スキャナが、レーザビームと交差する主表面の一部を移動して、全ての主表面をレーザビームに露出するように構成されている、例1~12のいずれか1つに記載のシステム。 Example 13. further comprising a scanner, the heat source being a laser beam source, the laser beam configured to heat a portion of the major surface of the semiconductor wafer intersecting the laser beam, the scanner being configured to heat the portion of the major surface intersecting the laser beam; 13. The system of any one of Examples 1-12, configured to move a portion to expose all major surfaces to the laser beam.

例14.処理チャンバ内に配置された流体入口及び流体出口、並びに処理室に流入する流体を加熱するように構成されたヒータコイルを更に含む、例1~13のいずれか1つに記載のシステム。 Example 14. 14. The system of any one of Examples 1-13, further comprising a fluid inlet and a fluid outlet positioned within the processing chamber and a heater coil configured to heat fluid entering the processing chamber.

例15.装置フロントエンドモジュール、ウェーハ移送モジュール、及び処理モジュールを含むモジュールのクラスタを更に含み、処理チャンバが処理モジュールの一部である、例1~14のいずれか1つに記載のシステム。 Example 15. 15. The system of any one of Examples 1-14, further comprising a cluster of modules including an equipment front-end module, a wafer transfer module, and a processing module, wherein the processing chamber is part of the processing module.

例16.半導体ウェーハを処理するためのシステムであって、処理チャンバと、熱源と、半導体ウェーハを熱源に露出するように構成された基板ホルダと、複数の半導体ウェーハの各々の第1の側面に接触するための第1の複数の電極を含む第1のバスと、複数の半導体ウェーハの各々の第2の側面に接触するための第2の複数の電極を含む第2のバスと、を含み、第1のバス及び第2のバスは一緒に、複数の半導体ウェーハの各々に電界を印加するように構成されている、システム。 Example 16. A system for processing semiconductor wafers, comprising a processing chamber, a heat source, a substrate holder configured to expose a semiconductor wafer to the heat source, and for contacting a first side of each of a plurality of semiconductor wafers. and a second bus including a second plurality of electrodes for contacting a second side of each of the plurality of semiconductor wafers; and the second bus are together configured to apply an electric field to each of the plurality of semiconductor wafers.

例17.基板ホルダが、処理チャンバ内で複数の半導体ウェーハを垂直に積み重ねるように構成されている、例16に記載のシステム。 Example 17. 17. The system of Example 16, wherein the substrate holder is configured to vertically stack a plurality of semiconductor wafers within the processing chamber.

例18.第1のバス及び第2のバスが、複数の半導体ウェーハの各々に電界を同時に印加するように構成され、基板ホルダが、複数の半導体ウェーハを熱源に同時に露出するように構成されている、例16又は17に記載のシステム。 Example 18. wherein the first bus and the second bus are configured to simultaneously apply an electric field to each of the plurality of semiconductor wafers, and the substrate holder is configured to simultaneously expose the plurality of semiconductor wafers to the heat source; 18. The system according to 16 or 17.

例19.基板ホルダが、石英ウェーハ支持体を含む、実施例16~18のいずれか一項に記載のシステム。 Example 19. 19. The system of any one of Examples 16-18, wherein the substrate holder comprises a quartz wafer support.

例20.基板ホルダが導電性ウェーハ支持体を含み、導電性ウェーハ支持体が第2のバスを含む、例16~19のいずれか1つに記載のシステム。 Example 20. 20. The system of any one of Examples 16-19, wherein the substrate holder comprises a conductive wafer support, and wherein the conductive wafer support comprises a second bus.

例21.第3の複数の電極を含む第3のバスであって、第3の複数の電極が、複数の半導体ウェーハの各々の第1の側面に取り外し可能に接触するように構成され、第3のバスが電圧モニタに結合されている、第3のバス、を更に含む、例16~20のいずれか1つに記載のシステム。 Example 21. a third bus including a third plurality of electrodes, the third plurality of electrodes configured to removably contact the first side of each of the plurality of semiconductor wafers; is coupled to the voltage monitor.

例22.装置フロントエンドモジュール、ウェーハ移送モジュール、及び処理モジュールを含むモジュールのクラスタを更に含み、処理チャンバが処理モジュールの一部である、例16~21のいずれか1つに記載のシステム。 Example 22. 22. The system of any one of Examples 16-21, further comprising a cluster of modules including an equipment front end module, a wafer transfer module, and a processing module, wherein the processing chamber is part of the processing module.

例23.半導体ウェーハを処理するための急速熱処理(RTP)システムであって、RTPチャンバと、基板を支持するように構成された基板ホルダと、基板ホルダによって支持された基板を加熱するように構成された電磁エネルギー源と、基板の第1の側面に取り外し可能に結合されるように構成された第1の電極であって、第1の電位ノードに結合された第1の電極と、基板の反対側の第2の側面に取り外し可能に結合されるように構成された第2の電極であって、第2の電位ノードに結合された、第2の電極と、を含み、第1の電極及び第2の電極は一緒に、基板を介して電界を印加するように構成されている、システム。 Example 23. A rapid thermal processing (RTP) system for processing semiconductor wafers, comprising an RTP chamber, a substrate holder configured to support a substrate, and an electromagnetic configured to heat the substrate supported by the substrate holder. an energy source; and a first electrode configured to be removably coupled to a first side of the substrate, the first electrode being coupled to a first potential node; a second electrode configured to be removably coupled to the second side, the second electrode coupled to the second potential node; The electrodes of the system are configured together to apply an electric field through the substrate.

例24.基板ホルダが、システムで処理される複数の半導体ウェーハのうちの単一の1つを支持するように構成されている、例23に記載のシステム。 Example 24. 24. The system of Example 23, wherein the substrate holder is configured to support a single one of a plurality of semiconductor wafers processed in the system.

例25.第1の複数の電極を含み、第1の電位ノードに結合されている第1のバスであって、第1の複数の電極が第1の電極を含む、第1のバスと、第2の複数の電極を含み、第2の電位ノードに結合されている第2のバスであって、第2の複数の電極が第2の電極を含む、第2のバスと、を更に含み、基板ホルダは、基板を含む複数の半導体ウェーハを支持するように更に構成されており、電磁エネルギー源は、複数の半導体ウェーハを同時に加熱するように構成されており、第1の複数の電極は、複数の半導体ウェーハの各々の第1の側面に接触するように構成されており、第2の複数の電極は、複数の半導体ウェーハの各々の第2の側面に接触するように構成されており、第1のバス及び第2のバスは一緒に、複数の半導体ウェーハの各々に電界を印加するように構成されている、例23又は24に記載のシステム。 Example 25. a first bus including a first plurality of electrodes and coupled to a first potential node, the first plurality of electrodes including the first electrode; a second bus including a plurality of electrodes and coupled to the second potential node, wherein the second plurality of electrodes includes the second electrode; is further configured to support a plurality of semiconductor wafers including substrates, the electromagnetic energy source is configured to heat the plurality of semiconductor wafers simultaneously, and the first plurality of electrodes are configured to support a plurality of semiconductor wafers; configured to contact a first side of each of the semiconductor wafers, the second plurality of electrodes configured to contact a second side of each of the plurality of semiconductor wafers; 25. The system of example 23 or 24, wherein the bus of and the second bus together are configured to apply an electric field to each of the plurality of semiconductor wafers.

例26.電磁エネルギー源が、フラッシュランプ、レーザ、IRランプ、UVランプ、又はマイクロ波ランプである、例23~25のいずれか1つに記載のシステム。 Example 26. The system of any one of Examples 23-25, wherein the electromagnetic energy source is a flash lamp, laser, IR lamp, UV lamp, or microwave lamp.

本発明は、例示的実施形態を参照して説明されているが、この説明は、限定的な意味で解釈されることを意図するものではない。当業者であれば、本明細書を参照することにより、それらの例示的実施形態の様々な修正形態及び組み合わせ並びに本発明の別の実施形態が明らかになるであろう。したがって、添付の特許請求の範囲は、そのようなあらゆる修正形態又は実施形態を包含することが意図される。 Although the invention has been described with reference to illustrative embodiments, this description is not meant to be construed in a limiting sense. Various modifications and combinations of those exemplary embodiments, as well as other embodiments of the invention, will become apparent to persons skilled in the art upon reference to the specification. It is therefore intended that the appended claims cover any such modifications or embodiments.

10 基板ホルダ
14 スロット付き基板ホルダ
20 基板
30 MOS誘電体層
40 導電性上部電極層
50 半導体ウェーハ
100 ロードレール
108 第1の導電性バス
109 第2の導電性バス
110 一次配線
112 監視配線
113、114 二次配線
115 2つの配線115
120 電力フィードスルー
130 DC電源
140 接地配線
150 電圧計
210 第1の電界アニーラ電極
211 一次電極
212 監視電極
214 追加の二次電極
216 二次電極
225、226 処理チャンバ
235、236 熱処理システム
310 絶縁された導電性配線
10 substrate holder 14 slotted substrate holder 20 substrate 30 MOS dielectric layer 40 conductive top electrode layer 50 semiconductor wafer 100 load rail 108 first conductive bus 109 second conductive bus 110 primary wiring 112 monitoring wiring 113, 114 Secondary wire 115 Two wires 115
120 power feedthrough 130 DC power supply 140 ground wiring 150 voltmeter 210 first field annealer electrode 211 primary electrode 212 monitoring electrode 214 additional secondary electrode 216 secondary electrodes 225, 226 processing chambers 235, 236 thermal treatment system 310 isolated conductive wiring

Claims (22)

半導体ウェーハを処理するためのシステムであって、
処理チャンバと、
熱源と、
前記熱源に半導体ウェーハを露出するように構成された基板ホルダと、
半導体ウェーハの第1の主表面に取り外し可能に結合されるように構成された第1の電極と、
前記基板ホルダに結合された第2の電極と、を備え、前記第1の電極及び前記第2の電極が一緒に、前記半導体ウェーハに電界を印加するように構成されている、
システム。
A system for processing semiconductor wafers, comprising:
a processing chamber;
a heat source;
a substrate holder configured to expose a semiconductor wafer to the heat source;
a first electrode configured to be removably coupled to a first major surface of a semiconductor wafer;
a second electrode coupled to the substrate holder, wherein the first electrode and the second electrode together are configured to apply an electric field to the semiconductor wafer;
system.
前記電界が印加されているときに前記半導体ウェーハの層を通る電流-電圧曲線を測定するように構成された測定プローブを備える制御システムであって、前記電界を制御するためのコントローラを備える、制御システムを更に備える、請求項1に記載のシステム。 A control system comprising a measurement probe configured to measure a current-voltage curve through a layer of the semiconductor wafer when the electric field is applied, the control system comprising a controller for controlling the electric field. 3. The system of claim 1, further comprising a system. 前記熱源が、前記半導体ウェーハの裏面の下に配置されたホットプレートである、請求項1に記載のシステム。 2. The system of claim 1, wherein the heat source is a hotplate positioned under the backside of the semiconductor wafer. 前記ホットプレートが、電気的絶縁層を備える外面を有する基板を備え、
前記電気的絶縁層が、導電性プレートによって覆われており、前記導電性プレートが、前記半導体ウェーハの前記裏面に電気的に結合されるように構成されている、請求項3に記載のシステム。
the hotplate comprises a substrate having an outer surface comprising an electrically insulating layer;
4. The system of claim 3, wherein the electrically insulating layer is covered by a conductive plate, the conductive plate configured to be electrically coupled to the backside of the semiconductor wafer.
前記ホットプレートが、導電性材料であり、前記半導体ウェーハの前記裏面に電気的に結合されるように構成されている、請求項3に記載のシステム。 4. The system of claim 3, wherein the hotplate is of electrically conductive material and configured to be electrically coupled to the backside of the semiconductor wafer. 前記熱源が、前記半導体ウェーハを放射的に加熱するように構成された複数の熱源を備える、請求項1に記載のシステム。 3. The system of Claim 1, wherein the heat source comprises a plurality of heat sources configured to radiantly heat the semiconductor wafer. 前記熱源が、前記処理チャンバの外側に配置されており、且つ放射熱伝達によって前記半導体ウェーハを加熱するように構成されている、請求項1に記載のシステム。 2. The system of claim 1, wherein the heat source is located outside the processing chamber and configured to heat the semiconductor wafer by radiant heat transfer. 前記熱源が、前記処理チャンバの内側に配置されており、且つ放射熱伝達によって前記半導体ウェーハを加熱するように構成されている、請求項1に記載のシステム。 2. The system of claim 1, wherein the heat source is positioned inside the processing chamber and configured to heat the semiconductor wafer by radiant heat transfer. 前記熱源が、抵抗性熱源を備える、請求項1に記載のシステム。 3. The system of Claim 1, wherein the heat source comprises a resistive heat source. 前記熱源が、鉱物絶縁(MI)ケーブル、セラミックでコーティングされた抵抗器、又は熱分解窒化ホウ素(PBN)でコーティングされたグラファイト抵抗器を備える、請求項9に記載のシステム。 10. The system of claim 9, wherein the heat source comprises a mineral insulated (MI) cable, a ceramic coated resistor, or a pyrolytic boron nitride (PBN) coated graphite resistor. 前記熱源が、赤外線(IR)ランプ、紫外線(UV)ランプ、又はフラッシュアークランプを備える、請求項1に記載のシステム。 2. The system of claim 1, wherein the heat source comprises an infrared (IR) lamp, an ultraviolet (UV) lamp, or a flash arc lamp. 前記電界が、
前記第1の電極及び前記第2の電極にわたって固定電圧を維持することによって、又は
前記第1の電極及び前記第2の電極にわたって時変電圧を維持することによって印加されるように構成されており、前記時変電圧が、パルス電圧又は正弦波電圧を含む、
請求項1に記載のシステム。
The electric field is
applied by maintaining a fixed voltage across the first electrode and the second electrode or by maintaining a time-varying voltage across the first electrode and the second electrode , the time-varying voltage comprises a pulse voltage or a sinusoidal voltage;
The system of claim 1.
前記第1の電極又は前記第2の電極が、浮遊電位ノードに結合されている、請求項1に記載のシステム。 2. The system of claim 1, wherein said first electrode or said second electrode is coupled to a floating potential node. スキャナを更に備え、
前記熱源がレーザビーム源であり、レーザビームが、前記レーザビームと交差する前記半導体ウェーハの主表面の一部を加熱するように構成されており、
前記スキャナが、前記レーザビームと交差する前記主表面の前記一部を移動して、全ての前記主表面を前記レーザビームに露出するように構成されている、
請求項1に記載のシステム。
further equipped with a scanner,
wherein the heat source is a laser beam source, the laser beam configured to heat a portion of the major surface of the semiconductor wafer that intersects the laser beam;
the scanner is configured to move the portion of the major surface intersecting the laser beam to expose all of the major surface to the laser beam;
The system of claim 1.
前記処理チャンバ内に配置された流体入口及び流体出口と、
前記処理チャンバに流入する流体を加熱するように構成されたヒータコイルと、を更に備える、
請求項1に記載のシステム。
a fluid inlet and a fluid outlet positioned within the processing chamber;
a heater coil configured to heat fluid entering the processing chamber;
The system of claim 1.
装置フロントエンドモジュール、ウェーハ移送モジュール、及び処理モジュールを備えるモジュールのクラスタを更に備え、前記処理チャンバが、前記処理モジュールの一部である、請求項1に記載のシステム。 3. The system of claim 1, further comprising a cluster of modules comprising an equipment front-end module, a wafer transfer module, and a processing module, the processing chamber being part of the processing module. 半導体ウェーハを処理するためのシステムであって、
処理チャンバと、
熱源と、
複数の半導体ウェーハを前記熱源に露出するように構成された基板ホルダと、
前記複数の半導体ウェーハの各々の第1の側面に接触するための第1の複数の電極を備える第1のバスと、
前記複数の半導体ウェーハの各々の第2の側面に接触するための第2の複数の電極を備える第2のバスと、
を備え、前記第1のバス及び前記第2のバスが一緒に、前記複数の半導体ウェーハの各々に電界を印加するように構成されている、
システム。
A system for processing semiconductor wafers, comprising:
a processing chamber;
a heat source;
a substrate holder configured to expose a plurality of semiconductor wafers to the heat source;
a first bus comprising a first plurality of electrodes for contacting a first side of each of the plurality of semiconductor wafers;
a second bus comprising a second plurality of electrodes for contacting a second side of each of the plurality of semiconductor wafers;
wherein the first bus and the second bus are together configured to apply an electric field to each of the plurality of semiconductor wafers;
system.
前記基板ホルダが、前記処理チャンバ内で前記複数の半導体ウェーハを垂直に積み重ねるように構成されている、請求項17に記載のシステム。 18. The system of Claim 17, wherein the substrate holder is configured to vertically stack the plurality of semiconductor wafers within the processing chamber. 前記第1のバス及び前記第2のバスが、前記複数の半導体ウェーハの各々に電界を同時に印加するように構成されており、前記基板ホルダが、前記複数の半導体ウェーハを前記熱源に同時に露出するように構成されている、請求項17に記載のシステム。 The first bus and the second bus are configured to simultaneously apply an electric field to each of the plurality of semiconductor wafers, and the substrate holder simultaneously exposes the plurality of semiconductor wafers to the heat source. 18. The system of claim 17, configured to: 前記基板ホルダが、石英ウェーハ支持体を備える、請求項17に記載のシステム。 18. The system of Claim 17, wherein the substrate holder comprises a quartz wafer support. 前記基板ホルダが、導電性ウェーハ支持体を備え、前記導電性ウェーハ支持体が、前記第2のバスを備える、請求項17に記載のシステム。 18. The system of claim 17, wherein said substrate holder comprises a conductive wafer support, said conductive wafer support comprising said second bus. 第3の複数の電極を備える第3のバスであって、前記第3の複数の電極が前記複数の半導体ウェーハの各々の前記第1の側面に取り外し可能に接触するように構成されており、前記第3のバスが電圧モニタに結合されている、第3のバス
を更に備える、請求項17に記載のシステム。
a third bus comprising a third plurality of electrodes, said third plurality of electrodes configured to removably contact said first side of each of said plurality of semiconductor wafers; 18. The system of Claim 17, further comprising a third bus, wherein said third bus is coupled to a voltage monitor.
JP2022026510A 2021-02-25 2022-02-24 Semiconductor processing system using in situ electrical bias Pending JP2022130335A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/185231 2021-02-25
US17/185,231 US11894240B2 (en) 2020-04-06 2021-02-25 Semiconductor processing systems with in-situ electrical bias

Publications (1)

Publication Number Publication Date
JP2022130335A true JP2022130335A (en) 2022-09-06

Family

ID=83151303

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022026510A Pending JP2022130335A (en) 2021-02-25 2022-02-24 Semiconductor processing system using in situ electrical bias

Country Status (3)

Country Link
JP (1) JP2022130335A (en)
KR (1) KR20220121750A (en)
TW (1) TWI821915B (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171934B1 (en) * 1998-08-31 2001-01-09 Symetrix Corporation Recovery of electronic properties in process-damaged ferroelectrics by voltage-cycling
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
KR101926658B1 (en) * 2017-03-15 2018-12-07 이인철 Vacuum Pump system for semiconductor chamber

Also Published As

Publication number Publication date
KR20220121750A (en) 2022-09-01
TWI821915B (en) 2023-11-11
TW202242968A (en) 2022-11-01

Similar Documents

Publication Publication Date Title
US10833150B2 (en) Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
US20140264632A1 (en) Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
KR20180127180A (en) Method of manufacturing semiconductor device
CN108122849A (en) For forming the method for metal layer and its forming apparatus in the opening
US11264460B2 (en) Vertical transistor fabrication for memory applications
JP2024020242A (en) Manufacturing of vertical transistor intended for memory
TWI458013B (en) Selective etch process for silicon nitride
JP2006511941A (en) Adaptive negative differential resistance device
US11894240B2 (en) Semiconductor processing systems with in-situ electrical bias
US9537006B2 (en) Circuit element including a layer of a stress-creating material providing a variable stress
US11837652B2 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
US20210193793A1 (en) Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor
US20210367046A1 (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
US6783591B1 (en) Laser thermal annealing method for high dielectric constant gate oxide films
TWI821915B (en) Semiconductor processing systems with in-situ electrical bias
JP4537899B2 (en) Film-forming method and semiconductor device manufacturing method
TW202314865A (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
WO2021206684A1 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
JP4659436B2 (en) Manufacturing method of semiconductor device
WO2000045429A1 (en) Method for fabricating semiconductor integrated circuit device
TWI635566B (en) Method for filling trench with metal layer and semiconductor structure formed by using the same
KR100244400B1 (en) Method of forming dielectric film
KR20230054721A (en) Diffusion Barriers to Germanium
JP2003282563A (en) Forming method of amorphous metal oxide film
JP2009267085A (en) Ferroelectric element and method of manufacturing the same