TW202242968A - Semiconductor processing systems with in-situ electrical bias - Google Patents

Semiconductor processing systems with in-situ electrical bias Download PDF

Info

Publication number
TW202242968A
TW202242968A TW111106188A TW111106188A TW202242968A TW 202242968 A TW202242968 A TW 202242968A TW 111106188 A TW111106188 A TW 111106188A TW 111106188 A TW111106188 A TW 111106188A TW 202242968 A TW202242968 A TW 202242968A
Authority
TW
Taiwan
Prior art keywords
electrode
semiconductor wafer
processing chamber
semiconductor
field
Prior art date
Application number
TW111106188A
Other languages
Chinese (zh)
Other versions
TWI821915B (en
Inventor
大衛 赫爾利
艾昂 德姆沙
伊恩 科爾根
格哈杜斯 范德林狄
派崔克 休斯
馬切伊 比雷爾
貝瑞 克拉克
米哈埃拉 伊萬納 波波維奇
拉爾斯 艾可 拉格納松
赫里特 J 盧森克
羅伯特 克拉克
蒂娜 特里優索
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/185,231 external-priority patent/US11894240B2/en
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202242968A publication Critical patent/TW202242968A/en
Application granted granted Critical
Publication of TWI821915B publication Critical patent/TWI821915B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Abstract

A system for processing semiconductor wafers, the system including: a processing chamber; a heat source; a substrate holder configured to expose a semiconductor wafer to the heat source; a first electrode configured to be detachably coupled to a first major surface of the semiconductor wafer; and a second electrode coupled to the substrate holder, the first electrode and the second electrode together configured to apply an electric field in the semiconductor wafer.

Description

具有原位電偏壓的半導體處理系統Semiconductor processing system with in situ electrical biasing

本發明大致關於半導體處理系統以及方法,及在特定實施例中,關於具有原位電偏壓的半導體處理系統。 [共同申請案之交互參照] The present invention generally relates to semiconductor processing systems and methods, and in particular embodiments, to semiconductor processing systems with in-situ electrical biasing. [Cross-Reference to Common Application]

本申請案參照美國非臨時專利申請案第16/841,342號(申請於2020年4月6日),該申請案乃藉由參考文獻方式合併於此。This application refers to US Nonprovisional Patent Application No. 16/841,342 (filed April 6, 2020), which is hereby incorporated by reference.

一般而言,半導體積體電路(IC)的製造係按順序將材料(例如介電質、金屬、半導體等等)層沉積在一半導體基板之上並使用光微影術及蝕刻將該等疊層圖案化以形成電路構件(例如電晶體及電容器)及連接元件(例如線路、接點、及介層窗)。最小的特徵尺寸隨著如浸潤式微影及多重圖案化之創新而週期性地減少,以藉由增加填充密度而減少成本。可藉由增加構件每單位面積的輸出而增強構件佔用面積(footprint)的微型化。舉例來說,每單位寬度的電晶體驅動電流或電容器存儲電荷密度可分別藉由使用較薄的閘極介電質或較薄的電容器介電質而增加。In general, semiconductor integrated circuits (ICs) are fabricated by sequentially depositing layers of materials (such as dielectrics, metals, semiconductors, etc.) Layers are patterned to form circuit components (such as transistors and capacitors) and connecting elements (such as lines, contacts, and vias). The minimum feature size is periodically reduced with innovations such as immersion lithography and multiple patterning to reduce cost by increasing packing density. Miniaturization of device footprint can be enhanced by increasing the output per unit area of the device. For example, transistor drive current per unit width or capacitor stored charge density can be increased by using thinner gate dielectrics or thinner capacitor dielectrics, respectively.

然而,微型化的益處會在製程複雜度、電路速度、及待機功率消耗之中帶來若干成本,這可能需要被解決。朝較窄的線寬及在導體與電極之間縮小空間的微縮趨勢有著性能折衷。這些折衷的若干者可能藉由使用新材料而減輕。舉例而言,在連接系統之中,因為線路及介層窗較高的電阻及線路間增加的電容而導致IR壓降及RC延遲的增加,可能藉由使用如釕及鈷(取代鎢及銅)之金屬、及如氟矽酸鹽玻璃及碳摻雜氧化物之低k金屬間介電質(IMD)而減輕。在電晶體之中源極至汲極間隔的減少及較薄的閘極介電質或電容器介電質可能增加待機漏電。此問題可能藉由使用一高k介電質或一鐵電介電材料而減輕。However, the benefits of miniaturization come with several costs in process complexity, circuit speed, and standby power consumption, which may need to be addressed. There is a performance trade-off in the scaling trend towards narrower line widths and reduced space between conductors and electrodes. Some of these tradeoffs may be mitigated through the use of new materials. For example, in interconnected systems, increased IR drop and RC delay due to higher resistance of lines and vias and increased capacitance between lines can be achieved by using materials such as ruthenium and cobalt (replacing tungsten and copper) ) metals, and low-k intermetal dielectrics (IMDs) such as fluorosilicate glasses and carbon-doped oxides. Reduced source-to-drain spacing and thinner gate or capacitor dielectrics in transistors can increase standby leakage. This problem may be alleviated by using a high-k dielectric or a ferroelectric dielectric material.

新材料的納入需要進一步的創新以更佳地利用由它們在IC中的使用所提供的優勢。The incorporation of new materials requires further innovations to better exploit the advantages offered by their use in ICs.

依據本發明的一實施例,一種用於處理半導體晶圓的系統,其中該系統包括一處理腔室;一加熱源;一基板固持器,其設置以暴露一半導體晶圓至該加熱源;第一電極,其設置為可拆卸地耦合到該半導體晶圓的第一主要表面;及第二電極,其耦合至該基板固持器,該第一電極與該第二電極共同設置成在該半導體晶圓中施加一電場。According to an embodiment of the present invention, a system for processing a semiconductor wafer, wherein the system includes a processing chamber; a heat source; a substrate holder configured to expose a semiconductor wafer to the heat source; an electrode configured to be detachably coupled to the first major surface of the semiconductor wafer; and a second electrode coupled to the substrate holder, the first electrode and the second electrode co-located on the semiconductor wafer An electric field is applied in the circle.

依據本發明的一實施例,一種用於處理半導體晶圓的系統,其中該系統包括一處理腔室;一加熱源;一基板固持器,其設置以暴露複數半導體晶圓至該加熱源;第一匯流排,包括用於接觸該複數半導體晶圓之各者的第一側之第一複數電極;及第二匯流排,包括用於接觸該複數半導體晶圓之各者的第二側之第二複數電極,該第一匯流排與該第二匯流排共同設置成在該複數半導體晶圓之各者中施加一電場。According to an embodiment of the present invention, a system for processing semiconductor wafers, wherein the system includes a processing chamber; a heat source; a substrate holder configured to expose a plurality of semiconductor wafers to the heat source; A bus bar, including a first plurality of electrodes for contacting a first side of each of the plurality of semiconductor wafers; and a second bus bar, including a first electrode for contacting a second side of each of the plurality of semiconductor wafers Two plural electrodes, the first bus bar and the second bus bar are jointly arranged to apply an electric field in each of the plurality of semiconductor wafers.

依據本發明的一實施例,一種用於處理半導體晶圓的快速熱處理(RTP)系統,其中該系統包括一RTP腔室;一基板固持器設置以支撐一基板;一電磁能量源設置以加熱被該基板固持器所支撐的該基板;第一電極設置以可拆卸地耦合至該基板的第一側,該第一電極耦合至第一電位節點;及第二電極設置以可拆卸地耦合至該基板的相反第二側,該第二電極耦合至一第二電位節點,該第一電極與該第二電極共同設置以通過該基板施加一電場。 【圖示簡單說明】 In accordance with an embodiment of the present invention, a rapid thermal processing (RTP) system for processing semiconductor wafers, wherein the system includes an RTP chamber; a substrate holder configured to support a substrate; an electromagnetic energy source configured to heat a substrate the substrate supported by the substrate holder; a first electrode configured to be detachably coupled to the first side of the substrate, the first electrode coupled to a first potential node; and a second electrode configured to be detachably coupled to the On an opposite second side of the substrate, the second electrode is coupled to a second potential node, the first electrode being co-located with the second electrode to apply an electric field across the substrate. 【Simplified illustration】

為了更完整地理解本發明及其優勢,現在參考以下結合附隨圖式所做的描述,其中:For a more complete understanding of the present invention and its advantages, reference is now made to the following description taken in conjunction with the accompanying drawings in which:

圖1A係依據本發明之實施例,繪示一電場退火器的一處理腔室的橫剖面圖;1A is a cross-sectional view illustrating a processing chamber of an electric field annealer according to an embodiment of the present invention;

圖1B係依據本發明之替代實施例,繪示一電場退火器的一處理腔室的橫剖面圖;1B is a cross-sectional view of a processing chamber of an electric field annealer according to an alternate embodiment of the present invention;

圖2係依據本發明之實施例,繪示一電場退火器的裝載導軌的透視圖;2 is a perspective view illustrating a loading rail of an electric field annealer according to an embodiment of the present invention;

圖3係圖2之中所繪示透視圖的細節之放大透視圖;Figure 3 is an enlarged perspective view of a detail of the perspective view shown in Figure 2;

圖4係依據本發明之實施例,繪示一電場退火器的裝載導軌之透視圖;4 is a perspective view illustrating a loading rail of an electric field annealer according to an embodiment of the present invention;

圖5A係圖2之中所繪示透視圖的細節之放大透視圖;Figure 5A is an enlarged perspective view of a detail of the perspective view depicted in Figure 2;

圖5B係圖2之中所繪示透視圖的細節之不同方向的放大透視圖;Figure 5B is an enlarged perspective view in different directions of a detail of the perspective view depicted in Figure 2;

圖6A-6C係根據本發明的一實施例,繪示被放置在一電場退火器的處理腔室之中的各種不同半導體晶圓之橫剖面圖;6A-6C are cross-sectional views of various semiconductor wafers placed in a processing chamber of an electric field annealer, according to one embodiment of the present invention;

圖7A-7B係根據本發明的一實施例,繪示電場退火器配置的橫剖面圖,包含使用來自加熱源之傳導熱傳遞的單一晶圓電場退火處理腔室;7A-7B are cross-sectional views of an electric field annealer configuration, including a single wafer electric field anneal processing chamber using conductive heat transfer from a heating source, in accordance with one embodiment of the present invention;

圖8A-8D係根據本發明的一實施例,繪示電場退火器配置的橫剖面圖,包含使用來自加熱源之輻射熱傳遞的單一晶圓電場退火處理腔室;8A-8D are cross-sectional views illustrating an EFA configuration including a single wafer EFA processing chamber using radiant heat transfer from a heating source, in accordance with one embodiment of the present invention;

圖9係根據本發明的一實施例,繪示電場退火器配置的橫剖面圖,包含使用來自加熱源之對流熱傳遞的單一晶圓電場退火處理腔室;9 is a cross-sectional view illustrating an electric field annealer configuration including a single wafer electric field anneal processing chamber using convective heat transfer from a heating source in accordance with one embodiment of the present invention;

圖10A-10C係根據本發明的一實施例,繪示電場退火器配置的橫剖面圖,包含複數晶圓電場退火處理腔室;10A-10C are cross-sectional views illustrating an electric field annealer configuration, including a plurality of wafer electric field annealing chambers, according to an embodiment of the present invention;

圖11A-11D係根據本發明的一實施例,繪示集群工具的橫剖面圖,包含電場退火模塊。11A-11D are cross-sectional views of a cluster tool, including an electric field annealing module, according to one embodiment of the present invention.

此揭露描述用於處理半導體晶圓的設備及方法,同時在處理期間跨晶圓的二導體層施加電偏壓電壓。該偏壓係經由與該晶圓直接電性接觸且連接至位在該處理腔室之外的功率供給件之電極而施加。在本說明書之中,與該電偏壓同時實施的退火製程稱為E場退火,且用以實施E場退火的處理設備被稱為E場退火器。該處理腔室可稱為E場退火腔室。在例示實施例中,在沉積後退火(PDA)處理步驟期間,該電偏壓用以使該晶圓之中的介電膜經受理想大小的DC電場(E場)。This disclosure describes apparatus and methods for processing semiconductor wafers while applying an electrical bias voltage across two conductor layers of the wafer during processing. The bias voltage is applied via electrodes in direct electrical contact with the wafer and connected to a power supply external to the processing chamber. In this specification, the annealing process performed simultaneously with the electrical bias is called E-field annealing, and the processing equipment used to implement the E-field annealing is called an E-field annealer. The processing chamber may be referred to as an E-field annealing chamber. In an exemplary embodiment, the electrical bias is used to subject the dielectric film in the wafer to a DC electric field (E-field) of a desired magnitude during a post-deposition anneal (PDA) processing step.

在包括例如金屬氧化物半導體場效電晶體(MOSFET)及/或電容器之鐵電介電質基礎電子構件的製造之若干製造處理流程中,如以下所解釋,使用E場PDA可能為有利的。用以形成一鐵電層的處理步驟可能包含沉積一鐵電氧化物,例如摻雜氧化鉿、或摻雜鋯酸鉿、或鈣鈦礦氧化物(例如鈦酸鋇鍶)、或鉍金屬氧化物。許多摻雜劑,如La、Al、Si、Sr、Gd及Y,已顯示可藉由扭曲晶體結構而改進鐵電性能。然而,在HfO 2、HfAlO x或HfZrO x的情形中,可能有著複數的晶相。在這些材料之中,該沉積後退火(PDA)條件在誘發具有鐵電性能的理想的非中心對稱斜方晶相之中扮演了重要的角色。被稱為鐵電退火(FEA)的該PDA步驟可能將沉積的氧化鉿層轉化為穩定或亞穩的多晶鐵電氧化鉿層。包含使用氧化鉿基礎鐵電介電質的電子構件之IC的製造流程,通常包括一電性循環步驟(在本文中稱為喚醒(wake-up)循環)以獲得穩定的鐵電性質。在本揭露中的實施例之中,鐵電MOSFET (FE-FET)及鐵電電容器可能使用例如鐵電介電質(包含例如氧化鉿)所製成,其中在結晶化FEA期間,該介電質經受上文提及的、使用以下將進一步細節描述的設備及方法所施加的DC E場。在例示實施例之中所使用的該E場FEA技術可能提供縮短及(在若干實施例之中)剔除該喚醒循環的優勢。喚醒效果在以下進一步詳細描述。應當理解到,使用本揭露的各種不同實施例加以描述的該E場FEA技術,可對使用氧化鉿基礎材料之外的材料形成鐵電層提供類似的益處。 In several manufacturing process flows including the manufacture of ferroelectric dielectric basic electronic components such as metal oxide semiconductor field effect transistors (MOSFETs) and/or capacitors, as explained below, it may be advantageous to use an E-field PDA. Processing steps to form a ferroelectric layer may include depositing a ferroelectric oxide, such as doped hafnium oxide, or doped hafnium zirconate, or a perovskite oxide such as barium strontium titanate, or bismuth metal oxide thing. Many dopants, such as La, Al, Si, Sr, Gd, and Y, have been shown to improve ferroelectric properties by distorting the crystal structure. However, in the case of HfO2 , HfAlOx or HfZrOx , there may be a plurality of crystal phases. Among these materials, the post-deposition annealing (PDA) conditions play an important role in inducing the ideal noncentrosymmetric orthorhombic phase with ferroelectric properties. This PDA step, known as ferroelectric annealing (FEA), potentially converts the deposited hafnium oxide layer into a stable or metastable polycrystalline ferroelectric hafnium oxide layer. The fabrication process of ICs containing electronic components using hafnium oxide-based ferroelectric dielectrics typically includes an electrical cycling step (referred to herein as a wake-up cycle) to obtain stable ferroelectric properties. In embodiments of the present disclosure, ferroelectric MOSFETs (FE-FETs) and ferroelectric capacitors may be fabricated using, for example, ferroelectric dielectrics, including, for example, hafnium oxide, wherein during crystallization of the FEA, the dielectric The mass is subjected to the DC E field mentioned above applied using the apparatus and methods described in further detail below. The E-field FEA technique used in example embodiments may offer the advantage of shortening and (in some embodiments) eliminating the wake-up cycle. The wake-up effect is described in further detail below. It should be appreciated that the E-field FEA technique described using various embodiments of the present disclosure may provide similar benefits for forming ferroelectric layers using materials other than the hafnium oxide base material.

介電材料可能以一電場(E)加以極化。響應該E場的電性極化向量(P)通常係電場E的一函數,其對於中心對稱的介電質而言大致為線性及對稱的。中心對稱介電質為非鐵電性的(即,在E = 0時,P = 0)。然而,若干非中心對稱介電質為鐵電性的,亦即,它們顯示出自發或殘留極化;在E = 0時,P = P R≠ 0,稱為剩餘極化(P R)。必須施加反極性的矯頑電場(E C)以在鐵電介電質之中迫使P為零。該鐵電性的P對E曲線為非線性的,有著大致上對稱的磁滯迴線。如本技術領域中具通常知識者所知,如氧化鉿基礎鐵電薄膜之若干鐵電膜展現出一喚醒效應,其中,使用習用製程(未使用E場退火)所製造的原膜具有一收縮的磁滯曲線(小P R),在其循環經過相對高的正向(正值)及反向(負值)E場複數次之後(例如,大約10 2循環至大約10 5循環),該磁滯曲線會打開成為穩定、較寬的磁滯迴線(較大的P R)。通常而言,包含有著不穩定P R之原介電膜的鐵電構件之每一者必須藉由喚醒循環加以穩定,以使相對應的電路如所設計地作用。因此,可確認,在本揭露之中所描述的該創新的E場退火技術,藉由減少喚醒循環的次數以及在若干實施例之中剔除該喚醒循環步驟,而提供了顯著的優勢。 The dielectric material may be polarized with an electric field (E). The electrical polarization vector (P) responsive to the E field is generally a function of the electric field E, which is approximately linear and symmetric for centrosymmetric dielectrics. Centrosymmetric dielectrics are non-ferroelectric (ie, at E = 0, P = 0). However, several non-centrosymmetric dielectrics are ferroelectric, that is, they exhibit spontaneous or remnant polarization; at E = 0, P = PR ≠ 0, called remanent polarization (P R ) . A coercive electric field (EC ) of opposite polarity must be applied to force P to zero in the ferroelectric dielectric. The ferroelectric P versus E curve is nonlinear and has a substantially symmetrical hysteresis loop. As is known to those of ordinary skill in the art, some ferroelectric films such as hafnium oxide based ferroelectric films exhibit a wake-up effect where the original film produced using conventional processes (without E-field annealing) has a shrinkage The hysteresis curve (small P R ), after it cycles through relatively high forward (positive) and reverse (negative) E fields multiple times (for example, about 10 2 cycles to about 10 5 cycles), the The hysteresis curve opens up into a stable, wider hysteresis loop (larger P R ). In general, each of the ferroelectric components including the original dielectric film with unstable P R must be stabilized by wake-up cycling in order for the corresponding circuit to function as designed. Thus, it can be confirmed that the innovative E-field annealing technique described in this disclosure provides significant advantages by reducing the number of wake-up cycles and, in some embodiments, eliminating the wake-up cycle step.

在它的P對E性質中,磁滯現象的存在允許一鐵電電容器被用作一非揮發性記憶體(NVM)元件。舉例而言,可能藉由分別利用高的正或負偏壓電壓迫使該鐵電電容器進入其P對E磁滯迴線的上部或下部分支,使其處於相對應之高的正或負極化狀態,以儲存「1」或「0」的二進位邏輯態。在該偏壓移除(E = 0)之後,根據該鐵電電容器被迫使進入其P對E磁滯迴線的上部或下部分支的何者,一部份的極化被保留作為剩餘極化,+P R或 –P R。由於在該磁滯曲線的每一分支之中的最大位移電流(對應於P對E的最大斜率)會發生在相反的極性,因此可藉由例如對響應於一給定極性的電壓斜坡之電容器電流進行感測,而擷取所儲存的資訊。如來自上文所解釋的資料儲存及擷取機制的理解,因為穩定及高的P R的重要性,在IC製造之中通常會實施一喚醒循環步驟,該IC包含在沒有上文所提及的E場FEA之情況下所形成的氧化鉿基礎鐵電NVM。然而,使用在本揭露之中所述的E場退火器及E場FEA,可藉由減少喚醒循環的數量以及在若干實施例之中自製造流程之中剔除喚醒循環步驟,而提供減少氧化鉿基礎鐵電NVM成本的優勢。 In its P-to-E nature, the presence of hysteresis allows a ferroelectric capacitor to be used as a non-volatile memory (NVM) element. For example, it is possible by forcing the ferroelectric capacitor into the upper or lower branch of its P-to-E hysteresis loop with a high positive or negative bias voltage respectively, placing it in a correspondingly high positive or negative polarization state , to store the binary logic state of "1" or "0". After the bias is removed (E = 0), a portion of the polarization is retained as remanent polarization, depending on which of the ferroelectric capacitor is forced into the upper or lower branch of its P versus E hysteresis loop, +P R or –P R . Since the maximum displacement current (corresponding to the maximum slope of P versus E) in each branch of the hysteresis curve will occur at opposite polarities, it can be obtained by, for example, a capacitor responding to a voltage ramp of a given polarity The current is sensed to retrieve the stored information. As understood from the data storage and retrieval mechanism explained above, because of the importance of stability and high PR , a wake-up cycle step is usually implemented in the manufacture of ICs that include devices that are not mentioned above. Hafnium oxide-based ferroelectric NVM formed in the case of E-field FEA. However, use of the E-field annealer and E-field FEA described in this disclosure can provide reduced hafnium oxide by reducing the number of wake-up cycles and, in some embodiments, eliminating the wake-up cycle step from the fabrication flow. Advantages of basic ferroelectric NVM cost.

鐵電性可能被用以形成FE-FET的閘極介電堆疊體。如果該閘極介電堆疊體的剩餘極化足夠地高,則相似於該鐵電電容器,該電晶體一旦被程式化,即便在該程式化電壓被移除之後,該電晶體仍可保持其狀態且維持「開」或「關」。如此的FE-FET亦可被用於在NVM單元之中儲存數位資訊。如在以上的該氧化鉿基礎鐵電電容器NVM的上下文之中所解釋,氧化鉿基礎鐵電FE-FET NVM的製造成本可藉由使用創新的E場退火器及E場FEA而降低。Ferroelectricity may be used to form the gate dielectric stack of FE-FETs. If the remanent polarization of the gate dielectric stack is high enough, similar to the ferroelectric capacitor, the transistor, once programmed, can retain its function even after the programming voltage is removed. state and maintain "on" or "off". Such FE-FETs can also be used to store digital information in NVM cells. As explained above in the context of the hafnium oxide based ferroelectric capacitor NVM, the manufacturing cost of the hafnium oxide based ferroelectric FE-FET NVM can be reduced by using the innovative E-field annealer and E-field FEA.

在數位邏輯件或類比電路之中使用時,相較於習用的(即,非鐵電性的)MOSFET,FE-FET可提供若干優勢。被用在數位邏輯件及/或類比電路的FE-FET之閘極介電堆疊體包含了鐵電及非鐵電薄膜。當用在電路之中時,例如用作一數位開關時,該閘極介電堆疊體的鐵電部份提供一動態電容,該動態電容在特定的偏壓掃描條件之下(如,掃描速率或頻率),可由於該鐵電質的極化之轉換而導致一電壓突返(snap-back)。此突返可能導致該FE-FET之理想的較陡次臨界值及較高的I ON/I OFF比。在此上下文之中,該FE-FET一般被稱為負電容場效電晶體(NCFET)。在此,更正確的說法是陡坡鐵電場效電晶體(SSFEFET)。然而,在該閘極介電堆疊體之中的鐵電性質(例如,P R)以及膜的厚度可能必須被適當地調整以達到無磁滯電晶體的I-V及C-V曲線。如本技術領域中通常知識者所知,無磁滯I-V及C-V曲線意味著穩定的電晶體作業,而磁滯的出現可能導致電路不穩定及意外的電震盪。應當理解到,由於電路穩定性的考量,P R必須維持穩定且在一設計窗口之內,以使SSFEFET在不令該電路不穩定之情況下提供預期的電路益處。因此,不包含E場FEA的SSFEFET製造流程可能包含一喚醒循環步驟,而在本揭露中所述之創新的E場退火技術之使用可藉由在減少喚醒循環及在若干實施例之中沒有喚醒循環的情況下達到穩定的鐵電性質,提供減少成本的優勢。 When used in digital logic or analog circuits, FE-FETs may offer several advantages over conventional (ie, non-ferroelectric) MOSFETs. The gate dielectric stacks of FE-FETs used in digital logic and/or analog circuits include ferroelectric and non-ferroelectric thin films. When used in a circuit, such as a digital switch, the ferroelectric portion of the gate dielectric stack provides a dynamic capacitance that under specified bias sweep conditions (e.g., scan rate or frequency), may result in a voltage snap-back due to the switching of the ferroelectric polarization. This snapback may result in a desirable steeper subthreshold and higher I ON /I OFF ratio for the FE-FET. In this context, the FE-FET is generally referred to as a negative capacitance field effect transistor (NCFET). Here, it is more correct to say that it is a steep-slope ferroelectric field-effect transistor (SSFEFET). However, the ferroelectric properties (eg, P R ) and film thickness in the gate dielectric stack may have to be properly tuned to achieve the IV and CV curves of the hysteresis-free crystal. As known by those skilled in the art, hysteresis-free IV and CV curves imply stable transistor operation, while the presence of hysteresis may lead to circuit instability and unexpected electrical oscillations. It should be appreciated that due to circuit stability considerations, P R must remain stable and within a design window in order for the SSFEFET to provide the desired circuit benefits without destabilizing the circuit. Therefore, SSFEFET fabrication flows that do not include E-field FEA may include a wake-up cycle step, and the use of the innovative E-field annealing technique described in this disclosure can be improved by reducing the wake-up cycle and in some embodiments without wake-up Stable ferroelectric properties are achieved with cycling, offering the advantage of reduced cost.

在本揭露中,首先,該E場退火技術係使用在E場退火(例如,E場FEA)處理步驟期間的E場退火器之處理腔室的示意橫剖面圖而加以描述,如圖1A以及圖1B中的替代實施例所顯示。該E場退火器進一步參考圖2-5之中所繪示的E場退火器之裝載導軌的各種不同透視圖加以描述。在FE-FET/SSFEFET及/或MOS鐵電電容器的閘極介電層之E場FEA期間的電性連接,係分別參考在圖6A及6B之中所繪示的平面塊體互補式MOS(CMOS)及絕緣層上矽晶(SOI)CMOS半導體晶圓的橫剖面圖而加以描述。除了MOS電容器之外,在IC之中的電容器構件(通常稱為MIM電容器)可使用該電容器的頂電極及底電極二者之金屬層而形成。在本揭露中,縮寫用以在非鐵電及鐵電絕緣層之間做區分;非鐵電絕緣層縮寫為I,而鐵電絕緣層縮寫為F。在E場FEA期間對MFM電容器的電極所做成的電性連接係參考圖6C之中所繪示的橫剖面圖而加以敘述。In this disclosure, first, the E-field annealing technique is described using a schematic cross-sectional view of a processing chamber of an E-field annealer during an E-field anneal (e.g., E-field FEA) processing step, as shown in FIG. 1A and An alternative embodiment is shown in Figure 1B. The field E annealer is further described with reference to various perspective views of the loading rail of the field E annealer shown in FIGS. 2-5 . The electrical connection during E-field FEA of the gate dielectric layer of FE-FET/SSFEFET and/or MOS ferroelectric capacitor is referred to planar bulk complementary MOS ( CMOS) and silicon-on-insulator (SOI) CMOS semiconductor wafers are described in cross-sectional view. In addition to MOS capacitors, capacitor components in ICs, commonly referred to as MIM capacitors, can be formed using metal layers for both the top and bottom electrodes of the capacitor. In this disclosure, abbreviations are used to distinguish between non-ferroelectric and ferroelectric insulating layers; non-ferroelectric insulating layers are abbreviated as I, and ferroelectric insulating layers are abbreviated as F. The electrical connections made to the electrodes of the MFM capacitor during E-field FEA are described with reference to the cross-sectional view shown in FIG. 6C.

如參考圖1A與1B而加以敘述,E場退火可能在單一晶圓處理腔室 (例如,處理腔室225) 中或在複數晶圓(或批次)處理腔室(例如,處理腔室226)中執行。使用熱處理系統(例如,熱處理系統235以及236)將半導體晶圓50加熱至理想溫度並維持在該理想溫度,該熱處理系統包含加熱源、溫度感測器、以及調節傳輸至加熱源的功率之溫度控制器。E場退火器可設置帶有具備緩慢升溫且穩定時間約為幾分鐘的烘箱,或是帶有適用於快速熱處理 (rapid thermal processing,RTP)的加熱源,其中半導體晶圓通常在幾秒或幾毫秒內被快速加熱至高溫,在若干實施例中,在微秒之內。RTP技術可減少處理時間,對設置用於單一晶圓處理的E場退火器提供許多好處。然而,設有單一或複數晶圓處理腔室之E場退火器可配置用於RTP。各種不同E場退火器實施例可設置帶有各種不同熱處理系統,其包含以諸多不同方式相對於半導體晶圓定向的各種不同加熱源,如下文進一步描述的。As described with reference to FIGS. 1A and 1B , the E-field anneal may be in a single wafer processing chamber (e.g., processing chamber 225) or in a multi-wafer (or batch) processing chamber (e.g., processing chamber 226). ) is executed. Semiconductor wafer 50 is heated to and maintained at a desired temperature using a thermal processing system (e.g., thermal processing systems 235 and 236) that includes a heating source, a temperature sensor, and a temperature that regulates power delivered to the heating source controller. The E field annealer can be equipped with an oven with a slow temperature rise and a stabilization time of about a few minutes, or with a heating source suitable for rapid thermal processing (rapid thermal processing, RTP), in which the semiconductor wafer is usually processed within a few seconds or a few minutes. Rapidly heated to a high temperature within milliseconds, and in some embodiments, within microseconds. RTP technology reduces processing time and offers many benefits to E-field annealers set up for single wafer processing. However, E-field annealers with single or multiple wafer processing chambers can be configured for RTP. Various different E-field annealer embodiments may be provided with various different thermal processing systems comprising various different heating sources oriented in many different ways relative to the semiconductor wafer, as further described below.

又如參考圖1A與1B而加以敘述,在單一晶圓或複數晶圓處理腔室中的E場退火期間,半導體晶圓50被電性偏置。可透過將半導體晶圓50的電極和處理腔室(例如,處理腔室225和226)的導電部分電性耦合到處理腔室外部的電性構件(例如DC功率供給件130、伏特計150、以及參考電位,該參考電位被稱為接地)而提供並監測電偏壓。在各種不同實施例中,該E場退火器可設置為具有不同電性連接,如下文進一步描述的。As also described with reference to FIGS. 1A and 1B , during E-field annealing in a single-wafer or multi-wafer processing chamber, the semiconductor wafer 50 is electrically biased. The electrodes of the semiconductor wafer 50 and conductive portions of the processing chamber (e.g., processing chambers 225 and 226) may be electrically coupled to electrical components outside the processing chamber (e.g., DC power supply 130, voltmeter 150, and Reference potential, which is referred to as ground) provides and monitors the electrical bias. In various embodiments, the E-field annealer can be configured with different electrical connections, as further described below.

圖7A-7B、8A-8D、以及9繪示設置用於單一晶圓處理之E場退火器的各種不同配置。圖10A-10C繪示適用於批次處理之E場退火器的配置。7A-7B, 8A-8D, and 9 illustrate various configurations of E-field annealers configured for single wafer processing. Figures 10A-10C illustrate the configuration of an E-field annealer suitable for batch processing.

在各種不同實施例中,熱處理系統在E場退火期間使用傳導、輻射或對流的熱傳遞機制,或上述熱傳遞機制的組合,達到半導體晶圓的理想溫度。圖7A-7B繪示使用來自熱板加熱源的傳導熱傳遞之實施例。圖8A-8D繪示設置為使用來自各種不同類型之加熱源的輻射熱傳遞之實施例,並且參考圖9敘述對流加熱。In various embodiments, the thermal processing system uses conductive, radiative, or convective heat transfer mechanisms, or combinations thereof, to achieve the desired temperature of the semiconductor wafer during the E-field anneal. 7A-7B illustrate an embodiment using conductive heat transfer from a hot plate heating source. 8A-8D illustrate embodiments configured to use radiative heat transfer from various types of heating sources, and convective heating is described with reference to FIG. 9 .

在各種不同實施例中,各種不同配置可能進行電性連接以施加E場並監測半導體晶圓上的電位。圖10A-10C繪示設置用於批次處理的三個例示實施例,該等例示實施例具有不同電性連接方式以將半導體晶圓50和處理腔室的各種不同導電部分電性耦合到DC功率供給件130、伏特計150、以及接地。In various embodiments, various configurations may be electrically connected to apply the E-field and monitor the potential on the semiconductor wafer. 10A-10C illustrate three exemplary embodiments configured for batch processing with different electrical connections to electrically couple various conductive portions of the semiconductor wafer 50 and processing chamber to DC. Power supply 130, voltmeter 150, and ground.

E場退火處理腔室可為獨立的處理腔室、設置以執行E場退火以及同時或順序執行的若干其他處理(例如,沉積)的處理腔室、或在具有其他腔室之半導體處理系統的集群配置中的E場退火腔室。參考圖11A-11D敘述包含被稱為集群工具的處理模塊集群之半導體處理系統的若干範例。The E-field anneal processing chamber may be a stand-alone processing chamber, a processing chamber configured to perform an E-field anneal and several other processes (e.g., deposition) performed simultaneously or sequentially, or within a semiconductor processing system having other chambers E-field annealing chamber in cluster configuration. Several examples of semiconductor processing systems that include clusters of processing modules called cluster tools are described with reference to FIGS. 11A-11D .

材料層的各種不同組合之堆疊體可能為了在鐵電電子裝置(例如,電晶體及電容器)之中使用而形成。該堆疊體可能包含鐵電層,以及非鐵電介電層、金屬層、及半導體。其中的範例包含,但不侷限於以下堆疊體(疊層以由上至下加以表列):金屬-鐵電-金屬(MFM)、金屬-鐵電-絕緣體-金屬(MFIM)、金屬-鐵電-絕緣體-半導體(MFIS)、金屬-鐵電-金屬-半導體(MFMS)、金屬-鐵電-金屬-絕緣體-半導體(MFMIS)、半導體-鐵電-半導體(SFS)、及半導體-鐵電-絕緣體-半導體(SFIS)。在本揭露中,例示堆疊體可能為MFIS(例如,在FEFET/SSFEFET電晶體之中)或MFM(例如,在有著頂及底金屬電極的電容器之中)。Stacks of various combinations of material layers may be formed for use in ferroelectric electronic devices such as transistors and capacitors. The stack may include ferroelectric layers, as well as non-ferroelectric dielectric layers, metal layers, and semiconductors. Examples include, but are not limited to, the following stacks (stacks are listed from top to bottom): metal-ferroelectric-metal (MFM), metal-ferroelectric-insulator-metal (MFIM), metal-iron Electro-Insulator-Semiconductor (MFIS), Metal-Ferroelectric-Metal-Semiconductor (MFMS), Metal-Ferroelectric-Metal-Insulator-Semiconductor (MFMIS), Semiconductor-Ferroelectric-Semiconductor (SFS), and Semiconductor-Ferroelectric - Insulator-Semiconductor (SFIS). In this disclosure, exemplary stacks may be MFIS (eg, in FEFET/SSFEFET transistors) or MFM (eg, in capacitors with top and bottom metal electrodes).

圖1A示意地繪示放置在E場退火器的處理腔室225之內的基板固持器10之上的半導體晶圓50之橫剖面圖,該E場退火器係被裝備以實施E場退火的退火器。該處理腔室225包含熱處理系統235,其被設計以對放置在該處理腔室225之內的晶圓進行熱處理。在各種不同實施例之中,該熱處理系統235包含對加熱及冷卻元件進行控制的溫度控制器,藉由使用燈具、電阻性元件、及放置在處理腔室225之內或之外的各種不同位置之其他元件,以將處理腔室225之內的半導體晶圓50維持在理想的溫度。於下文進一步描述在E場退火器的處理腔室之實施例中所使用的一些熱處理系統。FIG. 1A schematically illustrates a cross-sectional view of a semiconductor wafer 50 placed on a substrate holder 10 within a processing chamber 225 of an E-field annealer equipped to perform an E-field anneal. Annealer. The processing chamber 225 includes a thermal processing system 235 designed to thermally treat wafers placed within the processing chamber 225 . In various embodiments, the thermal processing system 235 includes temperature controllers that control the heating and cooling elements by using lamps, resistive elements, and various locations within or outside of the processing chamber 225 Other elements are used to maintain the semiconductor wafer 50 in the processing chamber 225 at an ideal temperature. Some thermal processing systems used in embodiments of the processing chamber of the E-field annealer are described further below.

半導體晶圓50包含一基板20、形成在基板20之上的一MOS介電層30、及形成在MOS介電層30之上的一傳導頂電極層40。The semiconductor wafer 50 includes a substrate 20 , a MOS dielectric layer 30 formed on the substrate 20 , and a conductive top electrode layer 40 formed on the MOS dielectric layer 30 .

如在圖1A之中所示意地繪示,第一E場退火器電極與傳導頂電極層40呈實體及電性接觸。該第一E場退火器電極可能包含不受高溫處理影響的傳導材料。在一實施例中,該第一E場退火器電極可能包含鎢。該第一E場退火器電極包含主要電極211(例如,鎢絲帶),該主要電極211使用合適的導體(例如,鎢)的主要導線110連接至DC功率供給件130的第一終端,該合適導體可能在退火期間被加熱至高溫而不受損害。絲帶形狀的主要電極211在該退火製程期間提供了類彈簧行為,以在其被加熱時協助避免滑動及維持與半導體晶圓50之表面的良好實體接觸。傳導頂電極層40的電位可能可選擇地使用一伏特計150加以監控,該伏特計150藉由監控導線112(相似於主要導線110)連接至另一監控電極212,例如,被放置以與傳導頂電極層40接觸的另一鎢絲帶。該二個電極係藉由傳導頂電極層40加以電性地短路在一起。該主要電極211及該監控電極212可集合地被稱為第一E場退火器電極210。主要導線110及監控導線112可集合地被稱為雙導線115。As schematically depicted in FIG. 1A , the first E field annealer electrode is in physical and electrical contact with the conductive top electrode layer 40 . The first E field annealer electrode may comprise a conductive material that is not affected by high temperature processing. In one embodiment, the first E field annealer electrode may comprise tungsten. The first E-field annealer electrode comprises a main electrode 211 (e.g., a tungsten ribbon) connected to a first terminal of the DC power supply 130 using a main wire 110 of a suitable conductor (e.g., tungsten), which is suitable Conductors may be heated to high temperatures during annealing without damage. The ribbon-shaped main electrode 211 provides a spring-like behavior during the annealing process to help avoid slippage and maintain good physical contact with the surface of the semiconductor wafer 50 as it is heated. The potential of the conductive top electrode layer 40 may optionally be monitored using a voltmeter 150 connected by a monitoring lead 112 (similar to the main lead 110) to another monitor electrode 212, for example, placed in contact with the conductive top electrode Layer 40 contacts another tungsten ribbon. The two electrodes are electrically shorted together by the conductive top electrode layer 40 . The main electrode 211 and the monitoring electrode 212 may collectively be referred to as a first E-field annealer electrode 210 . The main conductor 110 and the monitoring conductor 112 may collectively be referred to as a dual conductor 115 .

在圖1A中所繪示的例示實施例之中,與半導體晶圓50的背面實體連接之基板固持器10的表面被用作第二E場退火器電極。基板固持器10的表面可能以合適的導體材料塗佈,例如矽基礎、碳基礎、矽及碳複合物基礎、或金屬氮化物基礎塗層,以獲得適用於在退火溫度下用作一電極之導體表面。半導體晶圓50的背面及與背面相鄰的一部份可能係一導體材料,例如n型或p型摻雜矽或鍺,且可能與基板固持器10的表面電性接觸。在若干實施例之中,可利用背面蝕刻以暴露在該背面處的導體表面,以在半導體晶圓50的背面及基板固持器10的表面之間建立一電性接觸。In the exemplary embodiment depicted in FIG. 1A , the surface of the substrate holder 10 physically connected to the backside of the semiconductor wafer 50 is used as the second E-field annealer electrode. The surface of the substrate holder 10 may be coated with a suitable conductive material, such as a silicon base, a carbon base, a silicon and carbon composite base, or a metal nitride base coating, to obtain a coating suitable for use as an electrode at the annealing temperature. conductor surface. The backside of semiconductor wafer 50 and a portion adjacent to the backside may be a conductive material, such as n-type or p-doped silicon or germanium, and may be in electrical contact with the surface of substrate holder 10 . In some embodiments, backside etching may be utilized to expose the conductor surface at the backside to establish an electrical contact between the backside of semiconductor wafer 50 and the surface of substrate holder 10 .

如在圖1A中所示意地繪示,可將基板固持器10的表面連接至一參考電位,因此亦將半導體晶圓50的背面連接至該參考電位,該參考電位被稱為接地,且在圖1A之中以GND表示。該接地連接可使用相似於主要導線110的次要導線113加以建立。在此實施例中,次要導線113電性連接至接地導線,該接地導線將設備的主要結構之導體部份連接至系統接地。DC功率供給件130的第二終端亦連接至接地(GND)以在半導體晶圓50之上施加偏壓電壓。如本技術領域中通常知識者所理解及以下的進一步解釋,在該DC功率供給件的二終端之間的電壓降可能被調整,以在MOS介電層30之中實現具有理想極性及在理想範圍內的E場強度之E場。在各種不同實施例中,DC功率供給件130可能被配置以供給一合適的電壓,如在1 V與100 V之間,及在一實施例之中在3 V與10 V之間。As shown schematically in FIG. 1A , the surface of the substrate holder 10 , and thus also the backside of the semiconductor wafer 50 , can be connected to a reference potential, referred to as ground, and at It is represented by GND in Fig. 1A. This ground connection can be established using a secondary wire 113 similar to the primary wire 110 . In this embodiment, the secondary conductor 113 is electrically connected to a ground conductor that connects the conductor portion of the primary structure of the device to system ground. The second terminal of the DC power supply 130 is also connected to ground (GND) to apply a bias voltage on the semiconductor wafer 50 . As understood by those of ordinary skill in the art and explained further below, the voltage drop between the two terminals of the DC power supply may be adjusted to achieve a desired polarity in the MOS dielectric layer 30 and in the desired The E field of the E field strength within the range. In various embodiments, the DC power supply 130 may be configured to supply a suitable voltage, such as between 1 V and 100 V, and in one embodiment between 3 V and 10 V.

應注意,在退火期間所施加的偏壓可能為固定電壓或時變電壓,且幅度和波形可能依據材料、層厚度、退火條件及具體的裝置應用而廣泛地變化。上述DC偏壓電壓僅用於說明,且不應解釋為限制。時變電壓波形可包括脈衝DC、交替脈衝、正弦、鋸齒等。應進一步注意,所施加的偏壓可參考共用接地電位、若干其他固定參考電位、受控可變參考電位、時變電位、或浮動節點電位。It should be noted that the bias voltage applied during annealing may be a fixed voltage or a time-varying voltage, and that the amplitude and waveform may vary widely depending on the material, layer thickness, annealing conditions, and specific device application. The above DC bias voltages are for illustration only and should not be construed as limiting. Time-varying voltage waveforms may include pulsed DC, alternating pulses, sinusoidal, sawtooth, and the like. It should be further noted that the applied bias voltage may be referenced to a common ground potential, some other fixed reference potential, a controlled variable reference potential, a time-varying potential, or a floating node potential.

雖然在圖1A中的實施例係繪示處理腔室225之內的單一半導體晶圓50,惟需要理解到,可在合適地設計的處理腔室之內放置複數的晶圓,包含擋片晶圓。在圖1A中所示的E場退火器電極及電性連接被配置為單晶圓處理。然而,該E場退火器配置可能被改變以對一批半導體晶圓進行退火。適合用於批次處理的一例示實施例在圖1B之中加以繪示。Although the embodiment in FIG. 1A depicts a single semiconductor wafer 50 within the processing chamber 225, it should be understood that a plurality of wafers, including baffle wafers, may be placed within a suitably designed processing chamber. round. The E field annealer electrodes and electrical connections shown in FIG. 1A are configured for single wafer processing. However, the E field annealer configuration may be changed to anneal a batch of semiconductor wafers. An exemplary embodiment suitable for batch processing is depicted in FIG. 1B .

在圖1B中,複數的半導體晶圓50在開槽的基板固持器14之上水平地堆疊,該開槽的基板固持器14包含不受高溫處理影響的絕緣層(例如,一陶瓷絕緣層)。該絕緣材料防止該基板固持器14在半導體晶圓50的傳導頂面及背面之間產生電性短路。該堆疊晶圓顯示為被裝載在該E場退火器的一處理腔室226之內。位在處理腔室226之內的為二個傳導匯流排:第一傳導匯流排108及第二傳導匯流排109,分別固定在開槽的基板固持器14之上方及下方。處理腔室226之內的溫度可藉由熱處理系統236所控制。In FIG. 1B, a plurality of semiconductor wafers 50 are stacked horizontally on a slotted substrate holder 14 that includes an insulating layer (eg, a ceramic insulating layer) that is not affected by high temperature processing. . The insulating material prevents the substrate holder 14 from electrically shorting between the conductive top and back surfaces of the semiconductor wafer 50 . The stacked wafers are shown loaded within a processing chamber 226 of the E-field annealer. Located within the processing chamber 226 are two conductive bus bars: a first conductive bus bar 108 and a second conductive bus bar 109 , fixed above and below the slotted substrate holder 14 , respectively. The temperature within the processing chamber 226 can be controlled by a thermal processing system 236 .

每一晶圓的傳導頂面被顯示為藉由與圖1A中的主要電極211相似的主要電極215而電性連接至第一傳導匯流排108。如圖1B中所繪示,在第一傳導匯流排108及主要電極215之間的該連接,可使用通過在開槽的基板固持器14中之開口的連接導線加以建立。在此實施例中,該第一E場退火器電極包含主要電極215及第一傳導匯流排108。該第一E場退火器電極使用相同於圖1A的主要導線110而連接至一DC功率供給件130。每一晶圓的傳導背面可使用次要電極216及連接導線(相似於頂面)連接至第二傳導匯流排109。在此實施例中,包含次要電極216及第二傳導匯流排109的第二E場退火器電極使用次要導線114加以連接至GND。如圖1B所繪示,藉由將第一傳導匯流排108使用監控導線112連接至伏特計150,可對該等晶圓的頂面之電位進行監控。The conductive top surface of each wafer is shown electrically connected to first conductive bus-bar 108 by main electrode 215 similar to main electrode 211 in FIG. 1A . As shown in FIG. 1B , the connection between the first conductive bus bar 108 and the main electrode 215 can be established using connecting wires through openings in the slotted substrate holder 14 . In this embodiment, the first E field annealer electrode includes the main electrode 215 and the first conductive bus bar 108 . The first E field annealer electrode is connected to a DC power supply 130 using the same main wire 110 as in FIG. 1A. The conductive backside of each wafer can be connected to the second conductive busbar 109 using secondary electrodes 216 and connecting wires (similar to the topside). In this embodiment, the second E field annealer electrode including the secondary electrode 216 and the second conductive bus bar 109 is connected to GND using the secondary wire 114 . As shown in FIG. 1B , the potential of the top surfaces of the wafers can be monitored by connecting the first conductive bus 108 to a voltmeter 150 using a monitoring wire 112 .

上文參考圖1B所述的E場退火器適合用於對水平堆疊設置之晶圓進行批次處理。處理腔室226的設計可能被修飾以提供相似的E場退火器,其中半導體晶圓50可能被垂直地堆疊而非被水平地堆疊。The E-field annealer described above with reference to FIG. 1B is suitable for batch processing horizontally stacked wafers. The design of the processing chamber 226 may be modified to provide a similar E-field annealer, where the semiconductor wafers 50 may be stacked vertically rather than horizontally.

各種不同配置可能用於在E場退火期間進行電性連接以對處理腔室中的半導體晶圓施加偏壓。下文進一步敘述描繪此些可能性中的若干之些許實施例。Various configurations are possible for making electrical connections to bias the semiconductor wafer in the processing chamber during the E-field anneal. A few examples depicting some of these possibilities are described further below.

圖2根據本發明的一實施例繪示一E場退火器之裝載導軌100的透視圖。裝載導軌100可被用以將晶圓導入E場退火器的處理腔室225。該等晶圓首先被裝入安裝在裝載導軌台(圖2)的基板固持器的開槽之中。該等電極接著定位以對該晶圓/每一晶圓進行適當的電性連接。接著,該裝載導軌台被用以將在該基板固持器中的該等晶圓放入烘箱的加熱區。FIG. 2 shows a perspective view of a loading rail 100 of an E-field annealer according to an embodiment of the present invention. The load rail 100 may be used to introduce the wafer into the processing chamber 225 of an E-field annealer. The wafers are first loaded into the slots of the substrate holders mounted on the load rail stage (Figure 2). The electrodes are then positioned to make appropriate electrical connections to the/each wafer. Next, the load rail table is used to place the wafers in the substrate holder into the heated zone of an oven.

在圖2中,雙導線115(相似於圖1A的主要導線110及監控導線112)顯示為通往一區域B1(在圖2之中以虛線圓圈標記)。區域B1包括第一E場退火器電極210,該第一E場退火器電極210包含接觸半導體晶圓50之傳導頂電極層40的二鎢絲帶。如上所述,在該退火製程期間,該絲帶形狀有助於與半導體晶圓50維持良好的實體連接。第一E場退火器電極210附接在雙導線115的暴露金屬(例如,暴露的鎢)部份。雙導線115的其他部份係藉由絕緣材料(例如,絕緣瓷珠)與該設備的其他導體部份電性絕緣。雙導線115的該絕緣部份被稱為絕緣導線(insulated conductive wire)310。圖3以區域D1的放大透視圖繪示絕緣導線310(例如,使用瓷珠以絕緣),該區域D1在圖2之中以虛線圓圈所標示。In FIG. 2 , dual conductors 115 (similar to main conductor 110 and monitor conductor 112 of FIG. 1A ) are shown leading to a region B1 (marked by a dotted circle in FIG. 2 ). Region B1 includes a first E-field annealer electrode 210 comprising ditungsten ribbons contacting the conductive top electrode layer 40 of the semiconductor wafer 50 . As mentioned above, the ribbon shape helps maintain a good physical connection to the semiconductor wafer 50 during the annealing process. A first E-field annealer electrode 210 is attached to the exposed metal (eg, exposed tungsten) portion of the twin wire 115 . The rest of the twin wires 115 are electrically insulated from other conductors of the device by insulating material (eg, insulating ceramic beads). The insulated portion of the twin wire 115 is referred to as an insulated conductive wire 310 . FIG. 3 shows an insulated wire 310 (eg, using ceramic beads for insulation) in an enlarged perspective view of a region D1 , which is marked by a dotted circle in FIG. 2 .

雙導線115的第一者通過功率饋通120(顯示在圖2之中)且可能被連接至DC功率供給件130,如上所述,該DC功率供給件130係用以在介電層 (例如,半導體晶圓50的MOS-介電層30)中提供一E場。如圖2中所示意地顯示,雙導線115的另一導線(相似於圖1A的監控導線112)可一端連接在第一E場退火器電極210,且相反端可被連接至一伏特計150,以監控半導體晶圓50的傳導頂電極層40的電位。該設備的主要結構之傳導部份,包括與半導體晶圓50的背面接觸的一基板固持器(例如,在圖1A之中的基板固持器10),係藉由一接地導線140連接至接地GND。半導體晶圓50的基板固持器在以下參考圖5A進一步加以描述,圖5A繪示區域B1(在圖2之中以虛線圓圈所標記)的放大透視圖。The first of the twin conductors 115 passes through a power feedthrough 120 (shown in FIG. 2 ) and may be connected to a DC power supply 130 which, as described above, is used to pass through a dielectric layer such as , an E-field is provided in the MOS-dielectric layer 30) of the semiconductor wafer 50. As shown schematically in FIG. 2 , the other wire of the dual wire 115 (similar to the monitor wire 112 of FIG. 1A ) can be connected at one end to the first E-field annealer electrode 210 and the opposite end can be connected to a voltmeter 150, to monitor the potential of the conductive top electrode layer 40 of the semiconductor wafer 50 . The conductive portion of the main structure of the device, including a substrate holder (e.g., substrate holder 10 in FIG. . The substrate holder for semiconductor wafer 50 is further described below with reference to FIG. 5A , which shows an enlarged perspective view of area B1 (marked by a dashed circle in FIG. 2 ).

在圖4中係繪示來自不同角度的裝載導軌100之透視圖,該不同角度在圖2之中以一箭頭C標記。圖4顯示藉由將瓷珠自通過二個相對應開口之相對應的二絕緣導線310加以移除所露出的雙導線115的導體。雙導線115連接至第一E場退火器電極210的二鎢絲帶,該第一E場退火器電極210與半導體晶圓50的頂表面接觸。在圖4中的此些雙導線115係與在圖2之中所示自第一E場退火器電極210分別延伸至DC功率供給件130及伏特計150的導線為相同的導線。在圖4的透視圖中,第一E場退火器電極210係位在區域C1(以虛線圓圈標記)之中。在圖2的透視圖中,該第一E場退火器電極210係位在區域B1之中。In FIG. 4 is shown a perspective view of the loading rail 100 from a different angle, which is marked with an arrow C in FIG. 2 . FIG. 4 shows the conductors of the twin wires 115 exposed by removing the ceramic beads from corresponding two insulated wires 310 passing through two corresponding openings. The double wire 115 is connected to the ditungsten ribbon of the first E-field annealer electrode 210 which is in contact with the top surface of the semiconductor wafer 50 . These dual wires 115 in FIG. 4 are the same wires as shown in FIG. 2 extending from the first E-field annealer electrode 210 to the DC power supply 130 and the voltmeter 150 respectively. In the perspective view of FIG. 4 , the first E-field annealer electrode 210 is located in a region C1 (marked with a dotted circle). In the perspective view of FIG. 2, the first E-field annealer electrode 210 is located in the region B1.

圖2的區域B1及圖4的區域C1,分別在圖5A及圖5B所繪示的放大透視圖之中以較多的細節加以顯示。在圖5A之中的透視圖更清楚地顯示在雙導線115的其中一者與第一E場退火器電極210之間的連接。由在圖5B之中的透視圖所顯示的角度,提供了與半導體晶圓50的傳導頂電極層40實體接觸的第一E場退火器電極210的鎢絲帶的較清晰視圖。在圖5A及5B之中的半導體晶圓50係顯示為藉由一支撐板230自底部加以支撐。支撐板230係在圖2及圖3所示開槽的基板固持器的一部份,且亦可為圖1A的基板固持器10的一例示實施例。支撐板230的表面可為金屬的,包含例如不鏽鋼,且可與半導體晶圓50的傳導背面呈實體及電性接觸。支撐板230在一實施例之中可為一環的形式。該環形狀支撐了該晶圓的外徑,但使大部分的背面表面暴露於加熱源。支撐板230可包含連接至接地GND的一傳導材料。The area B1 of FIG. 2 and the area C1 of FIG. 4 are shown in more detail in the enlarged perspective views shown in FIGS. 5A and 5B , respectively. The perspective view in FIG. 5A more clearly shows the connection between one of the twin wires 115 and the first E field annealer electrode 210 . A clearer view of the tungsten ribbons of the first E field annealer electrode 210 in physical contact with the conductive top electrode layer 40 of the semiconductor wafer 50 is provided from the perspective shown in the perspective view in FIG. 5B . The semiconductor wafer 50 in FIGS. 5A and 5B is shown supported from the bottom by a support plate 230 . The support plate 230 is part of the slotted substrate holder shown in FIGS. 2 and 3 and may also be an exemplary embodiment of the substrate holder 10 of FIG. 1A . The surface of support plate 230 may be metallic, including, for example, stainless steel, and may be in physical and electrical contact with the conductive backside of semiconductor wafer 50 . The support plate 230 may be in the form of a ring in one embodiment. The ring shape supports the outer diameter of the wafer but exposes most of the backside surface to the heat source. The support plate 230 may include a conductive material connected to ground GND.

圖5A顯示數個可選擇的緩衝晶圓240,在退火期間,該緩衝晶圓240有助於在半導體晶圓50的表面之上實現更均勻的溫度分佈。為求清晰,可選的緩衝晶圓240未顯示在圖4及圖5B之中。如圖5B之中所繪示,絕緣陶瓷片250可能沿著靠近半導體晶圓50及支撐板230的邊緣的載體導軌放置,以減少在半導體晶圓50及該E場退火器的傳導表面之間意外地產生不理想的電性短路的可能性。FIG. 5A shows several optional buffer wafers 240 that help achieve a more uniform temperature distribution over the surface of the semiconductor wafer 50 during annealing. For clarity, optional buffer wafer 240 is not shown in FIGS. 4 and 5B . As shown in FIG. 5B , insulating ceramic sheet 250 may be placed along the carrier rails near the edges of semiconductor wafer 50 and support plate 230 to minimize the gap between semiconductor wafer 50 and the conductive surface of the E-field annealer. Possibility of accidentally creating an undesirable electrical short circuit.

在該E場PDA的期間,DC功率供給件130可設定的DC偏壓電壓通常不僅僅取決於要實施該E場PDA的目標介電層的厚度 t ox(例如,在圖1A之中的MOS介電層30),亦取決於其他膜層的性質,例如在傳導頂電極層40之中所使用的材料,以及如下所述的,在目標介電層之下的該等膜層的材料、厚度、及性質。在若干實施例之中,DC功率供給件130的DC偏壓電壓可能被控制以在E場退火期間維持不變。 During the E-field PDA, the DC bias voltage settable by the DC power supply 130 generally does not only depend on the thickness t ox of the target dielectric layer to implement the E-field PDA (for example, the MOS in FIG. 1A dielectric layer 30), also depends on the properties of other layers, such as the material used in the conductive top electrode layer 40, and, as described below, the materials of these layers below the target dielectric layer, thickness, and properties. In several embodiments, the DC bias voltage of the DC power supply 130 may be controlled to remain constant during the E-field anneal.

圖6A及6B分別繪示在平面塊體CMOS流程及平面SOI CMOS流程的E場退火步驟之半導體晶圓50的橫剖面圖。在圖6A及6B之中所繪示的例示實施例中,該E場退火步驟係在傳導頂電極層40已被形成在MOS介電層30之上之後所實施的一E場鐵電退火(FEA)。傳導頂電極層40可被用作一FE-FET/SSFEFET或一鐵電MOS電容器的閘極電極,且可包含一或更多的導體材料,例如TiN、TaN、W、金屬合金等等。6A and 6B are cross-sectional views of the semiconductor wafer 50 in the E-field annealing step of the planar bulk CMOS process and the planar SOI CMOS process, respectively. In the exemplary embodiment depicted in FIGS. 6A and 6B , the E-field anneal step is an E-field ferroelectric anneal ( FEA). The conductive top electrode layer 40 can be used as the gate electrode of a FE-FET/SSFEFET or a ferroelectric MOS capacitor, and can comprise one or more conductive materials such as TiN, TaN, W, metal alloys, and the like.

在圖6A及6B之中,一種閘極優先製程整合方法可被用以製造使用MOS介電層30的鐵電構件(例如,FE-FET/SSFEFET、及鐵電MOS電容器)。然而,本技術領域中通常知識者將理解到,這些實施例的創新觀點可應用在使用閘極最後(或替換閘極)製程整合方法所生產的相對應之鐵電構件。In FIGS. 6A and 6B , a gate-first process integration method can be used to fabricate ferroelectric devices (eg, FE-FET/SSFEFET, and ferroelectric MOS capacitors) using MOS dielectric layer 30 . However, those skilled in the art will appreciate that the innovative concepts of these embodiments can be applied to corresponding ferroelectric devices produced using gate-last (or replacement gate) process integration methods.

在圖6A及6B所繪示的例示實施例之中,MOS介電層30包含一摻雜的非晶質氧化鉿膜,及相鄰於該半導體(例如矽)的表面的一介面介電膜(例如氧化矽)。MOS介電層30的厚度 t ox取決於應用方式,且可能在大約1 nm至大約100 nm之間變化。可調整該退火溫度,使得在退火期間,該非晶質氧化鉿將結晶化以形成一多晶氧化鉿膜。舉例來說,該E場FEA可能在例如低壓的惰性氣體環境之中,在大約200℃至大約1200℃的溫度下加以實施。低於200℃的溫度可能不適合將該非晶質層加以結晶化,而高於1200℃的溫度可能改變在較早的處理步驟期間所形成的其他膜層的性質。氧化鉿的斜方晶相係鐵電性的,但純的非晶質HfO 2可能自然地轉換為單斜晶相或立方晶相的晶粒,因為純HfO 2的斜方晶相係不穩定的。然而,如本技術領域中通常知識者所知,HfO 2的斜方晶相可藉由特定的摻雜原子(例如鋯、矽、或鑭原子)加以穩定。因此,當MOS介電層30之中的摻雜非晶質氧化鉿膜結晶時,形成HfO 2的斜方晶相,且可藉由在介穩的斜方晶相(其為鐵電性的)中的摻雜劑而加以穩定。在該E場FEA期間的電場強度可能被調整為在1 MV/cm至約100 MV/cm之間。雖然太低的E場可能無法在減少/消除喚醒循環上提供足夠的益處,但太高的E場可能對MOS介電層30造成傷害且/或減損其壽命。如以下所進一步解釋, DC功率供給件130的相對應DC偏壓電壓設定(用以在MOS介電層30之中提供在理想範圍之中的E場)係取決於該製造流程係用於塊體CMOS的製造還是SOI CMOS的製造。 In the exemplary embodiment shown in FIGS. 6A and 6B , the MOS dielectric layer 30 includes a doped amorphous hafnium oxide film, and an interface dielectric film adjacent to the surface of the semiconductor (eg, silicon). (such as silicon oxide). The thickness t ox of the MOS dielectric layer 30 depends on the application and may vary from about 1 nm to about 100 nm. The annealing temperature can be adjusted so that during the annealing, the amorphous hafnium oxide will crystallize to form a polycrystalline hafnium oxide film. For example, the E-field FEA may be performed at a temperature of about 200°C to about 1200°C, eg, in a low pressure inert gas environment. Temperatures below 200°C may not be suitable for crystallizing the amorphous layer, while temperatures above 1200°C may alter the properties of other layers formed during earlier processing steps. The orthorhombic phase of hafnium oxide is ferroelectric, but pure amorphous HfO2 may naturally convert to monoclinic or cubic grains, because the orthorhombic phase of pure HfO2 is unstable of. However, as known to those skilled in the art, the orthorhombic phase of HfO 2 can be stabilized by specific doping atoms such as zirconium, silicon, or lanthanum atoms. Therefore, when the doped amorphous hafnium oxide film in the MOS dielectric layer 30 is crystallized, the orthorhombic phase of HfO 2 is formed, and can be obtained by the metastable orthorhombic phase (which is ferroelectric) ) in the dopant to be stabilized. The electric field strength during this E-field FEA may be adjusted to be between 1 MV/cm and about 100 MV/cm. While an E-field that is too low may not provide sufficient benefit in reducing/eliminating wake-up cycles, an E-field that is too high may cause damage to and/or degrade the lifetime of the MOS dielectric layer 30 . As explained further below, the corresponding DC bias voltage setting of the DC power supply 130 (to provide an E-field within the desired range in the MOS dielectric layer 30) depends on the manufacturing process being used for the block The manufacture of bulk CMOS or the manufacture of SOI CMOS.

在圖6A-6C之中,其上形成有鐵電構件特有膜層之半導體晶圓50之膜層被統稱為基板20。因此,對平面FE-FET/SSFEFET或鐵電MOS電容器而言,在圖6A及6B所示,基板20包含MOS介電層30形成之前所形成的所有膜層。對一MFM鐵電電容器而言,在圖6C之中所示,基板20包含了MFM傳導底電極層45形成之前所形成的所有膜層。In FIGS. 6A-6C , the layers of the semiconductor wafer 50 on which the specific layers of the ferroelectric component are formed are collectively referred to as the substrate 20 . Therefore, for a planar FE-FET/SSFEFET or a ferroelectric MOS capacitor, as shown in FIGS. 6A and 6B , the substrate 20 includes all layers formed before the MOS dielectric layer 30 is formed. For an MFM ferroelectric capacitor, as shown in FIG. 6C , the substrate 20 includes all the film layers formed before the formation of the MFM conductive bottom electrode layer 45 .

對平面FE-FET/SSFEFET或鐵電MOS電容器而言,基板20包含了第一傳導性類型(例如, p型)的第一半導體區域21、第二傳導性類型(例如, n型)的第二半導體區域22、及一絕緣區域,該絕緣區域被稱為淺溝槽隔離(STI)區域25,其用以電性隔離相鄰的電子構件。該電子構件可能在任何二個半導體區域中(第一半導體區域21及第二半導體區域22)。如本技術領域中通常知識者所知,在第一半導體區域21及第二半導體區域22之上的傳導頂電極層40可包含以相同製程所形成的相同材料,或包含以明顯不同的製程所形成的不同材料。當使用明顯不同的製程時,可使用各種不同的遮罩步驟以遮罩及暴露適當的區域。 For a planar FE-FET/SSFEFET or a ferroelectric MOS capacitor, the substrate 20 includes a first semiconductor region 21 of a first conductivity type (for example, p -type), a second semiconductor region 21 of a second conductivity type (for example, n -type). Two semiconductor regions 22, and an insulating region, which is called a shallow trench isolation (STI) region 25, are used to electrically isolate adjacent electronic components. The electronic component may be in any two semiconductor regions (the first semiconductor region 21 and the second semiconductor region 22). As known to those skilled in the art, the conductive top electrode layer 40 over the first semiconductor region 21 and the second semiconductor region 22 may comprise the same material formed by the same process, or comprise the same material formed by a significantly different process. formed of different materials. When using significantly different processes, a variety of different masking steps can be used to mask and expose appropriate areas.

如圖6A之中所繪示,在塊體CMOS之中,第一傳導性類型的第一半導體區域21一路延伸至半導體晶圓50的背面,而第二傳導性類型的第二半導體區域22延伸至一深度以與第一半導體區域21形成一 p- n接面。該 p- n接面通常稱為n井對p井接面。如圖6B之中所示,在SOI CMOS之中,第一半導體區域21、第二半導體區域22、及STI區域25在下方由被稱為埋入式氧化物(BOX)層15的絕緣區域所終止,該BOX層15包含例如氧化矽。如本技術領域中通常知識者所知,有著BOX層15的半導體晶圓可能使用數種方法加以製造,例如氧植入隔離(SIMOX)製程、晶圓接合製程(例如智能切割技術)等等。在BOX層15之下的一摻雜半導體區域12一路延伸至半導體晶圓50的背面。 As shown in FIG. 6A, in bulk CMOS, the first semiconductor region 21 of the first conductivity type extends all the way to the backside of the semiconductor wafer 50, while the second semiconductor region 22 of the second conductivity type extends to a depth to form a p - n junction with the first semiconductor region 21 . The p - n junction is often referred to as an n-well-to-p-well junction. As shown in FIG. 6B, in SOI CMOS, the first semiconductor region 21, the second semiconductor region 22, and the STI region 25 are surrounded by an insulating region called a buried oxide (BOX) layer 15 underneath. To terminate, the BOX layer 15 comprises, for example, silicon oxide. As known to those skilled in the art, the semiconductor wafer with the BOX layer 15 may be manufactured using several methods, such as the isolation implantation of oxygen (SIMOX) process, wafer bonding process (such as smart dicing technology), and so on. A doped semiconductor region 12 under the BOX layer 15 extends all the way to the backside of the semiconductor wafer 50 .

如上文參考圖1A及2所述,半導體晶圓50的背面及DC功率供給件130的第二終端被連接至接地GND,且使用主要導線110將該DC功率供給件的第一終端連接至該第一E場退火器電極的主要電極211。(為求簡潔,監控電極212及監控導線112未顯示在圖6A-6C之中。)在圖6A及6B之中所示,主要電極211與傳導頂電極層40實體及電性接觸,相似於圖1A之中的橫剖面圖及在圖5A及5B之中的詳細透視圖。因此,藉由DC功率供給件130所供給的總DC偏壓電壓跨越傳導頂電極層40及半導體晶圓50的底面而施加。As described above with reference to FIGS. 1A and 2 , the backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to the ground GND, and the first terminal of the DC power supply is connected to the ground GND using the main wire 110 . The main electrode 211 of the first E field annealer electrode. (For simplicity, monitor electrode 212 and monitor wire 112 are not shown in FIGS. 6A-6C.) As shown in FIGS. 6A and 6B, main electrode 211 is in physical and electrical contact with conductive top electrode layer 40, similar to The cross-sectional view in FIG. 1A and the detailed perspective view in FIGS. 5A and 5B. Thus, the total DC bias voltage supplied by the DC power supply 130 is applied across the conductive top electrode layer 40 and the bottom surface of the semiconductor wafer 50 .

再次參考圖6A,在塊體CMOS之中,在第一半導體區域21之中,MOS介電層30的半導體側之電位大約與半導體晶圓50的背面之電位相同。因此,跨MOS介電層30的電壓降係以由DC功率供給件130所提供的DC偏壓電壓以及在第一半導體區域21與於此區域上方的傳導頂電極層40之間的功函數之差所決定。然而,在第二半導體區域22之中,跨越n井對p井接面的電壓降必須被包含在MOS介電層30的半導體側之電位的決定之中,從而決定跨MOS介電層30的電壓降。因此,藉由對(由DC功率供給件130供給的)DC偏壓電壓之極性進行選擇,使得該p-n接面呈順向偏壓,以最小化跨越n井對p井接面的電壓降,可為有利的。在一實施例之中,在E場FEA期間DC功率供給件130的DC偏壓電壓設定,對 t ox值係大約10 nm的MOS介電層30而言,可為大約3V至大約10V。DC偏壓可能依據材料、層厚度、以及退火條件而有很大的變化。上述提及之數值僅用於說明之目的,且不應解釋為限制的。 Referring again to FIG. 6A , in bulk CMOS, in the first semiconductor region 21 , the semiconductor side of the MOS dielectric layer 30 has approximately the same potential as the backside of the semiconductor wafer 50 . Thus, the voltage drop across the MOS dielectric layer 30 is a function of the DC bias voltage provided by the DC power supply 130 and the work function between the first semiconductor region 21 and the conductive top electrode layer 40 above this region. The difference is decided. However, in the second semiconductor region 22, the voltage drop across the n-well to p-well junction must be included in the determination of the potential on the semiconductor side of the MOS dielectric layer 30, thereby determining the voltage across the MOS dielectric layer 30. Voltage drop. Thus, by selecting the polarity of the DC bias voltage (supplied by DC power supply 130) such that the pn junction is forward biased to minimize the voltage drop across the n-well to p-well junction, can be beneficial. In one embodiment, the DC bias voltage setting of the DC power supply 130 during the E-field FEA may be about 3V to about 10V for the MOS dielectric layer 30 with a tox value of about 10 nm. DC bias can vary widely depending on material, layer thickness, and annealing conditions. The numerical values mentioned above are for illustrative purposes only and should not be construed as limiting.

參考圖6B,在SOI CMOS之中,取決於MOS介電層30及BOX層15之厚度的比率以及介電常數的比率,由DC功率供給件130所供給的DC偏壓電壓的相當大的一部分可能跨BOX層15而下降。因此,在SOI CMOS製程流程之中用於E場FEA的DC偏壓電壓可能必須相對於在塊體CMOS製程流程之中的相對應數值而增加。Referring to FIG. 6B, in SOI CMOS, depending on the ratio of the thickness of the MOS dielectric layer 30 and the BOX layer 15 and the ratio of the dielectric constant, a considerable part of the DC bias voltage supplied by the DC power supply part 130 May drop across BOX level 15. Therefore, the DC bias voltage for E-field FEA in SOI CMOS process flow may have to be increased relative to the corresponding value in bulk CMOS process flow.

相對先進的CMOS IC可使用被稱為FinFET結構的三維MOS結構,其中,通常該閘極及閘極介電質包裹在自一半導體基板突出的薄且長的半導體鰭片的三側周圍。在藉由參考圖6A及6B之中所繪示的平面MOS結構所述的E場FEA期間,對FE-FET/SSFEFET及MOS鐵電電容器的電性連接可能被本技術領域中通常知識者調適以實施相對應FinFET結構的E場FEA。Relatively advanced CMOS ICs may use a three-dimensional MOS structure known as a FinFET structure, where typically the gate and gate dielectric wrap around three sides of a thin, long semiconductor fin protruding from a semiconductor substrate. During the E-field FEA described by referring to the planar MOS structure shown in Figures 6A and 6B, the electrical connections to the FE-FET/SSFEFET and MOS ferroelectric capacitors may be adapted by those skilled in the art To implement the E-field FEA corresponding to the FinFET structure.

圖6C繪示包含MFM鐵電電容器之製造的一製程流程之中所實施的E場FEA步驟。在圖6C之中的MFM鐵電電容器結構包含了被夾在傳導頂電極層40及傳導底電極層45之間的摻雜氧化鉿基礎的鐵電介電層35。顯示為與傳導頂電極層40接觸的主要電極211,使用主要導線110以連接至DC功率供給件130(未顯示)的第一終端。半導體晶圓50的背面及DC功率供給件130的第二終端連接至GND,如同在圖6A及6B之中的半導體晶圓50。然而,如以下所解釋,如果傳導底電極層45藉由在基板20中的介電層之過於高的累積厚度而變得有效地電性隔離於圖6C之中的半導體晶圓50的背面GND連接,則單單這些連接可能不足以在該MFM電容器的鐵電介電層35之中產生適當高的E場。FIG. 6C illustrates the E-field FEA step performed in a process flow involving the fabrication of MFM ferroelectric capacitors. The MFM ferroelectric capacitor structure in FIG. 6C includes a hafnium oxide based ferroelectric dielectric layer 35 sandwiched between a conductive top electrode layer 40 and a conductive bottom electrode layer 45 . The main electrode 211, shown in contact with the conductive top electrode layer 40, uses the main wire 110 to connect to a first terminal of the DC power supply 130 (not shown). The backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to GND, like the semiconductor wafer 50 in FIGS. 6A and 6B . However, as explained below, if the conductive bottom electrode layer 45 becomes effectively electrically isolated from the backside GND of the semiconductor wafer 50 in FIG. 6C by an excessively high cumulative thickness of the dielectric layer in the substrate 20 connections, these connections alone may not be sufficient to generate a suitably high E-field in the ferroelectric dielectric layer 35 of the MFM capacitor.

包含傳導底電極層45的該等MFM電容器層,一般係形成於該IC製造流程的後段製程(BEOL)期間。由於在圖6C之中的基板20包含形成在傳導底電極層45之下的所有膜層,該基板20可能包含實體上位在該MOSFET的傳導半導體及閘極層之上的相對厚的層間介電(ILD)層及金屬間介電(IMD)層。因此,除非傳導底電極層45係藉由介層窗及接點加以連接至圖6C之中所繪示的在製造的中間階段之MOSFET的傳導半導體層及閘極層,否則在半導體晶圓50的背面與傳導底電極層45之間的電性耦合可能太弱以致無法在該MFM電容器的鐵電介電層35之中產生適當高的E場。在如此的實施例之中,與半導體晶圓50的背面電性接觸之基板固持器,例如在圖1A之中的基板固持器10或在圖5A之中的支撐板230,可能並非有效的第二E場退火器電極。在如此的實例之中,如以下參考圖6C所述,可能使用額外的處理以產生有效的第二E場退火器電極連接。The MFM capacitor layers, including conductive bottom electrode layer 45, are typically formed during the back end of the line (BEOL) of the IC manufacturing process. Since the substrate 20 in FIG. 6C includes all layers formed below the conductive bottom electrode layer 45, the substrate 20 may include a relatively thick interlayer dielectric physically above the conductive semiconductor and gate layers of the MOSFET. (ILD) layer and intermetal dielectric (IMD) layer. Therefore, unless the conductive bottom electrode layer 45 is connected by vias and contacts to the conductive semiconductor layer and gate layer of the MOSFET shown in FIG. The electrical coupling between the backside and the conductive bottom electrode layer 45 may be too weak to generate a suitably high E-field in the ferroelectric dielectric layer 35 of the MFM capacitor. In such embodiments, a substrate holder in electrical contact with the backside of semiconductor wafer 50, such as substrate holder 10 in FIG. 1A or support plate 230 in FIG. 5A, may not be an effective first embodiment. Two E field annealer electrodes. In such instances, additional processing may be used to create an effective second E field annealer electrode connection, as described below with reference to FIG. 6C.

在IC設計之中,在需要E場FEA之製造流程的中間階段,於傳導底電極層45與半導體晶圓50的背面呈電性地去耦合之情況下,如圖6C之中所繪示,一遮罩步驟可能被用以對該MFM電容器的鐵電介電層35及傳導頂電極層40進行圖案化,以將傳導底電極層45的一部份暴露。舉例而言,傳導底電極層45的暴露區域可能係為沿著半導體晶圓50之邊緣的一環之形狀。額外的次要電極214(結構相似於在圖1A的橫剖面圖及圖5A與5B的詳細透視圖之中所顯示的第一E場退火器電極210的電極)可能被放置以與傳導底電極層45的暴露部份呈實體及電性接觸。次要電極214,作為傳導底電極層45的直接電性連接,可為有效的第二E場退火器電極連接。如圖6C之中所繪示,額外的次要電極214可使用次要導線114(相似於主要導線110)連接至GND。因此,整個DC偏壓電壓跨該MFM電容器的鐵電介電層35而下降。在一實施例之中,在E場FEA期間,對 t ox值大約10 nm的MFM電容器之鐵電介電層35而言,DC功率供給件130的DC偏壓電壓設定可能為大約3 V至大約10 V。在另一實施例中,DC偏壓電壓設定可能為大約0.5 V至大約3 V。 In IC design, at an intermediate stage of the fabrication process requiring E-field FEA, where the conductive bottom electrode layer 45 is electrically decoupled from the backside of the semiconductor wafer 50, as shown in FIG. 6C, A masking step may be used to pattern the ferroelectric dielectric layer 35 and conductive top electrode layer 40 of the MFM capacitor to expose a portion of the conductive bottom electrode layer 45 . For example, the exposed area of the conductive bottom electrode layer 45 may be in the shape of a ring along the edge of the semiconductor wafer 50 . An additional secondary electrode 214 (an electrode similar in structure to the first E-field annealer electrode 210 shown in the cross-sectional view of FIG. 1A and the detailed perspective views of FIGS. 5A and 5B ) may be placed in contact with the conductive bottom electrode The exposed portions of layer 45 make physical and electrical contact. The secondary electrode 214, serving as a direct electrical connection to the conductive bottom electrode layer 45, may be effectively a second E field annealer electrode connection. As shown in FIG. 6C , an additional secondary electrode 214 can be connected to GND using a secondary wire 114 (similar to the primary wire 110 ). Thus, the entire DC bias voltage drops across the ferroelectric dielectric layer 35 of the MFM capacitor. In one embodiment, the DC bias voltage setting of the DC power supply 130 may be about 3 V to About 10V. In another embodiment, the DC bias voltage setting may be from about 0.5V to about 3V.

儘管,在E場退火器的實施例之描述中,我們所指的是向半導體晶圓50施加DC電壓,但是在各種不同實施例中,在退火期間所施加的偏壓可能為脈衝的、循環的、交替的。在若干實施例中,DC偏壓電壓可相對於除GND之外的固定或可變電位而設置,以跨鐵電介電層提供期望的偏壓電壓。例如,產生電場的所有電極可能不連接到接地電位,或該電極之一者可能連接到浮動電位節點。Although, in the description of the embodiment of the E-field annealer, we refer to the application of a DC voltage to the semiconductor wafer 50, in various embodiments, the bias voltage applied during the anneal may be pulsed, cyclic Alternately. In several embodiments, the DC bias voltage may be set relative to a fixed or variable potential other than GND to provide a desired bias voltage across the ferroelectric dielectric layer. For example, all electrodes generating an electric field may not be connected to ground potential, or one of the electrodes may be connected to a floating potential node.

可能有各種不同方式以設置E場退火器而執行上述E場退火。參考圖7A-7B、8A-8D、9及10A-10C而加以敘述E場退火器配置之各種不同實施例。There may be various ways to arrange the E-field annealer to perform the E-field annealing described above. Various embodiments of E field annealer configurations are described with reference to Figures 7A-7B, 8A-8D, 9 and 10A-10C.

圖7A與7B繪示使用傳導熱傳遞以加熱半導體晶圓50的E場退火器配置。傳導熱傳遞係使用放置與半導體晶圓50直接接觸的加熱體來實現。傳導熱傳遞的方法可使用包括作為加熱源的加熱板,例如,陶瓷加熱板、金屬加熱板等。7A and 7B illustrate an E-field annealer configuration for heating a semiconductor wafer 50 using conductive heat transfer. Conductive heat transfer is achieved using a heating body placed in direct contact with the semiconductor wafer 50 . The method of conductive heat transfer may use a heating plate included as a heating source, for example, a ceramic heating plate, a metal heating plate, or the like.

半導體晶圓50包含基板20、形成在基板20之上的MOS介電層30、及形成在MOS介電層30之上的傳導頂電極層40,該半導體晶圓50放置在處理腔室225中,相似於上文參考圖1A所描述的配置。在圖7A與7B中,半導體晶圓50配置在亦為基板固持器之部件的加熱板加熱源之上。DC功率供給件130及伏特計150使用主要電極211及監控電極212連接至傳導頂電極層40,相似於上文於圖1A中所繪示。A semiconductor wafer 50 includes a substrate 20, a MOS dielectric layer 30 formed on the substrate 20, and a conductive top electrode layer 40 formed on the MOS dielectric layer 30, and the semiconductor wafer 50 is placed in a processing chamber 225 , similar to the configuration described above with reference to FIG. 1A . In FIGS. 7A and 7B, a semiconductor wafer 50 is disposed on a hot plate heat source that is also part of the substrate holder. DC power supply 130 and voltmeter 150 are connected to conductive top electrode layer 40 using main electrode 211 and monitor electrode 212, similar to that shown above in FIG. 1A.

在圖7A所繪示之E場退火器的配置701中,半導體晶圓50配置在加熱板710之上。加熱板710的表面與半導體晶圓50的背面實體接觸。該表面包含一材料,其為良好的電與熱導體,例如,包含金屬或金屬基化合物的塗層,例如氮化鈦、或碳基礎塗層。In the E-field annealer configuration 701 shown in FIG. 7A , the semiconductor wafer 50 is disposed on a heating plate 710 . The surface of the heating plate 710 is in physical contact with the backside of the semiconductor wafer 50 . The surface comprises a material that is a good electrical and thermal conductor, eg, a coating comprising a metal or metal-based compound, such as titanium nitride, or a carbon base coating.

相對地,圖7B繪示配置702,其中E場退火器可配置具有具備電絕緣但導熱表面的加熱板720。導電板730(稱為接地板)包含導電和導熱材料(例如,金屬如不鏽鋼,或元素如鎢、銅、鋁、銀、鋅、鎂、鎳、鈦、錫或包含此些元素的合金),其可放置在加熱板720的表面之上,且半導體晶圓50放置在導電板730之上。可選擇在退火處理期間是熱穩定的、同時不會將汙染物引入處理腔室的導電板730材料。加熱板720的表面可以包括陶瓷,例如氮化鋁、氧化鋁、氮化矽或碳化矽。In contrast, FIG. 7B shows a configuration 702 in which an E-field annealer can be configured with a heating plate 720 having an electrically insulating but thermally conductive surface. The conductive plate 730 (referred to as the ground plate) comprises an electrically and thermally conductive material (e.g., a metal such as stainless steel, or an element such as tungsten, copper, aluminum, silver, zinc, magnesium, nickel, titanium, tin, or alloys containing such elements), It may be placed on the surface of the heating plate 720 with the semiconductor wafer 50 placed on the conductive plate 730 . The conductive plate 730 material can be selected to be thermally stable during the anneal process while not introducing contaminants into the process chamber. The surface of the heating plate 720 may include ceramics, such as aluminum nitride, aluminum oxide, silicon nitride or silicon carbide.

如圖7A與7B之中所繪示,在配置701和702兩者之中,半導體晶圓50的背面可使用導電線而電性連接至共用接地(以GND表示)。在若干實施例中,該腔室壁227的導電部分亦可電性耦合至該共用接地。As shown in FIGS. 7A and 7B , in both configurations 701 and 702 , the backside of the semiconductor wafer 50 may be electrically connected to a common ground (denoted GND) using conductive lines. In some embodiments, conductive portions of the chamber wall 227 may also be electrically coupled to the common ground.

在圖7A與7B之中所繪示的配置701和702之中,E場退火器可藉由半導體晶圓50的背面及相應加熱板710或720之間的熱傳導而調整半導體晶圓50的溫度。加熱板710及720係設置具有熱能來源,由加熱器740示意地繪示。在各種不同實施例中,加熱器740可包括電阻或感應加熱器或流經熱交換器的流體。在各種不同實施例中,使用來自加熱板的傳導熱傳遞之配置,例如例示配置701及702通常用於在中等溫度下相對較長的退火,例如200 °C 至 600 °C。In the configurations 701 and 702 shown in FIGS. 7A and 7B , the E-field annealer can adjust the temperature of the semiconductor wafer 50 by heat conduction between the backside of the semiconductor wafer 50 and the corresponding heating plate 710 or 720. . Heating plates 710 and 720 are provided with a source of thermal energy, schematically depicted by heater 740 . In various embodiments, heater 740 may comprise a resistive or induction heater or a fluid flow through a heat exchanger. In various embodiments, configurations using conductive heat transfer from a heating plate, such as the exemplary configurations 701 and 702, are typically used for relatively long anneals at moderate temperatures, such as 200°C to 600°C.

圖8A-8D繪示使用輻射熱傳遞以將能量由加熱源傳遞到半導體晶圓50的E場退火器配置。可用於輻射熱傳遞方法的加熱源為絕緣電阻絲加熱器、包含陶瓷塗層電阻器之加熱板、廣譜紅外線(IR)及紫外線(UV)燈加熱器、以及在可見光和UV範圍內發射單色光的雷射器。輻射加熱源可遠離半導體晶圓50而放置在處理腔室225內部或外部的各種不同位置。在若干實施例中,可使用掃描儀移動半導體晶圓50通過從加熱源發出的輻射束。8A-8D illustrate an E-field annealer configuration that uses radiative heat transfer to transfer energy from a heating source to a semiconductor wafer 50 . Heating sources that can be used in the radiative heat transfer method are insulated resistive wire heaters, heating plates containing ceramic coated resistors, broad-spectrum infrared (IR) and ultraviolet (UV) lamp heaters, and monochromatic emitters in the visible and UV ranges light laser. The radiant heating source may be placed at various locations inside or outside of the processing chamber 225 remotely from the semiconductor wafer 50 . In several embodiments, a scanner may be used to move the semiconductor wafer 50 past the radiation beam emanating from the heating source.

配置801、802、以及803(分別繪示於圖8A、8B、以及8C)利用輻射熱傳遞而使用複數加熱源從上方和下方加熱半導體晶圓50。配置804(繪示於圖8D)使用提供雷射束852的雷射系統850以從半導體晶圓50的頂側將其加熱。在配置804中,通過雷射束852掃描半導體晶圓50以暴露整個表面。Configurations 801, 802, and 803 (shown in FIGS. 8A, 8B, and 8C, respectively) utilize radiant heat transfer to heat semiconductor wafer 50 from above and below using multiple heating sources. Configuration 804 (shown in FIG. 8D ) uses a laser system 850 providing a laser beam 852 to heat semiconductor wafer 50 from its top side. In configuration 804, semiconductor wafer 50 is scanned by laser beam 852 to expose the entire surface.

E場退火器配置801、802、803及804的例示實施例在處理腔室225內部的位置具有加熱源。然而,應理解,在若干其他實施例中,加熱源可位在處理腔室225外部或附接到腔室壁227。Exemplary embodiments of E-field annealer configurations 801 , 802 , 803 , and 804 have a heating source at a location inside processing chamber 225 . However, it should be understood that in several other embodiments, the heating source may be located outside of the processing chamber 225 or attached to the chamber wall 227 .

在E場退火器配置801、802、803及804中,半導體晶圓50可放置在凸起的晶圓支撐件810上,其沿著半導體晶圓50的周邊提供支撐。凸起的晶圓支撐件810使半導體晶圓50的頂部與底部表面之主要部分暴露於從配置在半導體晶圓50上方及下方的加熱源所發出之輻射。In E-field annealer configurations 801 , 802 , 803 , and 804 , semiconductor wafer 50 may be placed on raised wafer support 810 , which provides support along the perimeter of semiconductor wafer 50 . The raised wafer support 810 exposes a substantial portion of the top and bottom surfaces of the semiconductor wafer 50 to radiation emitted from heating sources disposed above and below the semiconductor wafer 50 .

此外,晶圓支撐件810可用以電性接觸半導體晶圓50的背面。半導體晶圓50的背面可藉由提供接地連接至晶圓支撐件810而電性耦合至共用接地,如圖8A-8D中所繪示。藉由使用例如包含金屬或金屬塗層的晶圓支撐件810,可達成良好的電性連接。在配置801、802、803及804中,到腔室壁227的可選接地連接以及半導體晶圓50與DC功率供給件130和伏特計150之間的電性耦合可相似於參考圖7A和7B所述者。In addition, the wafer support 810 can be used to electrically contact the backside of the semiconductor wafer 50 . The backside of semiconductor wafer 50 may be electrically coupled to a common ground by providing a ground connection to wafer support 810, as shown in FIGS. 8A-8D. A good electrical connection can be achieved by using, for example, a wafer support 810 comprising metal or a metal coating. In configurations 801, 802, 803, and 804, the optional ground connection to the chamber wall 227 and the electrical coupling between the semiconductor wafer 50 and the DC power supply 130 and voltmeter 150 may be similar to those described with reference to FIGS. 7A and 7B. Narrator.

在E場退火器配置801(圖8A)和802(圖8B)中位於半導體晶圓50上方以及下方的加熱源包含電阻加熱元件。使用電阻加熱元件的配置,例如例示配置801和802,通常具有較長的熱時間常數,且可使用中等退火溫度,例如200 °C 至 1000 °C。The heating sources above and below semiconductor wafer 50 in E-field annealer configurations 801 (FIG. 8A) and 802 (FIG. 8B) comprise resistive heating elements. Configurations using resistive heating elements, such as the example configurations 801 and 802, typically have longer thermal time constants and may use moderate annealing temperatures, such as 200°C to 1000°C.

在配置801中(圖8A)中使用的電阻加熱元件820包括礦物絕緣(mineral insulated,MI)纜線822及纜線支撐件824。MI纜線為包含導電絲電阻元件之半剛性電阻加熱纜線,其使用導熱礦物(例如氧化鎂)而電性絕緣。即使在高退火溫度下,礦物也可提供安全的電絕緣。A resistive heating element 820 used in configuration 801 ( FIG. 8A ) includes a mineral insulated (MI) cable 822 and a cable support 824 . MI cables are semi-rigid resistive heating cables comprising conductive filament resistive elements electrically insulated using thermally conductive minerals such as magnesium oxide. Minerals provide safe electrical insulation even at high annealing temperatures.

在E場退火器配置802(圖8B)中,電阻加熱元件830包含塗布有熱解氮化硼(PBN)的石墨電阻器,以實現高溫能力和延長的加熱器壽命。高純度PBN塗層可為石墨構件提供電絕緣、熱穩定性、抗熱震性和化學惰性。In the E-field annealer configuration 802 (FIG. 8B), the resistive heating element 830 comprises a pyrolytic boron nitride (PBN) coated graphite resistor for high temperature capability and extended heater life. High-purity PBN coatings provide electrical insulation, thermal stability, thermal shock resistance, and chemical inertness to graphite components.

圖8C繪示配置803,其中燈加熱器840用於照射半導體晶圓50的頂部與底部表面,以將其加熱至退火溫度。該輻射加熱整個半導體晶圓50且可提供足夠的能量以快速地將半導體晶圓50加熱至非常高的退火溫度(例如,800 °C 至 1200 °C);因此配置803適用於RTP。燈加熱器840可包含發射廣譜輻射的IR燈或UV燈,通常延伸至可見光範圍。IR燈可發射具有非常高功率密度的近紅外光,能夠提供快速的溫度上升(例如,每秒200 °C)。在若干實施例中,IR燈用於快速熱退火(RTA),退火時間為約1毫秒至約10秒。具有更短退火時間且需要更快升溫速度 (例如,10 3°C/s至10 6°C/s)的RTP,稱為閃光燈退火(FLA),可使用例如在UV到可見光範圍內具有平滑的發射曲線之一排閃光氙弧燈來實現。 FIG. 8C illustrates configuration 803 in which lamp heaters 840 are used to illuminate the top and bottom surfaces of semiconductor wafer 50 to heat them to the annealing temperature. This radiation heats the entire semiconductor wafer 50 and may provide sufficient energy to rapidly heat the semiconductor wafer 50 to very high annealing temperatures (eg, 800°C to 1200°C); thus configuration 803 is suitable for RTP. Lamp heater 840 may comprise an IR lamp or a UV lamp that emits broad spectrum radiation, typically extending into the visible range. IR lamps emit near-infrared light with a very high power density, capable of providing a rapid temperature rise (for example, 200 °C per second). In several embodiments, IR lamps are used for rapid thermal annealing (RTA) with an anneal time of about 1 millisecond to about 10 seconds. RTP with shorter annealing times and requiring faster ramp rates (e.g. 10 3 °C/s to 10 6 °C/s), called flash lamp annealing (FLA), can be used e.g. The emission curve is achieved by an array of flash xenon arc lamps.

在進一步的實施例中,燈加熱器840可包含微波功率源,例如微波燈。In a further embodiment, lamp heater 840 may comprise a microwave power source, such as a microwave lamp.

雖然例示配置801、802及803,繪示於圖8A-8C中,半導體晶圓50從頂部和底部兩者都被照射,但在其他實施例中,可從頂部或底部照射半導體晶圓50。Although the exemplary configurations 801, 802, and 803, shown in FIGS. 8A-8C, semiconductor wafer 50 is illuminated from both the top and bottom, in other embodiments, semiconductor wafer 50 may be illuminated from the top or the bottom.

圖8D繪示例示配置804,其中能源或熱源是雷射系統850中的雷射,且能量藉由雷射束852輻射傳輸到半導體晶圓50。雷射束852被聚焦以相交半導體晶圓50表面的小區域。因此,可使用雷射加熱技術獲得非常高的功率密度,從而導致局部溫度峰值為約10 7°C/s至約10 9°C/s,該技術稱為雷射尖峰退火(laser spike anneal,LSA)。 FIG. 8D depicts an exemplary configuration 804 in which the energy or heat source is a laser in a laser system 850 , and the energy is delivered to the semiconductor wafer 50 by radiation of the laser beam 852 . Laser beam 852 is focused to intersect a small area of the surface of semiconductor wafer 50 . Therefore, very high power densities can be achieved using laser heating techniques, which result in localized temperature peaks of about 10 7 °C/s to about 10 9 °C/s, a technique known as laser spike anneal (laser spike anneal, LSA).

如上所述,對整個半導體晶圓50退火可能必須透過使用掃描儀來達成。在各種不同實施例中,掃描設備可在處理腔室225內移動雷射束852或半導體晶圓50(在晶圓支撐件810中具有移動部件)或兩者。移動可為平行於半導體晶圓50的主要頂部表面之平面中的線性掃描或旋轉掃描。在圖8D的橫剖面圖中,雷射束852垂直於半導體晶圓50的主要頂部表面入射。然而,在若干實施例中,雷射束852可由高傾斜角入射,從而與主要頂部表面相交,作為延伸橫跨半導體晶圓50之整個範圍的線。此可透過將掃描方向的數量減少一個以幫助減少掃描時間。As mentioned above, annealing the entire semiconductor wafer 50 may have to be accomplished through the use of a scanner. In various embodiments, the scanning device may move the laser beam 852 or the semiconductor wafer 50 (with moving parts in the wafer support 810 ) or both within the processing chamber 225 . The movement may be a linear scan or a rotational scan in a plane parallel to the major top surface of the semiconductor wafer 50 . In the cross-sectional view of FIG. 8D , laser beam 852 is incident perpendicular to the main top surface of semiconductor wafer 50 . However, in some embodiments, the laser beam 852 may be incident at a high oblique angle so as to intersect the major top surface as a line extending across the entire extent of the semiconductor wafer 50 . This can help reduce scan time by reducing the number of scan directions by one.

圖9繪示使用對流熱傳遞以加熱半導體晶圓50的例示E場退火器配置900。對流熱傳遞係透過使用加熱介質到處理腔室225以將熱從加熱源傳遞到半導體晶圓50而實現。可使用的對流熱傳導方法為直接或間接加熱的氣體和其他。FIG. 9 illustrates an exemplary E-field annealer configuration 900 for heating a semiconductor wafer 50 using convective heat transfer. Convective heat transfer is accomplished by using a heating medium to process chamber 225 to transfer heat from a heating source to semiconductor wafer 50 . Convective heat transfer methods that can be used are directly or indirectly heated gas and others.

如圖9之中所繪示,處理腔室225配置為具有氣體入口管910和氣體出口管920。包含幫浦及各種不同氣體來源的氣體流動系統可用於在半導體晶圓50上方流動氣體(通常是惰性氣體(例如氮氣和氬氣))。氣體通過氣體入口管910流入處理腔室225,並通過氣體出口管920從處理腔室225中去除。在圖9所繪示的範例中,加熱線圈930纏繞在氣體入口管910周圍並配置以加熱進入氣體。加熱器線圈930可耦合至溫度控制器而透過調節供給至加熱器線圈930的功率,以將進入氣體的溫度調整至期望值。在配置900中,加熱器線圈930為熱源,且當加熱的進入氣體流過半導體晶圓50的表面時,加熱的進入氣體將熱能從加熱器線圈930傳遞到半導體晶圓50。As shown in FIG. 9 , the processing chamber 225 is configured with a gas inlet tube 910 and a gas outlet tube 920 . A gas flow system including pumps and various gas sources may be used to flow gases (typically inert gases such as nitrogen and argon) over semiconductor wafer 50 . Gas flows into the processing chamber 225 through the gas inlet tube 910 and is removed from the processing chamber 225 through the gas outlet tube 920 . In the example depicted in Figure 9, a heating coil 930 is wrapped around the gas inlet tube 910 and configured to heat the incoming gas. The heater coil 930 can be coupled to a temperature controller to adjust the temperature of the incoming gas to a desired value by adjusting the power supplied to the heater coil 930 . In configuration 900 , heater coil 930 is the heat source and the heated inlet gas transfers thermal energy from heater coil 930 to semiconductor wafer 50 as it flows over the surface of semiconductor wafer 50 .

圖10A-10C分別繪示第一、第二、及第三配置1001、1002、及1003,各具有多晶圓處理腔室1026(相似於上文參考圖1B所描述之處理腔室226)。如圖10A-10C中的例示實施例所繪示,在處理腔室1026內部,一批半導體晶圓50處於由晶圓支撐結構所保持的垂直堆疊體中。處理腔室1026可成形為管狀,具有例如石英腔室壁1020和基座1024,該基座1024包含例如支撐半導體晶圓支撐結構的金屬基座。基座板納入電饋通以允許通過基座板將電性連接傳遞至晶圓接觸件1018及1016,同時基座板保持與施加的E場之偏壓源(例如,DC功率供給件130)電絕緣。如圖10A-10C之中所繪示,可在各種不同位置使用若干加熱源1010以將半導體晶圓50的堆疊體均勻地加熱到期望的溫度。晶圓支撐結構可包含耐火材料。該耐火材料可包含絕緣體如石英(例如晶圓支撐件1022)或導電材料或塗層,例如不鏽鋼或碳基礎塗層(例如晶圓支撐件1028)。使用導電的晶圓支撐件1028是因為在圖10B和10C之中的晶圓處理腔室內,晶圓支撐結構本身用作與半導體晶圓50的背面之電性接觸。如圖10B及10C之中所繪示,導電的晶圓支撐件1028藉由可與基座1024絕緣的電饋通連接到GND。Figures 10A-10C depict first, second, and third configurations 1001, 1002, and 1003, respectively, each having a multi-wafer processing chamber 1026 (similar to processing chamber 226 described above with reference to Figure IB). As shown in the exemplary embodiment of FIGS. 10A-10C , inside a processing chamber 1026 , a batch of semiconductor wafers 50 are in a vertical stack held by a wafer support structure. The processing chamber 1026 may be shaped as a tube having, for example, quartz chamber walls 1020 and a pedestal 1024 comprising, for example, a metal pedestal supporting a semiconductor wafer support structure. The base plate incorporates electrical feedthroughs to allow electrical connections to be passed through the base plate to the wafer contacts 1018 and 1016, while the base plate maintains a bias source (eg, DC power supply 130) for the applied E-field electrical insulation. As shown in FIGS. 10A-10C , several heating sources 1010 may be used at various locations to uniformly heat the stack of semiconductor wafers 50 to a desired temperature. The wafer support structure may include a refractory material. The refractory material may comprise an insulator such as quartz (eg, wafer support 1022 ) or a conductive material or coating, such as stainless steel or a carbon base coating (eg, wafer support 1028 ). The conductive wafer support 1028 is used because the wafer support structure itself serves as the electrical contact to the backside of the semiconductor wafer 50 within the wafer processing chamber in FIGS. 10B and 10C . As shown in FIGS. 10B and 10C , the conductive wafer support 1028 is connected to GND by an electrical feedthrough that may be insulated from the pedestal 1024 .

在各種不同實施例中,可使用諸多配置以電性耦合DC功率供給件130與接地至半導體晶圓50的堆疊體。在若干實施例中,例如第一和第二配置1001(圖10A)和1002(圖10B)沒有監控電極(例如圖1A中的監控電極212)來使用伏特計150監控半導體晶圓50處的電位。在若干其他實施例中,例如第三配置1003(圖10C),伏特計150電性耦合至監控電極1044,該監控電極1044接觸經歷E場退火的半導體晶圓50。In various embodiments, a number of configurations may be used to electrically couple the DC power supply 130 and ground to the stack of semiconductor wafers 50 . In several embodiments, eg, first and second configurations 1001 ( FIG. 10A ) and 1002 ( FIG. 10B ) do not have monitoring electrodes (eg, monitoring electrode 212 in FIG. 1A ) to monitor the potential at semiconductor wafer 50 using voltmeter 150 . In several other embodiments, such as third configuration 1003 ( FIG. 10C ), voltmeter 150 is electrically coupled to monitor electrode 1044 that contacts semiconductor wafer 50 undergoing E-field annealing.

如圖10A-10C中的小圓圈所示意地指示,來自處理腔室1026外部的電器構件之絕緣線可使用位於基座1024上的適當絕緣連接器電性耦合至處理腔室1026內部的電性導體。As indicated schematically by the small circles in FIGS. 10A-10C , insulated wires from electrical components outside the processing chamber 1026 can be electrically coupled to electrical components inside the processing chamber 1026 using appropriate insulated connectors located on the base 1024. conductor.

圖10A繪示在第一配置1001中的電性耦合。在第一配置1001中的DC功率供給件130使用第一傳導匯流排1016(相似於圖1B中的第一傳導匯流排108)而電性耦合至主要電極1040(相似於圖1B中的主要電極215)。共用接地(以GND表示)使用第二傳導流排1018(相似於圖1B中的第二傳導匯流排109)而電性耦合至次要電極1042(相似於圖1B中的次要電極216)。主要電極與半導體晶圓50的頂部表面之一部分接觸且次要電極與半導體晶圓50的背面之一部分接觸。FIG. 10A illustrates electrical coupling in a first configuration 1001 . DC power supply 130 in first configuration 1001 is electrically coupled to main electrode 1040 (similar to main electrode 1040 in FIG. 1B ) using first conductive bus bar 1016 (similar to first conductive bus bar 108 in FIG. 1B ). 215). The common ground (denoted GND) is electrically coupled to the secondary electrode 1042 (similar to the secondary electrode 216 in FIG. 1B ) using the second conductive bus bar 1018 (similar to the second conductive bus bar 109 in FIG. 1B ). The primary electrode is in contact with a portion of the top surface of the semiconductor wafer 50 and the secondary electrode is in contact with a portion of the backside of the semiconductor wafer 50 .

圖10B繪示第二配置1002中的電性耦合。在第二配置1002中,DC功率供給件130使用第一傳導匯流排1016耦合至主要電極1040,相似於於在第一配置1001中的相應連接。然而,代替具有單獨的第二傳導匯流排,晶圓支撐件的一者(例如,晶圓支撐件1028)可用作傳導匯流排以耦合接地至半導體晶圓50的背面。因此,在第二配置1002(圖10B)中,晶圓支撐件1028包含一導電耐火材料或塗層。如圖10B所繪示,共用接地(以GND表示)電性耦合至晶圓支撐件1028,該晶圓支撐件1028與半導體晶圓50的背面接觸。FIG. 10B illustrates the electrical coupling in the second configuration 1002 . In the second configuration 1002 , the DC power supply 130 is coupled to the main electrode 1040 using the first conductive busbar 1016 , similar to the corresponding connection in the first configuration 1001 . However, instead of having a separate second conductive bus bar, one of the wafer supports (eg, wafer support 1028 ) may be used as a conductive bus bar to couple ground to the backside of semiconductor wafer 50 . Thus, in the second configuration 1002 (FIG. 10B), the wafer support 1028 includes a conductive refractory material or coating. As shown in FIG. 10B , the common ground (represented as GND) is electrically coupled to the wafer support 1028 which is in contact with the backside of the semiconductor wafer 50 .

圖10C繪示第三配置1003。在第三配置1003中,半導體晶圓50之各者的頂部表面與二個電極接觸:主要電極1040及監控電極1044(相似於圖1A中的主要電極211及監控電極212)。主要電極1040藉由第一傳導匯流排1016電性耦合至DC功率供給件130,且監控電極1044藉由第二通訊匯流排1018電性耦合至伏特計150。如圖10C所繪示,第三配置1003的共用接地藉由包含導電材料或塗層的晶圓支撐件1028電性耦合至半導體晶圓50的背面,相似於第二配置1002(圖10B)中的接地連接。FIG. 10C shows a third configuration 1003 . In the third configuration 1003, the top surface of each of the semiconductor wafers 50 is in contact with two electrodes: main electrode 1040 and monitor electrode 1044 (similar to main electrode 211 and monitor electrode 212 in FIG. 1A). The main electrode 1040 is electrically coupled to the DC power supply 130 by the first conductive bus bar 1016 and the monitor electrode 1044 is electrically coupled to the voltmeter 150 by the second communication bus bar 1018 . As shown in FIG. 10C , the common ground of the third configuration 1003 is electrically coupled to the backside of the semiconductor wafer 50 by a wafer support 1028 comprising a conductive material or coating, similar to that in the second configuration 1002 ( FIG. 10B ). ground connection.

到半導體晶圓50的背面和頂面之電性連接(使用E場退火器電極210而作成,例如,主要電極211及監控電極212)提供配置E場退火器的各種不同實施例之附加優勢,如上文所描述以結合可用於製程控制的原位電性測量。例如,電性連接可為製程控制系統的測量探針之一部分,該測量探針被設置為在E場退火期間測量通過半導體晶圓50的一層之電流-電壓曲線。在一例示實施例中,其中E場退火為一FEA,其執行以將例如沉積的氧化鉿介電層轉換為穩定或亞穩的多晶鐵電氧化鉿層,勻變的電流-電壓曲線可能與在介電層中的鐵電斜方晶相之形成相關。例如,電流-電壓曲線可用於偵測膜中剩餘極化(P R)強度飽和的點,相似於自限制過程。該製程控制系統可使用如此具有前向控制或「虛擬計量(virtual metrology)」的原位診斷來實現所需的最佳膜特性。 Electrical connections (made using E-field annealer electrodes 210, e.g., main electrode 211 and monitor electrode 212) to the back and top surfaces of semiconductor wafer 50 provide additional advantages for various embodiments of E-field annealer configurations, As described above to incorporate in situ electrical measurements that can be used for process control. For example, the electrical connection may be part of a measurement probe of a process control system configured to measure a current-voltage curve through a layer of the semiconductor wafer 50 during an E-field anneal. In an exemplary embodiment, where E-field annealing is a FEA performed to convert, for example, a deposited hafnium oxide dielectric layer into a stable or metastable polycrystalline ferroelectric hafnium oxide layer, the ramped current-voltage curve may Associated with the formation of a ferroelectric orthorhombic phase in the dielectric layer. For example, the current-voltage curve can be used to detect the point in the film where the remanent polarization (P R ) intensity saturates, similar to a self-limiting process. The process control system can use such in situ diagnostics with forward control or "virtual metrology" to achieve the desired optimum film properties.

如前所述,E場退火可能執行於獨立處理腔室、設置以執行E場退火與同時或依序地進行之其它製程(例如沉積)的處理腔室、或具有其他腔室的半導體製程系統集群配置中的E場退火腔室中。As previously mentioned, E-field annealing may be performed in a separate processing chamber, a processing chamber configured to perform E-field annealing and other processes (such as deposition) simultaneously or sequentially, or in a semiconductor processing system with other chambers E-field annealing chamber in a cluster configuration.

E場退火處理腔室已被描述為用於E場退火器配置的各種不同實施例之獨立腔室。然而,半導體製程系統可能被設置為使用單一處理腔室而進行複數處理技術。例如,在若干實施例中,可添加額外的氣體管線、感測器、射頻(RF)來源、RF天線、DC偏壓源、濺射靶等以擴展E場退火腔室的配置,俾以擴展其功能性而執行額外的處理,例如化學氣相沉積、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、及電漿處理(例如,電漿預清潔)。The E-field anneal processing chamber has been described as a separate chamber for various embodiments of E-field annealer configurations. However, a semiconductor processing system may be configured to perform multiple processing techniques using a single processing chamber. For example, in several embodiments, additional gas lines, sensors, radio frequency (RF) sources, RF antennas, DC bias sources, sputtering targets, etc. can be added to expand the configuration of the E-field annealing chamber to expand It functions to perform additional processes such as chemical vapor deposition, plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), and plasma treatment (eg, plasma pre-clean).

獨立的E場退火處理腔室或具有擴展功能性的處理腔室可包括在若干半導體處理腔室的集群配置中。圖11A-11C繪示三個集群工具1101、1102、及1103之示意圖,包含設置以執行E場退火的模塊。此外,該集群工具可能包含若干其他模塊。例如,電漿蝕刻處理腔室1150、電漿預清潔處理腔室1116、及PVD處理腔室1118,顯示為包括在集群工具1101、1102、及1103中。Standalone E-field annealing processing chambers or processing chambers with extended functionality may be included in a cluster configuration of several semiconductor processing chambers. 11A-11C show schematic diagrams of three cluster tools 1101, 1102, and 1103, including modules configured to perform E-field annealing. Additionally, the cluster tool may contain several other modules. For example, plasma etch process chamber 1150 , plasma pre-clean process chamber 1116 , and PVD process chamber 1118 are shown included in cluster tools 1101 , 1102 , and 1103 .

一般而言,半導體晶圓(例如半導體晶圓50)在裝載隔間中排隊等待透過設備前端模塊(EFEM)1130傳送並裝載到集群工具(例如,集群工具1101、1102、及1103)中,在圖11A-11C中示意地繪示。半導體晶圓可能接著被傳送至另一模塊以由若干晶圓傳送模塊1120進行處理。In general, semiconductor wafers (e.g., semiconductor wafer 50) are queued in a load bay to be transferred through equipment front-end module (EFEM) 1130 and loaded into cluster tools (e.g., cluster tools 1101, 1102, and 1103), at It is schematically depicted in Figures 11A-11C. The semiconductor wafers may then be transferred to another module for processing by number of wafer transfer modules 1120 .

圖11A繪示集群工具1101的示意圖,包含具有擴展功能性的二個E場退火處理腔室。在一實施例中,處理腔室1110可設置以執行PVD處理及E場退火,且處理腔室1114可設置以執行電漿預清潔處理及E場退火。如上文所述,電漿蝕刻處理腔室1150、電漿預清潔處理腔室1116、及PVD處理腔室1118,亦包括在集群工具1101中。FIG. 11A shows a schematic diagram of a cluster tool 1101 including two E-field annealing chambers with extended functionality. In one embodiment, the processing chamber 1110 may be configured to perform PVD processing and E-field annealing, and the processing chamber 1114 may be configured to perform plasma pre-cleaning processing and E-field annealing. Plasma etch processing chamber 1150 , plasma pre-clean processing chamber 1116 , and PVD processing chamber 1118 are also included in cluster tool 1101 as described above.

圖11B繪示集群工具1102的示意圖,包含E場退火處理腔室1140,且集群工具1103(繪示於圖11C中)包含專門執行E場退火的二個處理腔室1140。11B is a schematic diagram of the cluster tool 1102 including an E-field annealing processing chamber 1140, and the cluster tool 1103 (shown in FIG. 11C ) includes two processing chambers 1140 dedicated to performing E-field annealing.

圖11D繪示集群工具1102的一部分,其中該E場退火處理腔室1140及該PVD處理腔室1118兩者皆可由晶圓傳送模塊1120存取。該處理腔室1140可能相似於配置802(見圖8B)中的處理腔室225。半導體晶圓可透過晶圓傳送模塊1120的晶圓傳送機器人由一模塊傳送至另一模塊,如圖11D中的雙箭頭所表示。在該集群工具的另一部分中,晶圓傳送模塊1120可能將半導體晶圓50傳送於不同對的處理腔室之間。FIG. 11D shows a portion of cluster tool 1102 where both the E-field anneal processing chamber 1140 and the PVD processing chamber 1118 are accessible by wafer transfer module 1120 . The processing chamber 1140 may be similar to the processing chamber 225 in configuration 802 (see FIG. 8B ). Semiconductor wafers can be transferred from one module to another by the wafer transfer robot of the wafer transfer module 1120, as indicated by the double arrows in FIG. 11D. In another portion of the cluster tool, the wafer transfer module 1120 may transfer semiconductor wafers 50 between different pairs of process chambers.

在此總結本發明的例示實施例。其他實施例亦可由本說明書整體以及在此提出的申請專利範圍而理解。 範例1、一種用於處理半導體晶圓的系統,其中該系統包括一處理腔室;一加熱源;一基板固持器,其設置以暴露一半導體晶圓至該加熱源;第一電極,其設置為可拆卸地耦合到該半導體晶圓的第一主要表面;及第二電極,其耦合至該基板固持器,該第一電極與該第二電極共同設置成在該半導體晶圓中施加一電場。 範例2、如範例1之系統,其中該加熱源為放置在該半導體晶圓之背面下方的一加熱板。 範例3、如範例1或2之一者的系統,其中該加熱板包括具有外表面的一基板,該外表面包括一電絕緣層,且其中該電絕緣層被一導電板覆蓋,該導電板被設置成電性耦合至該半導體晶圓之背面。 範例4、如範例1至3之一者的系統,其中該加熱板為一導電材料,該加熱板設置以電性耦合至該半導體晶圓之背面。 範例5、如範例1至4之一者的系統,其中該加熱源包括設置成輻射加熱該半導體晶圓的複數加熱源。 範例6、如範例1至5之一者的系統,其中該加熱源配置在該處理腔室外部且設置成透過輻射熱傳遞加熱該半導體晶圓。 範例7、如範例1至6之一者的系統,其中該加熱源配置在該處理腔室內部且設置成透過輻射熱傳遞加熱該半導體晶圓。 範例8、如範例1至7之一者的系統,其中該加熱源包括一電阻加熱源。 範例9、如範例1至8之一者的系統,其中該加熱源包括一礦物絕緣(MI)纜線、塗布有陶瓷的一電阻器、或塗布有熱解氮化硼(PBN)的一石墨電阻器。 範例10、如範例1至9之一者的系統,其中該加熱源包括一紅外線(IR)燈、一紫外線(UV)燈、或一閃光弧燈。 範例11、如範例1至10之一者的系統,其中該電場係設置成藉由維持跨該第一電極與該第二電極的一固定電壓而施加,或維持跨該第一電極與該第二電極的一時變電壓而施加,其中該時變電壓包括一脈衝電壓或一正弦電壓。 範例12、如範例1至11之一者的系統,其中該第一電極或該第二第電極係耦合至一浮動電位節點。 範例13、如範例1至12之一者的系統,更包括一掃描器,其中該加熱源為一雷射束之源,該雷射束被設置以加熱與該雷射束相交的該半導體晶圓之主要表面的一部分,且其中該掃描器係設置以移動與該雷射束相交的該主要表面的該部分,以將該主要表面的全部暴露於該雷射束。 範例14、如範例1至13之一者的系統,更包括一流體入口與一流體出口,設置在該處理腔室中;及一加熱線圈,其設置以加熱流入該處理腔室中的一流體。 範例15、如範例1至14之一者的系統,更包括:一集群的模塊,包括一設備前端模塊、一晶圓傳送模塊、及一處理模塊,該處理腔室為該處理模塊的部分。 範例16、一種用於處理半導體晶圓的系統,其中該系統包括一處理腔室;一加熱源;一基板固持器,其設置以暴露複數半導體晶圓至該加熱源;第一匯流排,包括用於接觸該複數半導體晶圓之各者的第一側之第一複數電極;及第二匯流排,包括用於接觸該複數半導體晶圓之各者的第二側之第二複數電極,該第一匯流排與該第二匯流排共同設置成在該複數半導體晶圓之各者中施加一電場。 範例17、如範例16之系統,其中該基板固持器係設置為在該處理腔室中垂直堆疊該複數半導體晶圓。 範例18、如範例16或17之一者的系統,其中該第一匯流排與該第二匯流排係設置以同時在該複數半導體晶圓之各者中施加一電場,且其中該基板固持器係設置以同時將該複數半導體晶圓暴露至該加熱源。 範例19、如範例16至18之一者的系統,其中該基板固持器包括一石英晶圓支撐件。 範例20、如範例16至19之一者的系統,其中該基板固持器包括一導電晶圓支撐件,且其中該導電晶圓支撐件包括該第二匯流排。 範例21、如範例16至20之一者的系統,更包含第三匯流排,包括第三複數電極,該第三複數電極設置以可拆卸地接觸該複數半導體晶圓之各者的該第一側,該第三匯流排耦合至一電壓監測器。 範例22、如範例16至21之一者的系統,更包括:一集群的模組,包括一設備前端模塊、一晶圓傳送模塊、及一處理模塊,該處理腔室為該處理模塊的部分。 範例23、一種用於處理半導體晶圓的快速熱處理(RTP)系統,其中該系統包括一RTP腔室;一基板固持器設置以支撐一基板;一電磁能量源設置以加熱被該基板固持器所支撐的該基板;第一電極設置以可拆卸地耦合至該基板的第一側,該第一電極耦合至第一電位節點;及第二電極設置以可拆卸地耦合至該基板的相反第二側,該第二電極耦合至一第二電位節點,該第一電極與該第二電極共同設置以通過該基板施加一電場。 範例24、如範例23之系統,其中該基板固持器係設置以在系統中支撐待處理的複數半導體晶圓之單一者。 範例25、如範例23或24之一者的系統,更包括:第一匯流排,包括第一複數電極並耦合至該第一電位節點,該第一複數電極包括該第一電極;及第二匯流排,包括第二複數電極並耦合至該第二電位節點,該第二複數電極包括該第二電極,其中該基板固持器更設置以支撐複數半導體晶圓,該複數半導體晶圓包括該基板,其中該電磁能量源係設置以同時加熱該複數半導體晶圓,其中該第一複數電極係設置以接觸該複數半導體晶圓之各者的第一側且該第二複數電極係設置以接觸該複數半導體晶圓之各者的第二側,該第一匯流排與該第二匯流排共同設置以在該複數半導體晶圓之各者中施加該電場。 Exemplary embodiments of the invention are summarized here. Other embodiments are also contemplated by this specification as a whole and by the scope of claims filed here. Example 1. A system for processing a semiconductor wafer, wherein the system includes a processing chamber; a heat source; a substrate holder configured to expose a semiconductor wafer to the heat source; a first electrode configured to for detachably coupling to the first major surface of the semiconductor wafer; and a second electrode coupled to the substrate holder, the first electrode and the second electrode being co-located to apply an electric field in the semiconductor wafer . Example 2. The system of Example 1, wherein the heating source is a heating plate placed under the backside of the semiconductor wafer. Example 3. The system of either of Examples 1 or 2, wherein the heating plate comprises a substrate having an outer surface comprising an electrically insulating layer, and wherein the electrically insulating layer is covered by a conductive plate, the electrically conductive plate is configured to be electrically coupled to the backside of the semiconductor wafer. Example 4. The system of any of Examples 1-3, wherein the heating plate is a conductive material, the heating plate configured to be electrically coupled to the backside of the semiconductor wafer. Example 5. The system of any of Examples 1-4, wherein the heating source comprises a plurality of heating sources configured to radiatively heat the semiconductor wafer. Example 6. The system of any of Examples 1-5, wherein the heating source is disposed outside the processing chamber and configured to heat the semiconductor wafer via radiant heat transfer. Example 7. The system of any of Examples 1-6, wherein the heating source is disposed inside the processing chamber and configured to heat the semiconductor wafer via radiant heat transfer. Example 8. The system of any of Examples 1-7, wherein the heating source comprises a resistive heating source. Example 9. The system of one of Examples 1-8, wherein the heating source comprises a mineral insulated (MI) cable, a resistor coated with ceramic, or a graphite coated with pyrolytic boron nitride (PBN) Resistor. Example 10. The system of any of Examples 1-9, wherein the heating source comprises an infrared (IR) lamp, an ultraviolet (UV) lamp, or a flash arc lamp. Example 11. The system of one of Examples 1 to 10, wherein the electric field is configured to be applied by maintaining a constant voltage across the first electrode and the second electrode, or maintaining a constant voltage across the first electrode and the second electrode A time-varying voltage is applied to the two electrodes, wherein the time-varying voltage includes a pulse voltage or a sinusoidal voltage. Example 12. The system of one of Examples 1-11, wherein the first electrode or the second first electrode is coupled to a floating potential node. Example 13. The system of any one of Examples 1 to 12, further comprising a scanner, wherein the heating source is a source of a laser beam configured to heat the semiconductor crystal intersected by the laser beam A portion of a major surface of a circle, and wherein the scanner is arranged to move the portion of the major surface that intersects the laser beam to expose the entirety of the major surface to the laser beam. Example 14. The system of any one of Examples 1 to 13, further comprising a fluid inlet and a fluid outlet disposed in the processing chamber; and a heating coil configured to heat a fluid flowing into the processing chamber . Example 15. The system of any one of Examples 1 to 14, further comprising: a cluster of modules including a front end module, a wafer transfer module, and a processing module, the processing chamber being part of the processing module. Example 16. A system for processing semiconductor wafers, wherein the system comprises a processing chamber; a heat source; a substrate holder configured to expose a plurality of semiconductor wafers to the heat source; a first bus bar comprising a first plurality of electrodes for contacting a first side of each of the plurality of semiconductor wafers; and a second bus bar including a second plurality of electrodes for contacting a second side of each of the plurality of semiconductor wafers, the The first bus bar and the second bus bar are jointly arranged to apply an electric field in each of the plurality of semiconductor wafers. Example 17. The system of Example 16, wherein the substrate holder is configured to vertically stack the plurality of semiconductor wafers in the processing chamber. Example 18. The system of one of Examples 16 or 17, wherein the first bus bar and the second bus bar are configured to simultaneously apply an electric field in each of the plurality of semiconductor wafers, and wherein the substrate holder A system is configured to simultaneously expose the plurality of semiconductor wafers to the heating source. Example 19. The system of one of Examples 16-18, wherein the substrate holder comprises a quartz wafer support. Example 20. The system of one of Examples 16 to 19, wherein the substrate holder includes a conductive wafer support, and wherein the conductive wafer support includes the second busbar. Example 21. The system of any one of Examples 16 to 20, further comprising a third busbar including a third plurality of electrodes configured to removably contact the first of the plurality of semiconductor wafers. On the side, the third bus bar is coupled to a voltage monitor. Example 22. The system of any of Examples 16-21, further comprising: a cluster of modules including a front end module, a wafer transfer module, and a processing module, the processing chamber being part of the processing module . Example 23. A rapid thermal processing (RTP) system for processing semiconductor wafers, wherein the system comprises an RTP chamber; a substrate holder configured to support a substrate; an electromagnetic energy source configured to heat the substrate held by the substrate holder The substrate supported; a first electrode configured to be detachably coupled to a first side of the substrate, the first electrode coupled to a first potential node; and a second electrode configured to be detachably coupled to an opposite second side of the substrate side, the second electrode is coupled to a second potential node, and the first electrode and the second electrode are co-disposed to apply an electric field through the substrate. Example 24. The system of Example 23, wherein the substrate holder is configured to support a single one of the plurality of semiconductor wafers to be processed in the system. Example 25. The system of either of Examples 23 or 24, further comprising: a first bus bar comprising a first plurality of electrodes and coupled to the first potential node, the first plurality of electrodes comprising the first electrode; and a second a bus bar comprising a second plurality of electrodes comprising the second electrode and coupled to the second potential node, wherein the substrate holder is further configured to support a plurality of semiconductor wafers comprising the substrate , wherein the electromagnetic energy source is positioned to simultaneously heat the plurality of semiconductor wafers, wherein the first plurality of electrodes is positioned to contact a first side of each of the plurality of semiconductor wafers and the second plurality of electrodes is positioned to contact the On the second side of each of the plurality of semiconductor wafers, the first bus bar and the second bus bar are co-located to apply the electric field in each of the plurality of semiconductor wafers.

範例26、如範例23至25之一者的系統,其中該電磁能量源為一閃光燈、一雷射、一IR燈、一UV燈、或一微波燈。Example 26. The system of any of Examples 23-25, wherein the source of electromagnetic energy is a flash lamp, a laser, an IR lamp, a UV lamp, or a microwave lamp.

雖然本發明已藉由參考說明性實施例加以敘述,此實施方法章節不旨在被理解為限制性的意義。說明性實施例的各種不同修飾及組合,以及本發明的其他實施例,藉由參考本實施方法章節,對本技術領域中通常知識者將係顯而易見的。因此,附隨的申請專利範圍旨在涵蓋任何此類的修飾或實施例。While this invention has been described by reference to illustrative examples, this Methods section is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to those of ordinary skill in the art upon reference to the present Methods section. Accordingly, the accompanying claims are intended to cover any such modifications or embodiments.

10:基板固持器 12:摻雜半導體區域 14:基板固持器 15:埋入式氧化物(BOX)層 20:基板 21:第一半導體區域 22:第二半導體區域 25:STI區域 30:MOS介電層 35:鐵電介電層 40:傳導頂電極層 45:傳導底電極層 50:半導體晶圓 100:裝載導軌 108:第一傳導匯流排 109:第二傳導匯流排 110:主要導線 112:監控導線 113:次要導線 114:次要導線 115:雙導線 120:功率饋通 130:DC功率供給件 140:接地導線 150:伏特計 210:第一E場退火器電極 211:主要電極 212:監控電極 214:次要電極 215:主要電極 216:次要電極 225:處理腔室 226:處理腔室 227:腔室壁 230:支撐板 235:熱處理系統 236:熱處理系統 240:緩衝晶圓 250:絕緣陶瓷片 310:絕緣導線 701:配置 702:配置 710:加熱板 720:加熱板 730:導電板 740:加熱器 801:E場退火器配置 802:E場退火器配置 803:E場退火器配置 804:E場退火器配置 810:晶圓支撐件 820:電阻加熱元件 822:礦物絕緣纜線 824:纜線支撐件 830:電阻加熱元件 840:燈加熱器 850:雷射系統 852:雷射束 900:E場退火器配置 910:氣體入口管 920:氣體出口管 930:加熱線圈 1001:第一配置 1002:第二配置 1003:第三配置 1010:加熱源 1016:晶圓接觸 1018:晶圓接觸 1020:石英腔室壁 1022:晶圓支撐件 1024:基座 1026:多晶圓處理腔室 1028:晶圓支撐件 1040:主要電極 1042:次要電極 1044:監控電極 1101:集群工具 1102:集群工具 1103:集群工具 1110:處理腔室 1114:處理腔室 1116:電漿預清潔處理腔室 1118:PVD處理腔室 1120:晶圓傳送模塊 1130:設備前端模塊 1140:E場退火處理腔室 1150:電漿蝕刻處理腔室 10: Substrate holder 12: Doped semiconductor region 14: Substrate holder 15: Buried oxide (BOX) layer 20: Substrate 21: The first semiconductor region 22: Second semiconductor region 25: STI area 30:MOS dielectric layer 35: ferroelectric dielectric layer 40: Conductive top electrode layer 45: Conductive bottom electrode layer 50: Semiconductor wafer 100: Loading guide rail 108: the first conduction bus bar 109: Second conductive bus bar 110: Main wire 112: monitoring wire 113: Secondary wire 114: Secondary wire 115: double wire 120: Power feedthrough 130: DC power supply part 140: Ground wire 150: Voltmeter 210: The first E field annealer electrode 211: Main electrode 212: Monitoring electrode 214: Secondary electrode 215: Main electrode 216: Secondary electrode 225: processing chamber 226: processing chamber 227: chamber wall 230: support plate 235: Heat treatment system 236: Heat treatment system 240: buffer wafer 250: insulating ceramic sheet 310: insulated wire 701: Configuration 702: Configuration 710: heating plate 720: heating plate 730: conductive plate 740: heater 801:E field annealer configuration 802:E field annealer configuration 803:E field annealer configuration 804:E field annealer configuration 810: wafer support 820: resistance heating element 822: mineral insulated cable 824: Cable support 830: resistance heating element 840: lamp heater 850:Laser system 852:Laser beam 900:E field annealer configuration 910: Gas inlet pipe 920: Gas outlet pipe 930: heating coil 1001: first configuration 1002: second configuration 1003: The third configuration 1010: heating source 1016: wafer contact 1018: wafer contact 1020: Quartz chamber wall 1022: Wafer support 1024: base 1026: Multi-wafer processing chamber 1028: Wafer support 1040: Main electrode 1042: Secondary electrode 1044: Monitoring electrode 1101: Cluster tools 1102: Cluster tools 1103: Cluster tools 1110: processing chamber 1114: processing chamber 1116: Plasma pre-cleaning process chamber 1118:PVD processing chamber 1120: Wafer transfer module 1130: Equipment front-end module 1140:E field annealing chamber 1150: Plasma etching processing chamber

20:基板 20: Substrate

30:MOS介電層 30:MOS dielectric layer

40:傳導頂電極層 40: Conductive top electrode layer

50:半導體晶圓 50: Semiconductor wafer

130:DC功率供給件 130: DC power supply part

150:伏特計 150: Voltmeter

211:主要電極 211: Main electrode

212:監控電極 212: Monitoring electrode

225:處理腔室 225: processing chamber

227:腔室壁 227: chamber wall

701:配置 701: Configuration

710:加熱板 710: heating plate

740:加熱器 740: heater

Claims (22)

一種用於處理半導體晶圓的系統,該系統包含: 一處理腔室; 一加熱源; 一基板固持器,其設置以暴露一半導體晶圓至該加熱源; 第一電極,其設置為可拆卸地耦合到 該半導體晶圓的第一主要表面;以及 第二電極,其耦合至該基板固持器,該第一電極與該第二電極共同設置成在該半導體晶圓中施加一電場。 A system for processing semiconductor wafers, the system comprising: a processing chamber; a heating source; a substrate holder configured to expose a semiconductor wafer to the heat source; a first electrode configured to be detachably coupled to the first major surface of the semiconductor wafer; and A second electrode coupled to the substrate holder, the first electrode and the second electrode are co-located to apply an electric field in the semiconductor wafer. 如請求項1之系統,更包含一控制系統,其包含設置以在施加該電場時測量通過該半導體晶圓之一層的電流-電壓曲線之測量探針,該控制系統包含一控制器以控制該電場。The system of claim 1, further comprising a control system comprising measuring probes arranged to measure a current-voltage curve passing through a layer of the semiconductor wafer when the electric field is applied, the control system comprising a controller to control the electric field. 如請求項1之系統,其中該加熱源為放置在該半導體晶圓之背面下方的一加熱板。The system of claim 1, wherein the heating source is a heating plate placed under the backside of the semiconductor wafer. 如請求項3之系統, 其中,該加熱板包含具有外表面的一基板,該外表面包含一電絕緣層,並且 其中,該電絕緣層被一導電板覆蓋,該導電板被設置成電性耦合至該半導體晶圓之背面。 For the system of claim 3, wherein the heating plate comprises a substrate having an outer surface comprising an electrically insulating layer, and Wherein, the electrically insulating layer is covered by a conductive plate, and the conductive plate is configured to be electrically coupled to the backside of the semiconductor wafer. 如請求項3之系統,其中該加熱板為一導電材料,該加熱板設置以電性耦合至該半導體晶圓之背面。The system of claim 3, wherein the heating plate is a conductive material, and the heating plate is configured to be electrically coupled to the backside of the semiconductor wafer. 如請求項1之系統,其中該加熱源包含設置成輻射加熱該半導體晶圓的複數加熱源。The system of claim 1, wherein the heating source comprises a plurality of heating sources configured to radiatively heat the semiconductor wafer. 如請求項1之系統,其中該加熱源配置在該處理腔室外部且設置成透過輻射熱傳遞加熱該半導體晶圓。The system of claim 1, wherein the heating source is disposed outside the processing chamber and configured to heat the semiconductor wafer through radiant heat transfer. 如請求項1之系統,其中該加熱源配置在該處理腔室內部且設置成透過輻射熱傳遞加熱該半導體晶圓。The system of claim 1, wherein the heating source is disposed inside the processing chamber and configured to heat the semiconductor wafer through radiant heat transfer. 如請求項1之系統,其中該加熱源包含一電阻加熱源。The system of claim 1, wherein the heating source comprises a resistive heating source. 如請求項9之系統,其中該加熱源包含一礦物絕緣(MI)纜線、塗布有陶瓷的一電阻器、或塗布有熱解氮化硼(PBN)的一石墨電阻器。The system of claim 9, wherein the heating source comprises a mineral insulated (MI) cable, a resistor coated with ceramic, or a graphite resistor coated with pyrolytic boron nitride (PBN). 如請求項1之系統,其中該加熱源包含一紅外線(IR)燈、一紫外線(UV)燈、或一閃光弧燈。The system of claim 1, wherein the heating source comprises an infrared (IR) lamp, an ultraviolet (UV) lamp, or a flash arc lamp. 如請求項1之系統,其中該電場係設置成藉由下列而施加 維持跨該第一電極與該第二電極的一固定電壓,或 維持跨該第一電極與該第二電極的一時變電壓,其中該時變電壓包括一脈衝電壓或一正弦電壓。 The system according to claim 1, wherein the electric field is arranged to be applied by maintaining a constant voltage across the first electrode and the second electrode, or A time-varying voltage is maintained across the first electrode and the second electrode, wherein the time-varying voltage includes a pulse voltage or a sinusoidal voltage. 如請求項1之系統,其中該第一電極或該第二電極係耦合至一浮動電位節點。The system of claim 1, wherein the first electrode or the second electrode is coupled to a floating potential node. 如請求項1之系統,更包含: 一掃描器, 其中該加熱源為一雷射束之源,該雷射束被設置以加熱與該雷射束相交的該半導體晶圓之主要表面的一部分,且 其中該掃描器係設置以移動與該雷射束相交的該主要表面的該部分,以將該主要表面的全部暴露於該雷射束。 The system of claim 1 further includes: a scanner, wherein the heating source is a source of a laser beam arranged to heat a portion of the major surface of the semiconductor wafer intersected by the laser beam, and Wherein the scanner is configured to move the portion of the major surface intersected by the laser beam to expose the entirety of the major surface to the laser beam. 如請求項1之系統,更包含: 一流體入口及一流體出口,設置在該處理腔室中;及 一加熱線圈,其設置以加熱流入該處理腔室中的一流體。 The system of claim 1 further includes: a fluid inlet and a fluid outlet disposed in the processing chamber; and A heating coil is configured to heat a fluid flowing into the processing chamber. 如請求項1之系統,更包含: 一集群的模塊,包含一設備前端模塊、一晶圓傳送模塊、及一處理模塊,該處理腔室為該處理模塊的部分。 The system of claim 1 further includes: A cluster of modules includes a front-end module, a wafer transfer module, and a processing module of which the processing chamber is a part. 一種用於處理半導體晶圓的系統,該系統包含: 一處理腔室; 一加熱源; 一基板固持器,其設置以暴露複數半導體晶圓至該加熱源; 第一匯流排,包含用於接觸該複數半導體晶圓之各者的第一側之第一複數電極;以及 第二匯流排,包括用於接觸該複數半導體晶圓之各者的第二側之第二複數電極,該第一匯流排與該第二匯流排共同設置成在該複數半導體晶圓之各者中施加一電場。 A system for processing semiconductor wafers, the system comprising: a processing chamber; a heating source; a substrate holder configured to expose a plurality of semiconductor wafers to the heat source; a first bus bar comprising a first plurality of electrodes for contacting a first side of each of the plurality of semiconductor wafers; and A second bus bar, including a second plurality of electrodes for contacting a second side of each of the plurality of semiconductor wafers, the first bus bar and the second bus bar are jointly arranged on each of the plurality of semiconductor wafers Apply an electric field. 如請求項17之系統,其中該基板固持器係設置為在該處理腔室中垂直堆疊該複數半導體晶圓。The system of claim 17, wherein the substrate holder is configured to vertically stack the plurality of semiconductor wafers in the processing chamber. 如請求項17之系統,其中該第一匯流排與該第二匯流排係設置以同時在該複數半導體晶圓之各者中施加一電場,且其中該基板固持器係設置以同時將該複數半導體晶圓暴露至該加熱源。The system of claim 17, wherein the first bus bar and the second bus bar are configured to simultaneously apply an electric field in each of the plurality of semiconductor wafers, and wherein the substrate holder is configured to simultaneously apply the plurality of semiconductor wafers. A semiconductor wafer is exposed to the heat source. 如請求項17之系統,其中該基板固持器包含一石英晶圓支撐件。The system of claim 17, wherein the substrate holder comprises a quartz wafer support. 如請求項17之系統,其中該基板固持器包含一導電晶圓支撐件,且其中該導電晶圓支撐件包含該第二匯流排。The system of claim 17, wherein the substrate holder includes a conductive wafer support, and wherein the conductive wafer support includes the second bus bar. 如請求項17之系統,更包含: 第三匯流排,包含第三複數電極,該第三複數電極設置以可拆卸地接觸該複數半導體晶圓之各者的該第一側,該第三匯流排耦合至一電壓監測器。 The system of claim 17 further includes: A third bus bar including a third plurality of electrodes disposed to detachably contact the first side of each of the plurality of semiconductor wafers, the third bus bar coupled to a voltage monitor.
TW111106188A 2021-02-25 2022-02-21 Semiconductor processing systems with in-situ electrical bias TWI821915B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/185,231 2021-02-25
US17/185,231 US11894240B2 (en) 2020-04-06 2021-02-25 Semiconductor processing systems with in-situ electrical bias

Publications (2)

Publication Number Publication Date
TW202242968A true TW202242968A (en) 2022-11-01
TWI821915B TWI821915B (en) 2023-11-11

Family

ID=83151303

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111106188A TWI821915B (en) 2021-02-25 2022-02-21 Semiconductor processing systems with in-situ electrical bias

Country Status (3)

Country Link
JP (1) JP2022130335A (en)
KR (1) KR20220121750A (en)
TW (1) TWI821915B (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171934B1 (en) * 1998-08-31 2001-01-09 Symetrix Corporation Recovery of electronic properties in process-damaged ferroelectrics by voltage-cycling
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
KR101926658B1 (en) * 2017-03-15 2018-12-07 이인철 Vacuum Pump system for semiconductor chamber

Also Published As

Publication number Publication date
JP2022130335A (en) 2022-09-06
KR20220121750A (en) 2022-09-01
TWI821915B (en) 2023-11-11

Similar Documents

Publication Publication Date Title
US10833150B2 (en) Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
US6809017B2 (en) Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US20140264632A1 (en) Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US8541297B2 (en) Manufacturing method of semiconductor device
KR20180127180A (en) Method of manufacturing semiconductor device
US11264460B2 (en) Vertical transistor fabrication for memory applications
EP3817031A1 (en) Boron nitride layer, apparatus including the same, and method of fabricating the boron nitride layer
CN107785376A (en) 3D cross bar nonvolatile memories
JP5140935B2 (en) Magnetron sputtering film forming apparatus and semiconductor device manufacturing method
US20200251495A1 (en) Vertical transistor fabrication for memory applications
TWI458013B (en) Selective etch process for silicon nitride
US11894240B2 (en) Semiconductor processing systems with in-situ electrical bias
US20130214336A1 (en) Method for filling trench with metal layer and semiconductor structure formed by using the same
US11837652B2 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
US20210367046A1 (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
US20210193793A1 (en) Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor
TWI821915B (en) Semiconductor processing systems with in-situ electrical bias
US6783591B1 (en) Laser thermal annealing method for high dielectric constant gate oxide films
JP4537899B2 (en) Film-forming method and semiconductor device manufacturing method
TW202314865A (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
WO2000045429A1 (en) Method for fabricating semiconductor integrated circuit device
WO2021206684A1 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
US6933157B2 (en) Semiconductor wafer manufacturing methods employing cleaning delay period
KR100244400B1 (en) Method of forming dielectric film
KR20230054721A (en) Diffusion Barriers to Germanium