JP2022027707A - 半導体デバイス及びその形成方法 - Google Patents

半導体デバイス及びその形成方法 Download PDF

Info

Publication number
JP2022027707A
JP2022027707A JP2021125568A JP2021125568A JP2022027707A JP 2022027707 A JP2022027707 A JP 2022027707A JP 2021125568 A JP2021125568 A JP 2021125568A JP 2021125568 A JP2021125568 A JP 2021125568A JP 2022027707 A JP2022027707 A JP 2022027707A
Authority
JP
Japan
Prior art keywords
layer
gate
semi
semiconductor device
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021125568A
Other languages
English (en)
Inventor
銘輝 洪
Ming-Hwei Hong
瑞年 郭
Juei-Nai Kwo
獻文 萬
Hsien-Wen Wan
博宇 楊
Po-Yu Yang
伊▲てい▼ 鄭
Yi-Ting Cheng
毓傑 洪
yu-jie Hong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027707A publication Critical patent/JP2022027707A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Figure 2022027707000001
【課題】FinFETsにおける駆動電流をさらに改善する方法や構造を提供する。
【解決手段】半導体デバイスは、半導体フィン112のチャネル部分(半導電性チャネル領域)と、半導電性保護層210と、ゲート構造MGと、1対のゲートスペーサーとを含む。半導電性保護層は、チャネルに接する。ゲート構造は、半導電性保護層の上方に位置し、ゲート誘電体層220及びゲート電極GEを含む。ゲート誘電体層は、半導電性保護層210の上方に位置する。ゲート電極は、ゲート誘電体層の上方に位置する。ゲートスペーサーは、ゲート構造の反対側に位置する。半導電性保護層は、1対のゲートスペーサーのうちの第1ゲートスペーサーの内側壁から1対のゲートスペーサーのうちの第2ゲートスペーサーの内側壁に延在している。
【選択図】図11B

Description

本発明は、半導体デバイス及びその形成方法に関する。
金属酸化物半導体(MOS)トランジスタの速度は、MOSトランジスタの駆動電流と密接に関連しており、さらにMOSトランジスタのチャネルにおける電荷移動度と密接に関連する。例えば、チャネル領域における電子移動度が高いとき、NMOSトランジスタは高い駆動電流を有し、チャネル領域における正孔移動度が高いとき、PMOSトランジスタは高い駆動電流を有する。このように、ゲルマニウム、シリコンゲルマニウム、III族元素及びV族元素からなる化合物半導体材料(以下、III-V族化合物半導体と称する)は、高い電子移動度及び/又は正孔移動度を形成する候補として適切である。
ゲルマニウム、シリコンゲルマニウム、ゲルマニウムスズ、III-V族化合物半導体領域もフィン型電界効果トランジスタ(FinFETs)のチャネル領域を形成するのに有望な材料である。FinFETsにおける駆動電流をさらに改善する方法や構造が現在研究されている。
本開示は添付の図面を参照して以下の詳細な説明から最もよく理解される。ここで強調しておきたいのは、様々な特徴は業界の標準的な慣行に従って、正確な縮尺率ではならず、説明を行う目的だけに使用されているということである。実際には、様々な特徴の寸法は、議論を明確にするために任意に増加又は減少させることができる。
本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。 ゲルマニウム基板上に成膜されたシリコン保護層のX線回折スペクトル(XRD) を2回の入射角の関数して図示する。 異なる周波数でのポストゲートフォーミングガスアニール(FGA)プロセスを有する半導体デバイスのC-V特性を図示する。 ポストゲートフォーミングガスアニール(FGA)プロセスを有する及び有しない半導体デバイスの界面準位密度(Dit)を図示する ポストゲートフォーミングガスアニール(FGA)プロセス及び/又はポストデポジションアニールプロセスを有する/有しない半導体デバイスの有効な酸化物トラップ密度(ANeff)を図示する。
以下の開示内容は、提供される主題の異なる特徴を実現するための多くの異なる実施形態又は例を提供する。部品、配置の具体例は以下にて本開示を簡明にするために説明される。当然のことながら、これらは例示に過ぎず限定的ではない。例えば、以下の説明において、第2特徴の上方又は上に第1特徴を形成することは直接接触する方式で第1特徴及び第2特徴を形成する実施形態を含むことができ、且つさらに第1特徴と第2特徴との間に付加的な特徴を形成することにより第1特徴と第2特徴が直接接触しない実施形態を含むことができる。また、本開示は様々な例において数字及び/又はアルファベットを繰り返し参照することができる。該繰り返しは簡単且つ明瞭な目的であり、且つ自体が議論された様々な実施形態及び/又は配置の間の関係を示すものではない。
また、説明を容易にするために、本明細書において例えば「…下(beneath)」、「…下(below)」、「下部(lower)」、「…上方(above)」、「上部(upper)」等の空間関連用語を使用して図に示された1つの要素又は特徴と他の要素又は特徴との関係を説明することができる。これらの空間関連用語はデバイスが使用又は操作において図に示された向き以外の異なる向きをカバーすることを意図する。装置は他の方式で指向する(90度回転するか又は他の向きにする)ことができ、且つ本明細書で使用される空間相対記述子は同様に対応して解釈することができる。
本明細書では、「前後」、「概ね」、「約」、又は「実質的に」は、一般に、与えられた値又は範囲の20%又は10%以内、又は5%以内であることを意味する。本明細書で与えられる数値量は近似値であり、「前後」、「概ね」、「約」、又は「実質的に」という用語は、明示的に述べられていない場合、推論できることを意味する。
フィンは、任意の適切な方法でパターン化されることができる。例えば、フィンは、ダブルパターニング又はマルチパターニングプロセスを含む1つ又は複数のフォトリソグラフィプロセスを用いてパターニングすることができる。一般に、ダブルパターニング又はマルチパターニングプロセスは、フォトリソグラフィと自己整合プロセスとを組み合わせ、例えば単一の直接フォトリソグラフィプロセスを用いて得られるものよりも小さいピッチを有するパターンを作成することができる。例えば、1つの実施形態において、基板上に犠牲層が形成され、フォトリソグラフィプロセスを用いてパターニングする。スペーサーは、自己整合プロセスを用いてパターン化された犠牲層の横に形成される。その後、犠牲層は除去され、残りのスペーサーはフィンをパターニングすることに用いられることができる。
ゲートオールアラウンド(GAA)トランジスタ構造は、任意の適切な方法でパターン化されることができる。例えば、ダブルパターニング又はマルチパターニングプロセスを含む1つ又は複数のフォトリソグラフィプロセスを用いて構造をパターニングすることができる。一般に、ダブルパターニング又はマルチパターニングプロセスは、フォトリソグラフィと自己整合プロセスとを組み合わせ、例えば単一の直接フォトリソグラフィプロセスを用いて得られるものよりも小さいピッチを有するパターンを作成することができる。例えば、1つの実施形態において、基板上に犠牲層が形成され、フォトリソグラフィプロセスを用いてパターニングする。スペーサーは、自己整合プロセスを用いてパターン化された犠牲層の横に形成される。その後、犠牲層は除去され、残りのスペーサーはGAA構造をパターニングすることに用いられることができる。
本開示のいくつかの実施形態は、半導電性チャネル領域と半導体デバイスの界面層との間の半導電性保護層を含む半導体デバイスに関し、半導電性チャネル領域と界面層との間の界面問題を改善する。以下においてFinFETsに関するいくつかの実装例を示すが、この概念はFinFETsに限定されず、MOSFETs、HGAAデバイスなどの他のタイプのデバイスにも適用できることが理解される。
図1~図12Cは、本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。いくつかの実施形態において、図1~図12Cに示す半導体デバイスは、スタティックランダムアクセスメモリ(SRAM)、論理回路、受動素子、及び/又はp型電界効果トランジスタ(PFETs)、n型FETs(NFETs)、マルチゲートFETs、金属酸化物半導体電界効果トランジスタ(MOSFETs)、相補型金属酸化物半導体(CMOS)トランジスタ、バイポーラトランジスタ、高電圧トランジスタ、高周波トランジスタ、他のメモリセル、及びこれらの組み合わせのような能動素子を含む、集積回路(IC)又はその一部を処理する過程で製造される中間デバイスであってもよい。
図1を参照すると、基板110が提供される。いくつかの実施形態において、基板110は、ゲルマニウム(Ge)、シリコンゲルマニウム(Si1-xGe、0<x≦1)、ヒ化ガリウム(GaAs)、又は他の適当な半導体材料を含む。いくつかの実施形態において、基板110は、埋め込み誘電体層のようなシリコン・オン・インシュレータ (SOI)構造を含むことができる。あるいは、基板110は、埋め込み酸化物(BOX)層などの埋め込み誘電体層を含むことができ、例えば、SIMOX (separation by implantation of oxygen)技術、ウェーハ接着、SEG、又は他の適切な方法によって形成される。様々な実施形態において、基板110は、様々な基板構造及び材料のいずれかを含むことができる。様々な実施形態において、基板110は、高電圧アニールGe(001)基板であってもよい。
次に、基板110上にパッド層120を形成する。パッド層120は、後続のエッチングプロセスにより基板110が損傷することを防ぐことができる。パッド層120は、化学気相成長(CVD)及び/又は原子層堆積(ALD)などの堆積プロセスにより形成することができる。CVDプロセスのバリエーションは、常圧CVD(APCVD)、減圧CVD(LPCVD)、プラズマCVD(PECVD)、有機金属CVD(MOCVD)、及びこれらの組み合わせを含むがこれらに限定されない。あるいは、パッド層120は、熱酸化又は熱窒化などの成長プロセスを用いて形成することができる。いくつかの実施形態において、パッド層120は、例えばSiO2のような酸化物からなり、CVDにより形成される。
次に、エッチングマスクとして用いるためにマスク層130をパッド層120上に形成する。いくつかの実施形態において、マスク層130は、SiNからなる。しかし、SiON、炭化ケイ素、又はこれらの組み合わせなどの他の材料を用いることもできる。マスク層130は、例えばCVD、プラズマ化学気相成長(PECVD)、又はLPCVDのようなプロセスにより形成されることができる。あるいは、マスク層130は、まず酸化ケイ素からなり、その後、窒化によりSiNに変換されてもよい。
次に、ダミーマスク層140をマスク層130上に形成する。ダミーマスク層140は、アモルファスカーボン、フッ素化アモルファスカーボン等を含むことができるが、これらに限定されない。ダミーマスク層140は、例えば、CVD、プラズマ化学気相成長(PECVD)、LPCVD、物理気相成長(PVD)のようなプロセスにより形成されることができる。
図2を参照すると、ダミーマスク層140をマスクとして用いて、ダミーマスク層140(図1参照)、マスク層130、パッド層120、及び基板110をパターニングすることにより基板110に複数のトレンチTが形成される。隣接する2つのトレンチTの間に半導体フィン112を規定する。トレンチTは、反応性イオンエッチング(RIE)のようなエッチングプロセスを用いて形成することができる。図2において、2つの半導体フィン112が示されているが、本開示の請求範囲はこれに限定されないことに留意すべきである。他のいくつかの実施形態において、当業者は実際の状況に応じて適切な数の半導体デバイスの半導体フィン112を製造することができる。トレンチT及び半導体フィン112を形成した後、ダミーマスク層140を除去する。
図3を参照すると、シャロートレンチアイソレーション(STI)領域であってもよいアイソレーション構造150がトレンチTにおいて形成される。該形成は、例えば、流動性化学気相成長(FCVD)を用いて、トレンチTに誘電体層(複数可)を充填することと、誘電体材料の上面とパッド層120の上面とを面一にする化学機械研磨(CMP)を実行することと、を含むことができる(図2参照)。次いで、アイソレーション構造150を凹ませ、パッド層120を除去する。アイソレーション構造150は、例えば、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、SiCN、SiC又はこれらの組み合わせのような誘電体材料であってもよい。
図4を参照すると、半導体フィン112及びアイソレーション構造150の上方に少なくとも1つのダミーゲート構造160が形成される。ダミーゲート構造160は、ダミーゲート誘電体層162と、ダミーゲート層164と、ダミーゲート層164上に形成されるマスク層166とを含む。ダミーゲート構造160の形成は、基板110上に誘電体層、ダミーゲート層及びマスク層を順次堆積し、適切なフォトリソグラフィ及びエッチング技術を用いてマスク層をパターン化マスク層166にパターニングして、ついでマスク層166をマスクとして用いてダミーゲート層をパターニングすることで、パターン化されたダミーゲート層164を形成することを含む。その後、誘電体層はパターン化され、ダミーゲート誘電体層162を形成する。このように、ダミーゲート誘電体層162、ダミーゲート層164、及びマスク層166は、ダミーゲート構造160と称される。いくつかの実施形態において、ダミーゲート誘電体層162は、二酸化ケイ素、窒化ケイ素、高誘電率を持つ誘電体材料、又は他の適切な材料から作られてもよい。ダミーゲート層164は、ポリシリコン(poly-Si)、ポリシリコンゲルマニウム(poly-SiGe)、又は他の適切な材料から作られてもよい。マスク層166は、酸化ケイ素又は他の適切な材料から作られてもよい。
図5を参照すると、ゲートスペーサー170は、ダミーゲート構造160の側壁にそれぞれ形成される。ゲートスペーサー170は、シールスペーサー及びメインスペーサー(図示せず)を含むことができる。ゲートスペーサー170は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、SiCN、SiC又はこれらの組み合わせのような1つ又は複数の誘電体材料を含む。ダミーゲート構造170の側壁にシールスペーサーが形成され、シールスペーサー上にメインスペーサーが形成される。ゲートスペーサー170は、プラズマ化学気相成長(PECVD)、減圧化学気相成長(LPCVD)、準常圧化学気相成長(SACVD)などの堆積方法を用いて形成することができる。ゲートスペーサー170の形成は、ブランケットでスペーサー層を形成した後、エッチング操作を行ってスペーサー層の水平部分を除去することを含むことができる。ゲートスペーサー層の残りの垂直部分は、ゲートスペーサー層170を形成する。
図6を参照すると、半導体フィン112をエッチングすることにより、ダミーゲート構造160の反対側に複数の凹部を形成する。ダミーゲート構造160及びゲートスペーサー170は、凹部形成時のエッチングマスクとして機能する。エッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はこれらの組み合わせを含む。
次に、半導体材料を凹部に堆積し、ソース・ドレイン領域と呼ばれるエピタキシャル構造180を形成する。エピタキシャル構造180は、レイズドソース及びドレイン領域と称することもできる。半導体材料は、ゲルマニウム(Ge)又はケイ素(Si)のような単一元素半導体材料、ヒ化ガリウム(GaAs)、ヒ化シリコン(SiAs)又はヒ化アルミニウムガリウム(AlGaAs)のような化合物半導体材料、あるいはシリコンゲルマニウム(SiGe)、シリコンゲルマニウムボロン(SiGeB)又はガリウムヒ素リン(GaAsP)のような半導体合金を含む。エピタキシャル構造180は、適切な結晶方位(例えば、a(100)、(110)又は(111)結晶方位)を有する。いくつかの実施形態において、エピタキシャル構造180はソース・ドレインエピタキシャル構造を含む。N型デバイスが必要とされるいくつかの実施形態において、エピタキシャル構造180は、エピタキシャル成長したリン化ケイ素(SiP)又は炭化ケイ素(SiC)を含むことができる。P型デバイスが必要とされるいくつかの実施形態において、エピタキシャル構造180は、エピタキシャル成長したシリコンゲルマニウム(SiGe)を含むことができる。エピタキシャルプロセスは、CVDデポジション技術(例えば、気相エピタキシャル成長(VPE)及び/又は超高真空CVD(UHV-CVD))、分子線エピタキシー、及び/又は他の適切なプロセスを含む。エピタキシャルプロセスにおいて、必要とされるp型又はn型不純物はドープされてもよく、ドープされていなくてもよい。ドーピングは、イオン注入プロセス、プラズマ浸漬イオン注入(PIII)プロセス、ガス及び/又は固体ソース拡散プロセス、他の適切なプロセス、又はこれらの組み合わせにより達成され得る。
図7を参照すると、コンタクトエッチストップ層(CASL)190は、図6の構造上にコンフォーマルに形成される。いくつかの実施形態において、CESL190は応力を有する層又は複数の層であってもよい。いくつかの実施形態において、CESL190は引張応力を有し、Siから形成される。他のいくつかの実施形態において、CESL190は、窒素酸化物のような材料を含む。さらに、他のいくつかの実施形態において、CESL190は、酸化ケイ素層を覆う窒化ケイ素層のような複数の層を含む複合構造を有することができる。CESL190は、プラズマCVD(PECVD)を用いて形成することができるが、減圧CVD(LPCVD)、原子層堆積(ALD)などの他の適切な方法を用いることもできる。
次に、CESL190上に層間絶縁層(ILD)195を形成する。ILD195は、化学気相成長(CVD)、高密度プラズマCVD、スピンオン、スパッタリング、又は他の適切な方法によって形成され得る。いくつかの実施形態において、ILD195は酸化ケイ素を含む。他のいくつかの実施形態において、ILD195は、酸窒化ケイ素、窒化ケイ素、Si、O、C及び/又はHを含む化合物(例えば、酸化ケイ素、SiCOH及びSiOC)、低誘電率材料、又は有機材料(例えば、ポリマー)を含むことができる。ILD195を形成した後、CMPのような平坦化操作を行い、マスク層166(図6参照)を除去し、ダミーゲート層164を露出させる。
図8A~8Cを参照すると、図8Bは、図8AのB-B線に沿って切り取った断面図であり、図8Cは、図8AのC-C線に沿って切り取った断面図である。その後、ダミーゲート層164及びダミーゲート誘電体層162(図7参照)を除去し、ゲートスペーサー170の間にゲートトレンチ168を形成し、半導体フィン112のチャネル部分(半導電性チャネル領域と呼ぶ)を露出させる。ILD195は、ダミーゲート層164及びダミーゲート誘電体層162を除去している間、エピタキシャル構造180を保護する。ダミーゲート層164及びダミーゲート誘電体層162は、プラズマドライエッチング及び/又はウェットエッチングを用いて除去することができる。ダミーゲート層164がポリシリコンであり、ILD195が酸化ケイ素である場合、TMAH溶液のようなウェットエッチャントを用いて、ダミーゲート層162を選択的に除去することができる。ダミーゲート層164は、プラズマドライエッチング及び/又はウェットエッチングを用いて除去することができる。その後、ダミーゲート誘電体層162も除去される。これにより、半導体フィン112のチャネル部分が露出する。
半導電性保護層(例えば、シリコン含有保護層)210は、半導体フィン112のチャネル部分の上方に形成される。いくつかの実施形態において、半導電性保護層210は、分子線エピタキシー(MBE)のような適切なプロセスにより形成される。MBEは、超高真空チャンバに収容されたクヌーセンセル内で生成する原子又は分子線を用いて、結晶基板上に薄い単結晶層を堆積するプロセスである。いくつかの実施形態において、半導電性保護層210は、約300℃より低い温度、例えば約-196℃~約300℃、室温~約300℃、又は約100℃~約200℃で形成される。低温MBEプロセス(例えば、約300℃未満)は、半導体フィン112のチャネル部分におけるゲルマニウム原子が半導電性保護層210の上面へ拡散するのを抑制する。このため、半導電性保護層210におけるゲルマニウム原子の割合は比較的低くなる。ゲルマニウムの拡散が抑制されることで、後続のプロセスにおいて、半導電性保護層210の上面のGeOが比較的低くなる。半導電性保護層210の上面のGeOがない又は比較的低いとき、界面準位密度(Dit)が低くなるので、半導電性保護層210及び半導体フィン112のチャネル部分における電子移動度を向上することができる。半導電性保護層210が約-196℃より低い温度で形成された場合、半導電性保護層210はアモルファスシリコンで形成され得る。いくつかの実施形態において、約100℃~約200℃でMBEプロセス処理を行うことで、Geの拡散を良好に抑制する。
半導電性保護層210は、シリコンのような半導体材料、例えば、単結晶シリコンを含む。いくつかの実施形態において、半導電性保護層210は純粋なシリコン層であってもよい。半導電性保護層210は、例えば、低温MBEプロセスによりゲルマニウム原子割合が約10%より低い、実質的に純粋なシリコン層であってもよい。例えば、ゲルマニウム濃度は、半導電性保護層210の下方に向かって減少する。半導電性保護層210を形成する他の方法として、化学気相成長(CVD)、原子層堆積(ALD)、又は他の適切なプロセスを含む。いくつかの実施形態において、半導電性保護層210は、厚さT1を有する。
半導電性保護層210の形成において、半導電性保護層210は実質的に非酸化である。即ち、半導電性保護層210の形成において、半導電性保護層210の上方に酸化物層が実質的に形成されない。あるいは、半導電性保護層210は、次に形成されるゲート誘電体層220と直接接触している(図9A~9C参照)。このような構成であれば、半導電性保護層210におけるゲルマニウム(その中にゲルマニウムが存在する場合)が酸化されてGeOを形成することはなく、得られる半導体デバイスのバイアス温度不安定性(BTI)を上昇させることができる。
図9A~9Cを参照すると、図9Bは、図9AのB-B線に沿って切り取った断面図であり、図9Cは、図9AのC-C線に沿って切り取った断面図である。ゲート誘電体層220は、ゲートトレンチ168内及び半導電性保護層210上方にコンフォーマルに形成される。ゲート誘電体層220は、SiOの誘電率よりも高い誘電率(κ)、すなわちκ>3.9を有する高誘電率誘電体層であってもよい。ゲート誘電体層220は、LaO、AlO、ZrO、TiO、HfO、TaO、GdO、YO、SrTiO(STO)、BaTiO(BTO)、BaZrO、HfZrO、ZrSiO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、GdSiO、YSiO、HfTaO、HfTiO、(Ba、Sr)TiO(BST)、Al、Si、酸窒化物(SiON)、又は他の適切な材料を含むことができる。いくつかの実施形態において、ゲート誘電体層220は単層である。他のいくつかの実施形態において、ゲート誘電体層220は、例えば、HfO層及びHfO層上方のAl層のような多層を含む。ゲート誘電体層220は、ALD、CVD、PVD、熱酸化、これらの組み合わせ、又は他の適切な技術のような適切な技術により堆積される。いくつかの実施形態において、ゲート誘電体層220が単層である場合、ゲート誘電体層220は、約1nm~約2nmの範囲内の厚さT2を有する。他のいくつかの実施形態において、ゲート誘電体層220が多層を含む場合、ゲート誘電体層220は、約1nm~約10nmの範囲内の厚さT2を有する。
ゲート誘電体層220の堆積後に、ゲート誘電体層220及び半導電性保護層210上にポストデポジションアニール(PDA)プロセスを行うことができる。ポストデポジションアニールは、ゲート誘電体層220の界面及びバルク特性を改善する。いくつかの実施形態において、ポストデポジションアニールプロセスは、約200℃~約1000℃の範囲で形成され、例えば、約600℃である。いくつかの実施形態において、ポストデポジションアニールプロセスは、空気又はN、He、Arのような反応性の低いガス又はO、Hのような反応性の高いガス又は前述のガスの混合物において行われる。
図10A~10Cを参照すると、図10Bは、図10AのB-B線に沿って切り取った断面図であり、図10Cは、図10AのC-C線に沿って切り取った断面図である。ゲート電極GEは、ゲート誘電体層220の上方に形成され、ゲートトレンチ168を充填する(図9A~9C参照)。いくつかの実施形態において、ゲート電極GEは、少なくとも1つの仕事関数金属層230(複数可)、充填層240、及び/又は金属ゲートスタックにおいて所望の他の適切な層を含む。仕事関数金属層230は、n型及び/又はp型仕事関数金属を含むことができる。例示的なn型仕事関数金属は、Ti、Ta、Ag、TiAl、TaAl、TaAlC、TiAlN、TaC、TiC、TaCN、TaSiN、Mn、Zr、他の適切なn型仕事関数材料、又はこれらの組み合わせを含む。例示的なp型仕事関数金属は、TiN、TaN、Ru、Mo、Al、WN、ZrSi、MoSi、TaSi、NiSi、WN、その他の適切なp型仕事関数材料、又はそれらの組み合わせを含む。仕事関数金属層230は、多層を有していてもよい。仕事関数金属層230(複数可)は、CVD、PVD、電気めっき、及び/又は他の適切なプロセスによって堆積されることができる。いくつかの実施形態において、金属ゲート電極GEにおける充填層240は、タングステン(W)、Mo、Ru、又は他の適切な導電性材料を含むことができる。充填層240は、ALD、PVD、CVD又は他の適切なプロセスにより堆積されることができる。
図11A~11Cを参照すると、図11Bは、図11AのB-B線に沿って切り取った断面図であり、図11Cは、図11AのC-C線に沿って切り取った断面図である。界面層250は、半導電性保護層210とゲート誘電体層220との間に形成される。これにより、界面層250、ゲート誘電体層220及びゲート電極GEは、共にゲート構造MGと呼ばれる。例えば、半導電性保護層210、ゲート誘電体層220及びゲート電極GE上にポストゲートフォーミングガスアニール(FGA)プロセスが行われる。いくつかの実施形態において、FGAプロセスは、約200℃~約500℃の範囲、例えば、約400℃で行われる。いくつかの実施形態において、FGAプロセスは、水素(H)と、N、He、及び/又はArのような不活性ガスとの混合ガスを処理して実施される。処理ガスのH濃度は、約0.1%~100%とであることができる。例えば、処理ガスは約15%のHガスと約85%のNガスとを含む。いくつかの実施形態において、界面層250は、約1オングストロームから約20オングストロームの範囲の厚さT3を有し、これにより低い界面トラップを提供するが、厚さの範囲内で適切な等価酸化膜厚(EOT)を提供することができる。
界面層250は、ゲート誘電体層220の近傍の半導電性保護層210の一部を酸化することにより形成される。このため、界面層250と半導電性保護層210は、同一の化学元素(複数可)、例えば、この場合はシリコンを含む。即ち、界面層250は、SiOを含む。いくつかの実施形態において、稀に又はいくつかのゲルマニウムが半導電性保護層210の上面(即ち、半導電性保護層210とゲート誘電体層220との間の界面)に拡散して、界面層250が少量のGeOをさらに含むようにすることができる。さらに、界面層250における酸素原子をゲート誘電体層220から拡散させることができるため、ゲート誘電体層220の酸素原子濃度は、ゲート電極GEから界面層250に向かう方向に減少する。界面層250の形成後、半導電性保護層210の厚さT1(図8参照)は、厚さT1′に減少される。いくつかの実施形態において、半導電性保護層210の厚さT1′は、界面層250の厚さT3よりも大きい。いくつかの実施形態において、T3/T1′の比は、約0.1~約10の範囲にある。
図12A~12Cを参照すると、図12Bは、図12AのB-B線に沿って切り取った断面図であり、図12Cは、図12AのC-C線に沿って切り取った断面図である。ILD195をパターン化してゲート構造MGの反対側にトレンチ197を形成した後、CSL190をパターン化してエピタキシャル構造180を露出させる。いくつかの実施形態において、複数のエッチングプロセスは、ILD195及びCESL190をパターニングするために実行される。エッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はこれらの組み合わせを含む。
コンタクト260は、トレンチ197に形成される。これにより、コンタクト260は、エピタキシャル構造180とそれぞれ接触している。いくつかの実施形態において、コンタクト260は、W、Co、Ru、Al、Cu、又は他の適切な材料のような金属から作られてもよい。コンタクト260の堆積後、化学的機械研磨(CMP)プロセスのような平坦化プロセスを行うことができる。これにより、コンタクト260の上面とILD195の上面とは実質的に同一平面上にある。いくつかの実施形態において、コンタクト260とエピタキシャル構造180との間に金属合金層(例えば、シリサイド)を形成することができる。さらに、コンタクト260を形成する前に、トレンチ197にバリア層を形成することができる。バリア層は、TiN、TaN、又はそれらの組み合わせからなることができる。
図12A~12Cにおいて、半導体フィン112は、ゲルマニウムを含む。半導電性保護層210は、半導体フィン112のチャネル部分(半導電性チャネル領域と称する)に直接接触している。いくつかの実施形態において、半導電性保護層210は、純粋なシリコン層又は実質的な純粋なシリコン層である。図12Bに示すように、半導電性保護層210は、それぞれ半導体フィン112の上方に位置し、互いに分離されている。図12Cにおいて、半導電性保護層210の側壁は、ゲートスペーサー170と直接接触しているため、エピタキシャル構造体180から離間している。即ち、半導電性保護層210及びゲートスペーサー170は共に半導体フィン112の上面に直接接触している。あるいは、ゲートスペーサー170の底面は、半導電性保護層210の上面よりも低い。さらに、半導電性保護層210は、一方のゲートスペーサー170の側面から他方のゲートスペーサー170の側面まで延在している。いくつかの実施形態において、半導電性保護層210は、約1.3125オングストローム~約26.265オングストロームの範囲の厚さT1′(図11C参照)を有する。即ち、半導電性保護層210は、1~約20のシリコン層の単一層を含む。半導電性保護層210の厚さT1′が約26.265オングストロームよりも大きい(又は約20以上のシリコン層の単一層である)場合、緩和が生じ、ミスフィット転位により半導電性保護層210に欠陥が形成される。
界面層250は、半導電性保護層210及びゲート誘電体層220の上にあり、直接接触している。界面層250は、半導電性保護層210の一部を酸化して形成されているため、界面層250と半導電性保護層210とは、同一の化学元素(複数可)(例えば、この場合シリコン及び/又はゲルマニウムである)を含み、半導電性保護層210と界面層250は、(図12Cに示すように)実質的に同一の幅を有する。界面層250の底面は、ゲートスペーサー170の底面よりも高い。界面層250の側壁は、ゲートスペーサー170と直接接触している。いくつかの実施形態において、界面層250の厚さT3(図11C参照)は、約1オングストローム~約20オングストロームの範囲内である。
界面層250における酸素は、ゲート誘電体層220から拡散することができる。これにより、ゲート誘電体層220の酸素濃度は、仕事関数金属層230から界面層250に向かう方向に低下する。対照的に、ゲート誘電体層220の一部は、アイソレーション構造150(図12B参照)の上方にあり、実質的に均一な酸素濃度を有する。
このような構成であれば、半導体デバイスは、良好なデバイス信頼性を有する。例えば、半導電性保護層は低温(例えば、約300℃未満)で形成されるため、半導体フィン112におけるゲルマニウム原子が半導電性保護層の上面に拡散しにくい。これにより、半導電性保護層の上面が平滑となり、半導電性保護層は優れた界面品質及び信頼性を有する。さらに、ゲルマニウム原子は半導電性保護層の上面に拡散しにくいため、界面層にはGeOが含まれていないか、又は稀にしか含まれておらず、半導体デバイスのバイアス温度不安定性(BTI)が改善される。
図13~図24Cは、本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。いくつかの実施形態において、図13~図24Cに示す半導体デバイスは、スタティックランダムアクセスメモリ(SRAM)、論理回路、受動素子、及び/又はp型電界効果トランジスタ(PFETs)、n型FETs(NFETs)、マルチゲートFETs、金属酸化物半導体電界効果トランジスタ(MOSFETs)、相補型金属酸化物半導体(CMOS)トランジスタ、バイポーラトランジスタ、高電圧トランジスタ、高周波トランジスタ、他のメモリセル、及びこれらの組み合わせのような能動素子を含む、集積回路(IC)又はその一部を処理する過程で製造される中間デバイスであってもよい。
図13を参照すると、基板310が提供される。いくつかの実施形態において、基板310は、ゲルマニウム(Ge)、シリコンゲルマニウム(Si1-xGe、0<x≦1)、ゲルマニウム合金(0<x≦1)、ヒ化ガリウム(GaAs)、又は他の適当な半導体材料を含む。いくつかの実施形態において、基板310は、埋め込み誘電体層のようなシリコン・オン・インシュレータ (SOI)構造を含むことができる。あるいは、基板310は、埋め込み酸化物(BOX)層などの埋め込み誘電体層を含むことができ、例えば、SIMOX (separation by implantation of oxygen)技術、ウェーハ接着、SEG、又は他の適切な方法によって形成される。様々な実施形態において、基板310は、様々な基板構造及び材料のいずれかを含むことができる。様々な実施形態において、基板310は、高電圧アニールGe(001)基板であってもよい。
積層構造320が結晶層を形成するように、エピタキシーにより基板310上に積層構造320が形成される。積層構造320は、交互に積層された第1半導体層322と第2半導体層324とを含む。第1半導体層322及び第2半導体層324は、異なる格子定数を有する材料からなり、Si、Ge、SiGe、GeSn、GaAs、InAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb又はInPの1つ又は複数の層を含んでもよい。いくつかの実施形態において、第1半導体層322及び第2半導体層324は、Si、Si化合物、SiGe、Ge又はGe化合物からなる。図14において、2層の第1半導体層322及び2層の第2半導体層324が配置されている。ただし、これらの層の数は1つに限定されず、第1半導体層と第2半導体層のそれぞれを1層又は3~10層で形成してもよい。積層する層の数を調整することにより、GAA FETデバイスの駆動電流を調整することができる。
いくつかの実施形態において、第1半導体層322は、0よりも大きいゲルマニウム原子の割合を有するSiGe層である。いくつかの実施形態において、第2半導体層324は、0より大きいゲルマニウム原子の割合を有するSiGe層である。いくつかの実施形態において、第2半導体層324のゲルマニウム原子の割合は、第1半導体層322のゲルマニウム原子の割合よりも高い。
次に、積層構造320上にマスク層340を形成する。マスク層340の製造プロセス及び/又は材料は、図2に示したマスク層130と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図14を参照すると、積層構造320(図13参照)は、フィン構造326及びトレンチTにパターン化される。フィン構造326は、トランジスタの活性領域(例えば、チャネル及びソース・ドレインフィーチャ)として機能することができる。フィン構造326の数は、これに限定されず、1つでもよいし、3つ以上でもよい。いくつかの実施形態において、パターニング操作におけるパターン忠実度を改善するために、フィン構造326の両側に1つ以上のダミーフィン構造が形成される。
トレンチTは、基板310に延びており、長さ方向が実質的に互いに平行である。トレンチTは、基板310にベース部分312を形成し、ベース部分312は基板310から突出しており、フィン構造326は基板310のベース部分312上方にそれぞれ形成されている。
図15を参照すると、シャロートレンチアイソレーション(STI)領域であってもよいアイソレーション構造350がトレンチTに形成される。該形成は、例えば、流動性化学気相成長(FCVD)を用いて、トレンチTに誘電体層(複数可)を充填することと、誘電体材料の上面と最頂部の第2半導体層324の上面とを面一とする化学機械研磨(CMP)を実行することと、を含むことができる。次いで、アイソレーション構造350を凹ませる。得られたアイソレーション構造350の上面は、第1半導体層322の底面と面一になっていてもよいし、第1半導体層322の底面より低くなっていてもよい。アイソレーション構造350は、例えば、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、SiCN、SiC又はこれらの組み合わせのような誘電体材料であってもよい。
図16を参照すると、フィン構造360及びアイソレーション構造350の上方に少なくとも1つのダミーゲート構造360が形成される。ダミーゲート構造360は、ダミーゲート誘電体層362と、ダミーゲート層364と、ダミーゲート層364上に形成されるマスク層366とを含む。その後、ゲートスペーサー370は、ダミーゲート構造360の側壁にそれぞれ形成される。ダミーゲート構造360及びゲートスペーサー370の製造プロセス及び/又は材料は、図4、5にそれぞれ示したダミーゲート構造160及びゲートスペーサー170と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図17を参照すると、フィン構造326の露出部分は、ソース・ドレイン(SSD)エッチングプロセスを用いて除去される。SSDエッチングプロセスは、様々な方法で行うことができる。いくつかの実施形態において、SSDエッチングプロセスは、プラズマソースと反応ガスと有するドライケミカルエッチングによって実行されてもよい。他のいくつかの実施形態において、SSDエッチングプロセスはウェットケミカルエッチングによって実行されてもよい。さらに、他のいくつかの実施形態において、SSDエッチングステップは、ドライケミカルエッチングとウェットケミカルエッチングとの組み合わせによって実行されてもよい。
その後、第2半導体層324が第1半導体層322の反対側の端面を横方向に延びるように、第1半導体層322は水平方向に凹んでいる(エッチングされている)。いくつかの実施形態において、第1半導体層322の端面は、ゲートスペーサー370の側面と実質的に垂直に整列していてもよい。
図18を参照すると、第1半導体層322(図17参照)が水平方向に凹んだ後、図18に示すように、内側スペーサー375は、第1半導体層322の凹面上に形成される。内側スペーサー375を形成することは、内側スペーサー材料層(例えば、窒化ケイ素)を堆積し、次いで、異方性エッチングプロセスにより内側スペーサー材料層をエッチングすることで、基板310から内側スペーサー材料層を除去することを含む。いくつかの実施形態において、内側スペーサー375は、窒化ケイ素などの絶縁材料を含む。
図19を参照すると、エピタキシャル構造380はソース・ドレイン領域と呼ばれ、露出したベース部分312からエピタキシャル成長している。エピタキシャル構造38の製造プロセス及び/又は材料は、図6に示したエピタキシャル構造180と類似又は同様であるため、この点に関する説明は、以下では繰り返さない。
エピタキシャル構造380上にコンタクトエッチストップ層(CESL)390をコンフォーマルに形成し、次いでCESL390上に層間絶縁層(ILD)395を形成する。CMPプロセスの後、マスク層366(図18参照)が除去され、ダミーゲート層364が露出する。CESL390及びILD395の製造プロセス及び/又は材料は、図7に示した延期CESL190及びILD195と類似又は同様であるため、この点に関する説明は、以下では繰り返さない。
図20A~20Cを参照すると、図20Bは、図20AのB-B線に沿って切り取った断面図であり、図20Cは、図20AのC-C線に沿って切り取った断面図である。ついで、ダミーゲート層364及びダミーゲート誘電体層362(図19参照)は除去される。さらに、第1半導体層322(図17参照)も除去され、ゲートスペーサー370と(又は内側スペーサー375と)露出している第2半導体層324との間にゲートトレンチ368を形成する。ILD395は、ダミーゲート層364、ダミーゲート誘電体層362、及び第1半導体層322の除去の間、エピタキシャル構造380を保護する。ダミーゲート層364、ダミーゲート誘電体層362、及び第1半導体層322は、プラズマドライエッチング及び/又はウェットエッチングを用いて除去することができる。
半導電性保護層(例えば、シリコン含有保護層)410は、第2半導体層324を囲み、基板310のベース部分312の上方に形成される。いくつかの実施形態において、半導電性保護層410は、分子線エピタキシー(MBE)のような適切なプロセスにより形成される。いくつかの実施形態において、半導電性保護層410は、約300℃より低い温度、例えば約-196℃~約300℃又は室温~約300℃で形成される。低温MBEプロセス(例えば、約300℃未満)は、第2半導体層324又はベース部分312におけるゲルマニウム原子が半導電性保護層410の外表面拡散するのを抑制する。このため、半導電性保護層410中におけるゲルマニウム原子の割合は比較的低くなる。ゲルマニウムの拡散が抑制されることで、半導電性保護層410の外表面が平滑となり、半導電性保護層410及び第2半導体層324における電子移動度を向上することができる。半導電性保護層410がが約-196℃より低い温度で形成された場合、半導電性保護層410はアモルファスシリコンで形成され得る。
半導電性保護層410は、シリコンのような半導体材料を含む。いくつかの実施形態において、半導電性保護層410は純粋なシリコン層であってもよい。半導電性保護層410は、例えば、低温MBEプロセスによりゲルマニウム原子割合が約10%より低い、実質的に純粋なシリコン層であってもよい。例えば、ゲルマニウム濃度は、半導電性保護層410の外表面から内表面に向かう方向に減少する。半導電性保護層410を形成する他の方法を形成する他の方法として、化学気相成長(CVD)、原子層堆積(ALD)、又は他の適切なプロセスを含む。いくつかの実施形態において、半導電性保護層410は、厚さT1を有する。
半導電性保護層410の形成において、半導電性保護層410は実質的に非酸化である。即ち、半導電性保護層410の形成において、半導電性保護層410の上方に酸化物層が実質的に形成されない。あるいは、半導電性保護層410は、次に形成されるゲート誘電体層420と直接接触している(図21A~21C参照)。このような構成であれば、半導電性保護層410におけるゲルマニウム(その中にゲルマニウムが存在する場合)が酸化されてGeOを形成することはなく、得られる半導体デバイスのバイアス温度不安定性(BTI)を上昇させることができる。
図21A~21Cを参照すると、図21Bは、図21AのB-B線に沿って切り取った断面図であり、図21Cは、図21AのC-C線に沿って切り取った断面図である。ゲート誘電体層420は、ゲートトレンチ368にコンフォーマルに形成され、半導電性保護層410を囲む。ゲート誘電体層420は、SiOの誘電率よりも高い誘電率(κ)、すなわちκ>3.9を有する高誘電率誘電体層であってもよい。ゲート誘電体層420は、LaO、AlO、ZrO、TiO、HfO、TaO、GdO、YO、SrTiO(STO)、BaTiO(BTO)、BaZrO、HfZrO、ZrSiO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、GdSiO、YSiO、HfTaO、HfTiO、(Ba、Sr)TiO(BST)、Al、Si、酸窒化物(SiON)、又は他の適切な材料を含むことができる。いくつかの実施形態において、ゲート誘電体層420は単層である。他のいくつかの実施形態において、ゲート誘電体層420は、例えば、HfO層及びHfO層上方のAl層のような多層を含む。層ゲート誘電体層420は、ALD、CVD、PVD、熱酸化、これらの組み合わせ、又は他の適切な技術のような適切な技術により堆積される。いくつかの実施形態において、ゲート誘電体層420が単層である場合、ゲート誘電体層420は、約1nmから約2nmの範囲内の厚さT2を有する。他のいくつかの実施形態において、ゲート誘電体層220が多層を含む場合、ゲート誘電体層420は、約1nmから約10nmの範囲内の厚さT2を有する。
ゲート誘電体層420の堆積後に、ゲート誘電体層420及び半導電性保護層410上にポストデポジションアニール(PDA)処理を行うことができる。ポストデポジションアニールは、ゲート誘電体層420の界面及びバルク特性を改善する。いくつかの実施形態において、ポストデポジションアニールプロセスは、約200℃から約1000℃の範囲で形成され、例えば、約600℃である。いくつかの実施形態において、ポストデポジションアニールプロセスは、空気又はN、He、Arのような反応性の低いガス又はO、Hのような反応性の高いガス又は前述のガスの混合物において行われる。
図22A~22Cを参照すると、図22Bは、図22AのB-B線に沿って切り取った断面図であり、図22Cは、図22AのC-C線に沿って切り取った断面図である。ゲート電極GEは、ゲート誘電体層420の上方に形成され、ゲートトレンチ368を充填する(図21A~21C参照)。いくつかの実施形態において、ゲート電極GEは、少なくとも1つの、仕事関数金属層430(複数可)、充填層440、及び/又は金属ゲートスタックにおいて所望の他の適切な層を含む。仕事関数金属層430はn型及び/又はp型仕事関数金属を含むことができる。例示的なn型仕事関数金属は、Ti、Ta、Ag、TiAl、TaAl、TaAlC、TiAlN、TaC、TiC、TaCN、TaSiN、Mn、Zr、他の適切なn型仕事関数材料、又はこれらの組み合わせを含む。例示的なp型仕事関数金属は、TiN、TaN、Ru、Mo、Al、WN、ZrSi、MoSi、TaSi、NiSi、WN、その他の適切なp型仕事関数材料、又はそれらの組み合わせを含む。仕事関数金属層430は、多層を有していてもよい。仕事関数金属層430(複数可)は、CVD、PVD、電気めっき、及び/又は他の適切なプロセスによって堆積されることができる。いくつかの実施形態において、金属ゲート電極GEにおける充填層440は、タングステン(W)、Mo、Ru、又は他の適切な導電性材料を含むことができる。充填層440は、ALD、PVD、CVD又は他の適切なプロセスにより堆積されることができる。
図23A~23Cを参照すると、図23Bは、図23AのB-B線に沿って切り取った断面図であり、図23Cは、図23AのC-C線に沿って切り取った断面図である。界面層450は、半導電性保護層410とゲート誘電体層420との間に形成される。これにより、界面層450、ゲート誘電体層4200及びゲート電極GEは、共にゲート構造MGと呼ばれる。例えば、半導電性保護層410、ゲート誘電体層420及びゲート電極GE上にポストゲートフォーミングガスアニール(FGA)プロセスが行われる。FGAプロセスは、約200℃~約500℃の範囲、例えば、約400℃で行われる。いくつかの実施形態において、FGAプロセスは、水素(H)と、N、He、及び/又はArのような不活性ガスとの混合ガスを処理して実施される。処理ガスのH濃度は、約0.1%~100%とであることができる。例えば、処理ガスは約15%のHガスと約85%のNガスとを含む。いくつかの実施形態において、界面層450は、約1オングストロームから約20オングストロームの範囲の厚さT3を有し、これにより低い界面トラップを提供するが、厚さの範囲内で適切なEOTを提供することができる。
界面層450は、ゲート誘電体層420の近傍の半導電性保護層410の一部を酸化することにより形成される。このため、界面層450と半導電性保護層410とは、同一の化学元素、例えば、この場合はシリコンを含む。即ち、界面層450はSiOを含む。いくつかの実施形態において、稀に又はいくつかのゲルマニウムが半導電性保護層410の上面(即ち、半導電性保護層410とゲート誘電体層420との間の界面)に拡散して、界面層450が少量のGeOをさらに含むようにすることができる。さらに、界面層450における酸素原子をゲート誘電体層420から拡散させることができるらめ、ゲート誘電体層420の酸素原子濃度は、ゲート電極GEから界面層450に向かう方向に減少する。界面層450の形成後、半導電性保護層410の厚さT1(図20C参照)は、厚さT1’に減少される。いくつかの実施形態において、半導電性保護層410の厚さT1’は、界面層450の厚さT3よりも大きい。
図24A~24Cを参照すると、図24Bは、図24AのB-B線に沿って切り取った断面図であり、図24Cは、図24AのC-C線に沿って切り取った断面図である。ILD395をパターン化してゲート構造MGの反対側にトレンチ397を形成した後、CESL390をパターン化してエピタキシャル構造380を露出させる。いくつかの実施形態において、複数のエッチングプロセスは、ILD395及びCESL390をパターンするために実行される。エッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はこれらの組み合わせを含む。
コンタクト460は、トレンチ397に形成される。これにより、コンタクト460は、エピタキシャル構造380とそれぞれ接触している。コンタクト460の製造プロセス及び/又は材料は、図12A~12Cに示したコンタクト260と類似又は同様であるため、この点に関する説明は、以下では繰り返さない。
図24A~24Cにおいて、第2半導体層324及び/又はベース部分312は、ゲルマニウムを含む。半導電性保護層410は、第2半導体層324及びベース部分312に直接接触している。いくつかの実施形態において、半導電性保護層410は、純粋なシリコン層又は実質的な純粋なシリコン層である。図24Bに示すように、半導電性保護層410は、それぞれ第2半導体層324を囲み、互いに分離されている。図24Cにおいて、半導電性保護層410の側壁は、ゲートスペーサー370又は内側スペーサー375と直接接触し、エピタキシャル構造380から離間している。また、半導電性保護層410は、一方のゲートスペーサー370(又は内側スペーサー375)の側面から他方のゲートスペーサー370(又は内側スペーサー375)の側面まで延在している。いくつかの実施形態において、半導電性保護層410は、約1.3125オングストロームから約26.265オングストロームの範囲の厚さT1’を有する。即ち、半導電性保護層410は、1~約20のシリコン層の単一層を含む。半導電性保護層410の厚さT1’が約26.265オングストロームよりも大きい(又は約20以上のシリコン層の単一層である)場合、緩和が生じ、ミスフィット転位により半導電性保護層410に欠陥が形成される。
界面層450は、半導電性保護層410及びゲート誘電体層420の上にあり、直接接触している。界面層450は、半導電性保護層410の一部を酸化して形成されているため、界面層450と半導電性保護層410とは、同一の化学元素(例えば、この場合シリコン及び/又はゲルマニウムである)を含み、半導電性保護層410と界面層450は、(図24Cに示すように)実質的に同一の幅を有する。界面層450の側壁は、ゲートスペーサー470又は内側スペーサー375と直接接触している。いくつかの実施形態において、界面層450の厚さT3(図23C参照)は、約1オングストロームから約20オングストロームの範囲内である。
界面層450における酸素は、ゲート誘電体層420から拡散することができる。これにより、ゲート誘電体層420の酸素濃度は仕事関数金属層430から界面層450に向かう方向に低下する。対照的に、ゲート誘電体層420の一部は、アイソレーション構造350(図24Bを参照)の上方にあり、実質的に均一な酸素濃度を有する。
図25~図34は、本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。いくつかの実施形態において、図25~図234に示す半導体デバイスは、スタティックランダムアクセスメモリ(SRAM)、論理回路、受動素子、及び/又はp型電界効果トランジスタ(PFETs)、n型FETs(NFETs)、マルチゲートFETs、金属酸化物半導体電界効果トランジスタ(MOSFETs)、相補型金属酸化物半導体(CMOS)トランジスタ、バイポーラトランジスタ、高電圧トランジスタ、高周波トランジスタ、他のメモリセル、及びこれらの組み合わせのような能動素子を含む、集積回路(IC)又はその一部を処理する過程で製造される中間デバイスであってもよい。
図25を参照すると、基板510が提供される。パッド層520は、基板510上に形成される。次に、マスク層530は、パッド層520上に形成される。次に、ダミーマスク層540は、マスク層530上上に形成される。基板510、パッド層520、マスク層530及びダミーマスク層540の製造プロセス及び/又は材料は、図1にそれぞれ示した基板110、パッド層120、マスク層130及びダミーマスク層140と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図26を参照すると、ダミーマスク層140をパターン化した後、パターン化されたダミーマスク層540をマスクとして用いて、マスク層530、パッド層520及び基板510をパターニングすることで、基板510に複数のトレンチTを形成する。トレンチTは、それらの間に活性領域512を規定する。
図27を参照すると、シャロートレンチアイソレーション(STI)領域であってもよいアイソレーション構造550がトレンチTに形成される。該形成は、例えば、例えば、流動性化学気相成長(FCVD)を用いて、トレンチTに誘電体層(複数可)を充填することと、誘電体材料を凹ませ、ダミーマスク層540及びマスク層530を除去するために反応性イオンエッチングプロセスのようなエッチングプロセスを実行すし、得られるアイソレーション構造550の上面がパッド層520の上面と実質的に水平となるようにすることと、を含む。アイソレーション構造550は、例えば、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、SiCN、SiC又はこれらの組み合わせのような誘電体材料であってもよい。
図28を参照すると、活性領域512の上方に少なくとも1つのダミーゲート層560が形成される。パッド層520は、ダミーゲート層560をエッチングマスクとしてパターン化する。次に、ゲートスペーサー570は、ダミーゲート層560の側壁にそれぞれを形成される。ダミーゲート層560及びゲートスペーサー570の製造プロセス及び/又は材料は、図4、5にそれぞれ示したダミーゲート層164及びゲートスペーサー170と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図29を参照すると、注入プロセスは、ソース・ドレイン領域580を形成するために、基板510に不純物を導入し、ダミーゲート層560及びゲートスペーサー570は、基板510の他の領域への不純物の注入を実質的に防止するためのマスクとして用いられることができる。不純物は、n型不純物であってもよく、p型不純物であってもよい。n型不純物はリン、ヒ素などであってもよく、p型不純物はホウ素、BFであってもよい。他のいくつかの実施形態において、ソース・ドレイン領域580は、エピタキシャル構造であってもよく、その製造方法及び/又は材料は、図6に示すエピタキシャル構造180と類似又は同様であってもよい。
コンタクトエッチストップ層(CESL)590は、ソース・ドレイン領域580上にコンフォーマルに形成され、その後、層間絶縁層(ILD)595は、CESL590上に形成される。CESL590及びILD595の製造プロセス及び/又は材料は、図7に示したCESL190及びILD195と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図30を参照すると、その後、リプレースメントゲート(RPG)プロセススキームが用いられる。ダミーゲート層364及びパッド層520は、金属ゲート構造MGに置き換えられる(図33参照)。具体的には、ダミーゲート層164及びダミーゲート誘電体層162(図29参照)を除去することにより、ゲートスペーサー570間にゲートトレンチ568を形成し、基板510のチャネル部分(半導電性チャネル領域と称する)を露出する。
半導電性保護層(例えば、シリコン含有保護層)610は、基板510のチャネル部の上方に形成される。半導電性保護層610の製造プロセス及び/又は材料は、図8A~8Cに示した半導電性保護層210と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図31を参照すると、ゲート誘電体層620は、ゲートトレンチ568内及び半導電性保護層610上方にコンフォーマルに形成される。ゲート誘電体層620の製造プロセス及び/又は材料は、図9A~9Cに示したゲート誘電体層220と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図32を参照すると、ゲート電極GEは、ゲート誘電体層620の上方に形成され、ゲートトレンチ568(図31参照)を充填する。いくつかの実施形態において、ゲート電極GEは、少なくとも1つの、仕事関数金属層630(複数可)、充填層640、及び/又は金属ゲートスタックにおいて所望の他の適切な層を含む。ゲート電極GEの製造プロセス及び/又は材料は、図10A~10Cに示したゲート電極GEと類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
ゲート誘電体層620の堆積後に、ゲート誘電体層620及び半導電性保護層610上にポストデポジションアニール(PDA)処理を行うことができる。ポストデポジションアニールプロセスの製造プロセスは、図9A~9Cに示したポストデポジションアニールプロセスと類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図33を参照すると、界面層650は、半導電性保護層610とゲート誘電体層620との間に形成される。これにより、界面層650、ゲート誘電体層620及びゲート電極GEは、共にゲート構造MGと呼ばれる。界面層650の製造プロセス及び/又は材料は、図11A~11Cに示した界面層250と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図34を参照すると、ILD595をパターン化してゲート構造600の反対側にトレンチ597を形成した後、CSL590をパターン化してソース・ドレイン領域580を露出させる。コンタクト660は、トレンチ597に形成される。これにより、コンタクト660はソース・ドレイン領域680とそれぞれ接する。コンタクト660製造プロセス及び/又は材料は、図12A~12Cに示したコンタクト260と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図35~図40は、本開示のいくつかの実施形態による様々な段階での半導体デバイスの製造方法を示す図である。いくつかの実施形態において、図35~図40に示す半導体デバイスは、スタティックランダムアクセスメモリ(SRAM)、論理回路、受動素子、及び/又はp型電界効果トランジスタ(PFETs)、n型FETs(NFETs)、マルチゲートFETs、金属酸化物半導体電界効果トランジスタ(MOSFETs)、相補型金属酸化物半導体(CMOS)トランジスタ、バイポーラトランジスタ、高電圧トランジスタ、高周波トランジスタ、他のメモリセル、及びこれらの組み合わせのような能動素子を含む、集積回路(IC)又はその一部を処理する過程で製造される中間デバイスであってもよい。
図35を参照すると、基板710が提供される。複数のトレンチTが、それらの間に活性領域712を規定した基板710に形成される。シャロートレンチアイソレーション(STI)領域であってもよいアイソレーション構造750がトレンチTに形成される。基板710、トレンチT、及びアイソレーション構造750の製造プロセス及び/又は材料は、図1、3にそれぞれ示した基板110、トレンチT、及びアイソレーション構造150と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図36を参照すると、半導電性保護層810は、基板710の活性領域712の上方に形成される。半導電性保護層810の製造プロセス及び/又は材料は、図8A~8Cに示した半導電性保護層210と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
ゲート誘電体層820は、半導電性保護層810の上方にコンフォーマルに形成される。ゲート誘電体層820の製造プロセス及び/又は材料は、図9A~9Cに示したゲート誘電体層220と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
ゲート誘電体層820の堆積後に、ゲート誘電体層820及び半導電性保護層810上にポストデポジションアニー処理を行うことができる。ポストデポジションアニールプロセスの製造プロセスは、図9A~9Cに示したポストデポジションアニールプロセスと類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
少なくとも1つの仕事関数金属層830(複数可)がゲート誘電体層820の上方に形成される。仕事関数金属層830の製造プロセス及び/又は材料は、図10A~10Cに示した仕事関数金属層230と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
ハードマスク層740は、仕事関数金属層830の上方に形成される。ハードマスク層740の製造プロセス及び/又は材料は、図1に示したダミーマスク層140と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図37を参照すると、ハードマスク層740(図36参照)をパターン化した後、ハードマスク層740をエッチングマスクとして、仕事関数金属層830、ゲート誘電体層820及び半導電性保護層810をパターン化する。ついで、パターン化ハードマスク層740を除去(又は剥離)する。その後、パターン化仕事関数金属層830、パターン化ゲート誘電体層820及びパターン化半導電性保護層810層の側壁にゲートスペーサー770を形成する。ゲートスペーサー770の製造プロセス及び/又は材料は、図5に示したゲートスペーサー170と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図38を参照すると、注入プロセスは、ソース・ドレイン領域780を形成するために、基板710に不純物を導入する。注入プロセスにおいて、仕事関数金属層830をドーピングしてもよい。即ち、ソース・ドレイン領域780及び仕事関数金属層830は、同一のドーパントを含んでもよい。ソース・ドレイン領域780の製造プロセス及び/又は材料は、図29に示したソース・ドレイン領域580と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
コンタクトエッチストップ層(CASL)790は、ソース・ドレイン領域780上にコンフォーマルに形成され、その後、層間絶縁層(ILD)795は、CESL790上に形成される。ソース・ドレイン領域780、CESL790及びILD795の製造プロセス及び/又は材料は、図7に示したソース・ドレイン領域580、CESL190及びILD195と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図39を参照すると、界面層850は、半導電性保護層810とゲート誘電体層820との間に形成される。界面層850の製造プロセス及び/又は材料は、図11A~11Cに示した界面層250と類似又は同様である。したがって、この点に関する説明は、以下では繰り返さない。
図40を参照すると、金属層840は、仕事関数金属層830の上方に形成される。例えば、図39の構造の上方にマスク層(図示せず)を形成し、マスク層に開口部を形成して仕事関数金属層830を露出させる。金属材料は開口部に堆積され、CMPプロセスを実行して開口部外の金属材料の一部を除去する。次に、金属層840が仕事関数金属層830の上方に形成されるように、マスク層を除去する。いくつかの実施形態において、金属層840は、タングステン(W)又は他の適切な導電性材料を含むことができる。金属層840は、ALD、PVD、CVD又は他の適切なプロセスによって堆積されることができる。これにより、界面層850、ゲート誘電体層820、仕事関数金属層830及び金属層840は、共にゲート構造MGと称される。
図41は、ゲルマニウム基板上に成膜されたシリコン保護層のX線回折スペクトル(XRD)を2回の入射角の関数として図示する。明確にするために、Si(004)とGe(004)の位置を図41に示す。ライン12は成膜したシリコン保護層としての信号であり、ライン14はゲルマニウム基板の信号であり、ライン16はライン12のフィッティング曲線である。ブランケットGe基板(ライン14)と比較して、シリコン保護層は顕著な特徴があり、フリンジは規則的な高品質Si層を示している。シリコン保護層の厚さは、ライン12のフリンジ信号をフィットする約1nmである。
図42は、異なる周波数でのポストゲートフォーミングガスアニール(FGA)プロセスを有する半導体デバイスのC-V特性を図示する。曲線は、異なる周波数でのC-V特性を表す。例えば、ライン22は1MHzでのC-V特性を表し、ライン34は500HzでのC-V特性を表し、ライン22とライン24との間の曲線は500Hz~1MHzの間の周波数でのC-V特性を表す。図42では、周波数分散は約2.0%(0.7%/10年)である。
図43は、ポストゲートフォーミングガスアニール(FGA)プロセスを有する及び有しない半導体デバイスの界面準位密度(Dit)を図示する。図43に示すように、FGAプロセス後、シリコン保護層と界面層との間の界面準位密度が低下している。
図44は、ポストゲートフォーミングガスアニール(FGA)プロセス及び/又はポストデポジションアニール(PDA)プロセスを有する/有しない半導体デバイスの有効な酸化物トラップ密度(ANeff)を図示する。図44に示すように。FGAプロセス後、有効な酸化物トラップ密度は著しく減少している。ターゲットは、ANeff約3E10cm-2及びEox約3.5MV/cm。
いくつかの実施形態によれば、半導体デバイスは、半導電性チャネル領域と、半導電性保護層と、ゲート構造と、1対のゲートスペーサーとを含む。半導電性保護層はチャネルの上に設けられ、チャネルに接する。ゲート構造は、半導電性保護層の上方に位置し、ゲート誘電体層及びゲート電極を含む。ゲート誘電体層は、半導電性保護層の上方に位置する。ゲート電極は、ゲート誘電体層の上方に位置する。ゲートスペーサーは、ゲート構造の反対側に位置する。半導電性保護層は、1対のゲートスペーサーのうちの第1げートスペーサーの内側壁から1対のゲートスペーサーのうちの第2ゲートスペーサーの内側壁に延在している。
いくつかの実施形態によれば、半導体デバイスの製造方法は、基板上に半導電性チャネル領域を形成することを含む。半導電性チャネル領域上にダミーゲートを形成する。ゲートスペーサーをダミーゲートの反対側に形成する。ダミーゲートを除去して、ゲートスペーサー間にゲートトレンチを形成することにより、半導体フィンをゲートトレンチ内に露出させる。ゲートトレンチ内において、露出した半導電性チャネル領域の上に半導電性保護層を形成する。ゲートトレンチ内において、半導電性保護層の上方にゲート構造を形成する。
いくつかの実施形態によれば、半導体デバイスの製造方法は、フィン構造を基板の上に形成することを含む。フィン構造は、交互に積層された第1半導体層と第2半導体層とを含む。フィン構造の上にダミーゲートを形成する。ダミーゲートを横方向から囲むように層間絶縁層を形成する。ダミーゲートと第1半導体層を除去して、層間絶縁層内にゲートトレンチを形成する。ゲートトレンチを充填するようにゲート構造を形成する。ゲートトレンチを充填した後、ゲート構造と第2半導体層との間に界面層を形成する。
上記内容はいくつかの実施形態の特徴を概説することにより、当業者は本開示の様々な態様をよりよく理解することができる。当業者であれば理解されるように、それらは本願を他のプロセス及び構造を設計するか又は修正する基礎として本明細書に紹介された実施例と同じ目的を行うか及び/又は本明細書に紹介された実施形態と同じ利点を達成することができる。当業者はさらにこれらの等価構造が本開示の精神及び範囲から逸脱せず、かつそれらが本開示の精神及び範囲から逸脱することなく本明細書において様々な変更、代替及び変更を行うことができることを理解すべきである。

Claims (20)

  1. 半導電性チャネル領域と、
    前記半導電性チャネル領域の上に設けられ、前記半導電性チャネル領域に接する半導電性保護層と、
    前記半導電性保護層の上方に設けられたゲート構造であって、前記半導電性保護層の上方に設けられたゲート誘電体層と、前記ゲート誘電体層の上方に設けられたゲート電極と、を備えるゲート構造と、
    前記ゲート構造の反対側に設けられた1対のゲートスペーサーであって、前記半導電性保護層が前記1対のゲートスペーサーのうちの第1ゲートスペーサーの内側壁から前記1対のゲートスペーサーのうちの第2ゲートスペーサーの内側壁まで延在している1対のゲートスペーサーと、を備える、
    半導体デバイス。
  2. 前記半導電性チャネル領域の反対側にソース・ドレインエピタキシャル構造を更に備える、
    請求項1に記載の半導体デバイス。
  3. 前記半導電性保護層は、
    前記1対のゲートスペーサーによって、前記ソース・ドレインエピタキシャル構造から分離されている、
    請求項2に記載の半導体デバイス。
  4. 前記ゲート構造のゲート誘電体層と前記半導電性保護層とに接する界面層を更に備える、
    請求項1に記載の半導体デバイス。
  5. 前記半導電性保護層の厚さは、前記界面層の厚さよりも大きい、
    請求項4に記載の半導体デバイス。
  6. 前記半導電性保護層と前記界面層とは、同し化学元素を含む、
    請求項4に記載の半導体デバイス。
  7. 前記ゲート誘電体層の酸素濃度が、前記ゲート電極から前記界面層に向かって減少する、
    請求項4に記載の半導体デバイス。
  8. 前記界面層は、前記半導電性チャネル領域から間隔が空いている、
    請求項4に記載の半導体デバイス。
  9. 前記半導電性チャネル領域の幅は、前記界面層の幅よりも大きい、
    請求項4に記載の半導体デバイス。
  10. 前記半導電性チャネル領域は、ゲルマニウムを含む、
    請求項1に記載の半導体デバイス。
  11. 基板上に半導電性チャネル領域を形成することと、
    前記半導電性チャネル領域上にダミーゲートを形成することと、
    前記ダミーゲートの反対側にゲートスペーサーを形成することと、
    前記ダミーゲートを除去して前記ゲートスペーサー間にゲートトレンチを形成することにより、前記半導電性チャネル領域を前記ゲートトレンチ内に露出させることと、
    前記ゲートトレンチ内において、露出した前記半導電性チャネル領域の上に半導電性保護層を形成することと、
    前記ゲートトレンチ内において、前記半導電性保護層の上方にゲート構造を形成することと、を含む、
    半導体デバイスの製造方法。
  12. 前記半導電性保護層を、約300度より低い温度で形成する、
    請求項11に記載の半導体デバイスの製造方法。
  13. 前記ゲート構造を形成することは、
    前記半導電性保護層の上方にゲート誘電体層を形成し、ここで、前記ゲート誘電体層が前記半導電性保護層に接することと、
    前記ゲート誘電体層の上方にゲート電極を形成することと、を含む、
    請求項11に記載の半導体デバイスの製造方法。
  14. 前記ゲート電極を形成する前に、前記ゲート誘電体層にポストデポジションアニール(PDA)プロセスを実行することを更に備える、
    請求項13に記載の半導体デバイスの製造方法。
  15. 前記半導電性保護層を形成することは、前記半導電性保護層が前記半導電性チャネル領域を囲むようにする、
    請求項11に記載の半導体デバイスの製造方法。
  16. 前記半導電性保護層は、シリコン層である、
    請求項11に記載の半導体デバイスの製造方法。
  17. 前記半導電性チャネル領域は、ゲルマニウムを含む、
    請求項11に記載の半導体デバイスの製造方法。
  18. 基板の上にフィン構造を形成し、ここで、前記フィン構造が、交互に積層された第1半導体層と第2半導体層とを含むことと、
    前記フィン構造の上にダミーゲートを形成することと、
    前記ダミーゲートを横方向から囲むように層間絶縁層を形成することと、
    前記ダミーゲートと前記第1半導体層を除去して、前記層間絶縁層内にゲートトレンチを形成することと、
    前記ゲートトレンチを充填するようにゲート構造を形成することと、
    前記ゲートトレンチを充填した後、前記ゲート構造と前記第2半導体層との間に界面層を形成することと、を備える、
    半導体デバイスの製造方法。
  19. 前記界面層は、酸化物層である、
    請求項18に記載の半導体デバイスの製造方法。
  20. 前記界面層を形成することは、前記ゲート構造のゲート誘電体層の酸素濃度が、前記ゲート電極から前記界面層へ向かって減少するようにする、
    請求項18に記載の半導体デバイスの製造方法。
JP2021125568A 2020-07-31 2021-07-30 半導体デバイス及びその形成方法 Pending JP2022027707A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/945,199 US11245023B1 (en) 2020-07-31 2020-07-31 Semiconductor device and manufacturing method thereof
US16/945,199 2020-07-31

Publications (1)

Publication Number Publication Date
JP2022027707A true JP2022027707A (ja) 2022-02-14

Family

ID=77155666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021125568A Pending JP2022027707A (ja) 2020-07-31 2021-07-30 半導体デバイス及びその形成方法

Country Status (5)

Country Link
US (3) US11245023B1 (ja)
EP (1) EP3945594A1 (ja)
JP (1) JP2022027707A (ja)
CN (1) CN113471199A (ja)
TW (1) TW202207360A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11245023B1 (en) * 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895384B2 (en) * 2011-11-10 2014-11-25 International Business Machines Corporation Gate structures and methods of manufacture
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9263586B2 (en) * 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10381479B2 (en) * 2017-07-28 2019-08-13 International Business Machines Corporation Interface charge reduction for SiGe surface
US10867862B2 (en) * 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
US11245023B1 (en) * 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US11749738B2 (en) 2023-09-05
US20240021698A1 (en) 2024-01-18
US20220037505A1 (en) 2022-02-03
TW202207360A (zh) 2022-02-16
EP3945594A1 (en) 2022-02-02
US20220157965A1 (en) 2022-05-19
US11245023B1 (en) 2022-02-08
CN113471199A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
US11217450B2 (en) Device with pure silicon oxide layer on silicon-germanium layer
US11133306B2 (en) Semiconductor device including fin structures and manufacturing method thereof
US10192988B2 (en) Flat STI surface for gate oxide uniformity in Fin FET devices
KR102272121B1 (ko) 확산을 차단하기 위한 실리콘 혼합층
US11855207B2 (en) FinFET structure and method with reduced fin buckling
US20220181463A1 (en) Transistors with Reduced Defect and Methods of Forming Same
US11757023B2 (en) Semiconductor device and method of manufacturing a semiconductor device
US20240021698A1 (en) Semiconductor device and manufacturing method thereof
US20230369331A1 (en) Semiconductor device having doped work function metal layer
US20220359703A1 (en) Composite Work Function Layer Formation Using Same Work Function Material
KR102549844B1 (ko) 반도체 디바이스 및 방법
US20230011006A1 (en) Semiconductor device and manufacturing method thereof
US20220367623A1 (en) Semiconductor device structure and method for forming the same
US20230290859A1 (en) Semiconductor device structure and method for forming the same