JP2022002337A - Substrate processing method and plasma processing apparatus - Google Patents

Substrate processing method and plasma processing apparatus Download PDF

Info

Publication number
JP2022002337A
JP2022002337A JP2021163469A JP2021163469A JP2022002337A JP 2022002337 A JP2022002337 A JP 2022002337A JP 2021163469 A JP2021163469 A JP 2021163469A JP 2021163469 A JP2021163469 A JP 2021163469A JP 2022002337 A JP2022002337 A JP 2022002337A
Authority
JP
Japan
Prior art keywords
gas
substrate
film
silicon
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021163469A
Other languages
Japanese (ja)
Other versions
JP2022002337A5 (en
Inventor
隆太郎 須田
Ryutaro Suda
幕樹 戸村
Maju Tomura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/092,376 external-priority patent/US11342194B2/en
Priority claimed from JP2021046015A external-priority patent/JP6956288B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2022002337A publication Critical patent/JP2022002337A/en
Publication of JP2022002337A5 publication Critical patent/JP2022002337A5/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

To provide a technique to improve the selection ratio of etching of a film containing silicon to etching of a mask in plasma etching.SOLUTION: A substrate processing method according to an illustrative embodiment includes a step of providing a substrate into a chamber of a plasma processing apparatus. The substrate has a silicon-containing film and a mask provided on the silicon-containing film. The substrate processing method further includes a step of controlling the temperature of a substrate support on which the substrate is mounted to 0°C or less. The substrate processing method further includes a step of etching the silicon-containing film by plasma generated from a first processing gas including a hydrofluoric gas and at least one carbon-containing gas selected from the group consisting of a fluorocarbon gas and a hydrofluorocarbon gas. In the step of etching, the film is etched by a chemical species from the plasma. In the first processing gas from which an inert gas is removed, the hydrofluoric gas has the largest flow rate.SELECTED DRAWING: Figure 1

Description

本開示の例示的実施形態は、基板処理方法及びプラズマ処理装置に関する。 Exemplary embodiments of the present disclosure relate to substrate processing methods and plasma processing equipment.

特許文献1には、基板内の膜をエッチングする方法が開示されている。膜はシリコンを含有し、基板は膜上に設けられたマスクをさらに有する。マスクは、アモルファスカーボン又は有機ポリマーを含む。当該方法におけるエッチングは、炭化水素ガス及びフルオロハイドロカーボンガスを含む処理ガスから生成されたプラズマが用いられる。 Patent Document 1 discloses a method of etching a film in a substrate. The membrane contains silicon and the substrate further has a mask provided on the membrane. The mask contains amorphous carbon or organic polymer. For etching in this method, plasma generated from a processing gas containing a hydrocarbon gas and a fluorohydrocarbon gas is used.

特開2016−39310号公報Japanese Unexamined Patent Publication No. 2016-39310

本開示は、プラズマエッチングにおいてマスクのエッチングに対するシリコン含有膜のエッチングの選択比を向上する技術を提供する。 The present disclosure provides a technique for improving the selection ratio of etching of a silicon-containing film to etching of a mask in plasma etching.

一つの例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン含有膜及びシリコン含有膜上に設けられたマスクを有する。基板処理方法は、基板が載置される基板支持体の温度を0℃以下に制御する工程をさらに含む。基板処理方法は、フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマによりシリコン含有膜をエッチングする工程をさらに含む。エッチングする工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの中で前記フッ化水素ガスの流量が最も多い。 In one exemplary embodiment, a substrate processing method is provided. The substrate processing method comprises the step of providing the substrate into the chamber of the plasma processing apparatus. The substrate has a silicon-containing film and a mask provided on the silicon-containing film. The substrate processing method further includes a step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower. The substrate treatment method is a step of etching a silicon-containing film with plasma generated from a first treatment gas containing at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas. Including further. In the etching step, the silicon-containing film is etched by the chemical species from the plasma. Among the first treated gases excluding the inert gas, the flow rate of the hydrogen fluoride gas is the highest.

本開示によれば、プラズマエッチングにおいてマスクのエッチングに対するシリコン含有膜のエッチングの選択比を向上する技術を提供できる。 According to the present disclosure, it is possible to provide a technique for improving the selection ratio of etching of a silicon-containing film to etching of a mask in plasma etching.

第1の実施形態に係る基板処理方法の一例を示すフローチャートである。It is a flowchart which shows an example of the substrate processing method which concerns on 1st Embodiment. 一例のプラズマ処理装置を概略的に示す図である。It is a figure which shows schematically the plasma processing apparatus of an example. 工程ST11において提供される一例の基板の部分拡大断面図である。It is a partially enlarged sectional view of the substrate of an example provided in process ST11. 図1に示す基板処理方法を実行した後の一例の基板の部分拡大断面図である。It is a partially enlarged sectional view of the substrate of an example after executing the substrate processing method shown in FIG. 1. 一例の基板処理方法に関するタイミングチャートである。It is a timing chart about an example substrate processing method. 図1に示す基板処理方法の評価のために行った実験1の結果を示すグラフである。It is a graph which shows the result of the experiment 1 performed for the evaluation of the substrate processing method shown in FIG. 図1に示す基板処理方法の評価のために行った実験2の結果を示すグラフである。It is a graph which shows the result of the experiment 2 performed for the evaluation of the substrate processing method shown in FIG. 図8(a)は実験3の結果を示すグラフであり、図8(b)は実験4の結果を示すグラフである。FIG. 8A is a graph showing the results of Experiment 3, and FIG. 8B is a graph showing the results of Experiment 4. 第2の実施形態に係る基板処理方法の一例を示すフローチャートである。It is a flowchart which shows an example of the substrate processing method which concerns on 2nd Embodiment. 第3の実施形態に係る基板処理方法の一例を示すフローチャートである。It is a flowchart which shows an example of the substrate processing method which concerns on 3rd Embodiment. 第3の実施形態に係る基板処理方法の別の例を示すフローチャートである。It is a flowchart which shows another example of the substrate processing method which concerns on 3rd Embodiment.

以下、種々の例示的実施形態について説明する。 Hereinafter, various exemplary embodiments will be described.

一つの例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン酸化膜を含むシリコン含有膜及びシリコン含有膜上に設けられたマスクを有する。基板処理方法は、基板が載置される基板支持体の温度を0℃以下に制御する工程を含む。基板処理方法は、フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、シリコン含有膜をエッチングする工程をさらに含む。エッチングする工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの中でフッ化水素ガスの流量が最も多い。この実施形態によれば、不活性ガスを除いた全流量の中でフッ化水素ガスの流量が最も多い第1の処理ガスから生成されるプラズマを用いることにより、マスクのエッチングに対するシリコンを含有する膜のエッチングの選択比が向上される。 In one exemplary embodiment, a substrate processing method is provided. The substrate processing method comprises the step of providing the substrate into the chamber of the plasma processing apparatus. The substrate has a silicon-containing film containing a silicon oxide film and a mask provided on the silicon-containing film. The substrate processing method includes a step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower. The substrate treatment method etches a silicon-containing film with plasma generated from a first treatment gas containing at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas. Further includes steps. In the etching step, the silicon-containing film is etched by the chemical species from the plasma. Among the first treatment gases excluding the inert gas, the flow rate of hydrogen fluoride gas is the highest. According to this embodiment, by using plasma generated from the first processing gas having the highest flow rate of hydrogen fluoride gas in the total flow rate excluding the inert gas, silicon is contained for etching of the mask. The selection ratio of film etching is improved.

一つの例示的実施形態において、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は70体積%以上であってよい。 In one exemplary embodiment, the flow rate of hydrogen fluoride gas with respect to the total flow rate of the first treatment gas excluding the inert gas may be 70% by volume or more.

一つの例示的実施形態において、フルオロカーボンガスは、CF、C、C、C、C、C及びCからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the fluorocarbon gas is selected from the group consisting of CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 and C 5 F 8. It may be at least one kind.

一つの例示的実施形態において、フルオロカーボンガスは、Cガスであってよい。 In one exemplary embodiment, the fluorocarbon gas can be a C 4 F 8 gas.

一つの例示的実施形態において、ハイドロフルオロカーボンガスは、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C及びCからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the hydrofluorocarbon gas is CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2. , C 3 HF 7 , C 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 It may be at least one selected from the group consisting of H 2 F 10 , c-C 5 H 3 F 7 and C 3 H 2 F 4.

一つの例示的実施形態において、ハイドロフルオロカーボンガスは、Cガス及びCガスからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the hydrofluorocarbon gas may be at least one selected from the group consisting of C 3 H 2 F 4 gas and C 4 H 2 F 6 gas.

一つの例示的実施形態において、第1の処理ガスは、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種をさらに含んでもよい。 In one exemplary embodiment, the first treatment gas may further comprise at least one selected from the group consisting of oxygen-containing gas and halogen-containing gas.

一つの例示的実施形態において、第1の処理ガスは、リン含有ガス、硫黄含有ガス及びホウ素含有ガスからなる群から選択される少なくとも1種をさらに含んでもよい。 In one exemplary embodiment, the first treatment gas may further comprise at least one selected from the group consisting of phosphorus-containing gas, sulfur-containing gas and boron-containing gas.

一つの例示的実施形態において、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は96体積%以下であってよい。 In one exemplary embodiment, the flow rate of hydrogen fluoride gas to the total flow rate of the first treatment gas excluding the inert gas may be 96% by volume or less.

一つの例示的実施形態において、シリコン含有膜は、シリコン酸化膜、シリコン酸化膜及びシリコン窒化膜を含む積層膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種であってもよい。 In one exemplary embodiment, the silicon-containing film is at least one selected from the group consisting of a silicon oxide film, a laminated film containing a silicon oxide film and a silicon nitride film, and a laminated film containing a silicon oxide film and a polysilicon film. May be.

一つの例示的実施形態において、マスクは、炭素含有マスク又は金属含有マスクであってもよい。 In one exemplary embodiment, the mask may be a carbon-containing mask or a metal-containing mask.

一つの例示的実施形態において、炭素含有マスクは、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成されていてもよい。 In one exemplary embodiment, the carbon-containing mask may be formed from at least one selected from the group consisting of spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide.

一つの例示的実施形態において、基板処理方法は、チャンバ内において、第2の処理ガスからプラズマを生成する工程をさらに含む。第2の処理ガスからプラズマを生成する工程では、プラズマからの化学種によりチャンバ内がクリーニングされる。 In one exemplary embodiment, the substrate processing method further comprises the step of generating plasma from a second processing gas in the chamber. In the second step of generating plasma from the processing gas, the inside of the chamber is cleaned by chemical species from the plasma.

一つの例示的実施形態において、第2の処理ガスは、フッ素含有ガス、酸素含有ガス、水素含有ガス、及び窒素含有ガスからなる群から選択される少なくとも1種を含んでいてもよい。 In one exemplary embodiment, the second treatment gas may comprise at least one selected from the group consisting of a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas.

一つの例示的実施形態において、基板処理方法は、基板を提供する工程の前に、チャンバ内において、第3の処理ガスからプラズマを生成する工程をさらに含む。第3の処理ガスからプラズマを生成する工程では、チャンバの内壁にプリコートが形成される。 In one exemplary embodiment, the substrate processing method further comprises the step of generating plasma from a third processing gas in the chamber prior to the step of providing the substrate. In the step of generating plasma from the third processing gas, a precoat is formed on the inner wall of the chamber.

一つの例示的実施形態において、第3の処理ガスは、炭素含有ガスを含んでいてもよい。 In one exemplary embodiment, the third treatment gas may include a carbon-containing gas.

別の例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン酸化膜を含むシリコン含有膜及びシリコン含有膜上に設けられたマスクを有する。基板処理方法は、チャンバ内において、フッ化水素ガス、並びにCガス、Cガス及びCガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、シリコン含有膜をエッチングする工程をさらに含む。エッチングする工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は70体積%以上96体積%以下である。この実施形態によれば、不活性ガスを除いた全流量に対して、フッ化水素ガスの流量が70体積%以上96体積%以下である第1の処理ガスから生成されるプラズマを用いることにより、マスクのエッチングに対するシリコンを含有する膜のエッチングの選択比が向上される。 In another exemplary embodiment, a substrate processing method is provided. The substrate processing method comprises the step of providing the substrate into the chamber of the plasma processing apparatus. The substrate has a silicon-containing film containing a silicon oxide film and a mask provided on the silicon-containing film. The substrate processing method is at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and C 4 F 8 gas, C 3 H 2 F 4 gas and C 4 H 2 F 6 gas in the chamber. The step of etching the silicon-containing film with the plasma generated from the first processing gas containing the above is further included. In the etching step, the silicon-containing film is etched by the chemical species from the plasma. The flow rate of hydrogen fluoride gas with respect to the total flow rate of the first processing gas excluding the inert gas is 70% by volume or more and 96% by volume or less. According to this embodiment, by using plasma generated from the first processing gas in which the flow rate of hydrogen fluoride gas is 70% by volume or more and 96% by volume or less with respect to the total flow rate excluding the inert gas. , The selection ratio of etching of the film containing silicon to the etching of the mask is improved.

一つの例示的実施形態において、第1の処理ガスは、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種をさらに含んでもよい。 In one exemplary embodiment, the first treatment gas may further comprise at least one selected from the group consisting of oxygen-containing gas and halogen-containing gas.

一つの例示的実施形態において、第1の処理ガスは、リン含有ガスをさらに含んでもよい。 In one exemplary embodiment, the first treatment gas may further contain a phosphorus-containing gas.

別の例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン含有膜及びシリコン含有膜上に設けられたマスクを有する。基板処理方法は、フッ化水素ガスを含む第1の処理ガスから生成したプラズマにより、シリコン含有膜をエッチングする工程をさらに含む。エッチングする工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は70体積%以上96体積%以下である。この実施形態によれば、不活性ガスを除いた全流量に対して、フッ化水素ガスの流量が70体積%以上96体積%以下である第1の処理ガスから生成されるプラズマを用いることにより、マスクのエッチングに対するシリコンを含有する膜のエッチングの選択比が向上される。 In another exemplary embodiment, a substrate processing method is provided. The substrate processing method comprises the step of providing the substrate into the chamber of the plasma processing apparatus. The substrate has a silicon-containing film and a mask provided on the silicon-containing film. The substrate processing method further includes a step of etching the silicon-containing film with plasma generated from the first processing gas containing hydrogen fluoride gas. In the etching step, the silicon-containing film is etched by the chemical species from the plasma. The flow rate of hydrogen fluoride gas with respect to the total flow rate of the first processing gas excluding the inert gas is 70% by volume or more and 96% by volume or less. According to this embodiment, by using plasma generated from the first processing gas in which the flow rate of hydrogen fluoride gas is 70% by volume or more and 96% by volume or less with respect to the total flow rate excluding the inert gas. , The selection ratio of etching of the film containing silicon to the etching of the mask is improved.

一つの例示的実施形態において、第1の処理ガスは、炭素含有ガス、並びに酸素含有ガス、ハロゲン含有ガス及びリン含有ガスからなる群から選択される少なくとも1種を含んでもよい。 In one exemplary embodiment, the first treatment gas may include a carbon-containing gas and at least one selected from the group consisting of oxygen-containing gas, halogen-containing gas and phosphorus-containing gas.

一つの例示的実施形態において、炭素含有ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスからなる群から選択される少なくとも一種を含んでもよい。 In one exemplary embodiment, the carbon-containing gas may include at least one selected from the group consisting of fluorocarbon gases, hydrofluorocarbon gases, and hydrocarbon gases.

一つの例示的実施形態において、フルオロカーボンガスは、CF、C、C、C、C、C及びCからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the fluorocarbon gas is selected from the group consisting of CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 and C 5 F 8. It may be at least one kind.

一つの例示的実施形態において、フルオロカーボンガスは、Cガスであってよい。 In one exemplary embodiment, the fluorocarbon gas can be a C 4 F 8 gas.

一つの例示的実施形態において、ハイドロフルオロカーボンガスは、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C及びCからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the hydrofluorocarbon gas is CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2. , C 3 HF 7 , C 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 It may be at least one selected from the group consisting of H 2 F 10 , c-C 5 H 3 F 7 and C 3 H 2 F 4.

一つの例示的実施形態において、ハイドロフルオロカーボンガスは、Cガス及びCガスからなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the hydrofluorocarbon gas may be at least one selected from the group consisting of C 3 H 2 F 4 gas and C 4 H 2 F 6 gas.

一つの例示的実施形態において、ハイドロカーボンガスは、CH、C、C、C及びC10からなる群から選択される少なくとも1種であってよい。 In one exemplary embodiment, the hydrocarbon gas may be at least one selected from the group consisting of CH 4 , C 2 H 6 , C 3 H 6 , C 3 H 8 and C 4 H 10.

一つの例示的実施形態において、炭素含有ガスは、炭素数が3以上のハイドロフルオロカーボンガスであってよい。 In one exemplary embodiment, the carbon-containing gas may be a hydrofluorocarbon gas having 3 or more carbon atoms.

一つの例示的実施形態において、シリコン含有膜は、シリコン酸化膜及びシリコン窒化膜を含む積層膜、ポリシリコン膜、低誘電率膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種であってよい。 In one exemplary embodiment, the silicon-containing film comprises a group consisting of a laminated film including a silicon oxide film and a silicon nitride film, a polysilicon film, a low dielectric constant film, and a laminated film including a silicon oxide film and a polyether film. It may be at least one selected.

一つの例示的実施形態において、マスクは、炭素含有マスク又は金属含有マスクであってよい。 In one exemplary embodiment, the mask may be a carbon-containing mask or a metal-containing mask.

一つの例示的実施形態において、エッチングする工程の前に、基板が載置される基板支持体の温度を0℃以下に調整する工程をさらに含んでもよい。 In one exemplary embodiment, a step of adjusting the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower may be further included before the step of etching.

別の例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内において、プリコートガスからプラズマを生成して、チャンバの内壁にプリコートを形成する工程を含む。プラズマ処理方法は、チャンバ内に基板を提供する工程をさらに含む。基板は、シリコン酸化膜を含むシリコン含有膜及びシリコン含有膜上に設けられたマスクを有する。基板処理方法は、基板が載置される基板支持体の温度を0℃以下に制御する工程をさらに含む。基板処理方法は、フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む処理ガスから生成したプラズマにより、シリコン含有膜をエッチングする工程を含む。エッチングする工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は70体積%以上である。基板処理方法は、チャンバ内において、クリーニングガスからプラズマを生成し、チャンバ内をクリーニングする工程をさらに含む。この実施形態によれば、不活性ガスを除いた全流量に対して、フッ化水素ガスの流量が70体積%以上である第1の処理ガスから生成されるプラズマを用いることにより、マスクのエッチングに対するシリコンを含有する膜のエッチングの選択比が向上される。 In another exemplary embodiment, a substrate processing method is provided. The substrate processing method includes a step of generating plasma from the precoat gas in the chamber of the plasma processing apparatus to form a precoat on the inner wall of the chamber. The plasma processing method further comprises the step of providing the substrate in the chamber. The substrate has a silicon-containing film containing a silicon oxide film and a mask provided on the silicon-containing film. The substrate processing method further includes a step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower. The substrate treatment method includes a step of etching a silicon-containing film with a plasma generated from a treatment gas containing at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas. .. In the etching step, the silicon-containing film is etched by the chemical species from the plasma. The flow rate of hydrogen fluoride gas with respect to the total flow rate of the first processing gas excluding the inert gas is 70% by volume or more. The substrate processing method further comprises a step of generating plasma from the cleaning gas in the chamber to clean the inside of the chamber. According to this embodiment, the mask is etched by using plasma generated from the first processing gas in which the flow rate of hydrogen fluoride gas is 70% by volume or more with respect to the total flow rate excluding the inert gas. The selection ratio of etching of the film containing silicon to the gas is improved.

別の例示的実施形態において、プラズマ処理装置が提供される。プラズマ処理装置は、チャンバ、プラズマ生成部、及び制御部を備える。チャンバは、ガス供給口及びガス排出口を有する。制御部は、チャンバ内に基板を配置する工程と、基板支持体の温度を制御する工程と、エッチングする工程とを含む処理を実行するように構成されている。チャンバ内に基板を配置する工程では、シリコン酸化膜を含むシリコン含有膜と、シリコン含有膜上に設けられたマスクとを有する基板を基板支持体上に配置する。基板支持体の温度を制御する工程では、基板支持体の温度を0℃以下に制御する。エッチングする工程では、チャンバ内において、フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、シリコン含有膜をエッチングする。制御部は、エッチングする工程において、不活性ガスを除いた第1の処理ガスの中でフッ化水素ガスの流量が最も多くなるように制御する。 In another exemplary embodiment, a plasma processing apparatus is provided. The plasma processing apparatus includes a chamber, a plasma generation unit, and a control unit. The chamber has a gas supply port and a gas discharge port. The control unit is configured to execute a process including a step of arranging the substrate in the chamber, a step of controlling the temperature of the substrate support, and a step of etching. In the step of arranging the substrate in the chamber, the substrate having the silicon-containing film containing the silicon oxide film and the mask provided on the silicon-containing film is arranged on the substrate support. In the step of controlling the temperature of the substrate support, the temperature of the substrate support is controlled to 0 ° C. or lower. In the etching step, silicon is contained in the chamber by plasma generated from a first processing gas containing hydrogen fluoride gas and at least one carbon-containing gas selected from the group consisting of fluorocarbon gas and hydrofluorocarbon gas. Etch the film. In the etching step, the control unit controls so that the flow rate of the hydrogen fluoride gas is the largest among the first processing gas excluding the inert gas.

以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。 Hereinafter, various exemplary embodiments will be described in detail with reference to the drawings. In addition, the same reference numerals are given to the same or corresponding parts in each drawing.

[第1の実施形態]
図1は、第1の実施形態に係る基板処理方法の一例を示すフローチャートである。図1に示す方法MT1は、シリコンを含有する膜をエッチングするために実行される。方法MT1は、例えば、3次元構造を有するNANDフラッシュメモリの製造に用いることができる。方法MT1は、プラズマ処理装置を用いて実行される。図2は、一例のプラズマ処理装置を概略的に示す図である。図1に示す方法MT1は、図2に示すプラズマ処理装置1を用いて実行され得る。
[First Embodiment]
FIG. 1 is a flowchart showing an example of a substrate processing method according to the first embodiment. The method MT1 shown in FIG. 1 is performed to etch a film containing silicon. Method MT1 can be used, for example, to manufacture a NAND flash memory having a three-dimensional structure. Method MT1 is performed using a plasma processing apparatus. FIG. 2 is a diagram schematically showing an example plasma processing apparatus. The method MT1 shown in FIG. 1 can be performed using the plasma processing apparatus 1 shown in FIG.

プラズマ処理装置1は、チャンバ10を備える。チャンバ10は、その中に内部空間10sを提供する。チャンバ10はチャンバ本体12を含む。チャンバ本体12は、略円筒形状を有する。チャンバ本体12は、例えばアルミニウムから形成される。チャンバ本体12の内壁面上には、耐腐食性を有する膜が設けられている。当該膜は、酸化アルミニウム、酸化イットリウム等のセラミックであってよい。 The plasma processing device 1 includes a chamber 10. The chamber 10 provides an internal space 10s therein. The chamber 10 includes a chamber body 12. The chamber body 12 has a substantially cylindrical shape. The chamber body 12 is made of, for example, aluminum. A corrosion-resistant film is provided on the inner wall surface of the chamber body 12. The film may be a ceramic such as aluminum oxide or yttrium oxide.

チャンバ本体12の側壁には、通路12pが形成されている。基板Wは、通路12pを通して内部空間10sとチャンバ10の外部との間で搬送される。通路12pは、チャンバ本体12の側壁に沿って設けられるゲートバルブ12gにより開閉される。 A passage 12p is formed on the side wall of the chamber body 12. The substrate W is conveyed between the internal space 10s and the outside of the chamber 10 through the passage 12p. The passage 12p is opened and closed by a gate valve 12g provided along the side wall of the chamber body 12.

チャンバ本体12の底部上には、支持部13が設けられている。支持部13は、絶縁材料から形成される。支持部13は、略円筒形状を有する。支持部13は、内部空間10sの中で、チャンバ本体12の底部から上方に延在している。支持部13は、上部に支持台14を有する。支持台14は、内部空間10sの中において、基板Wを支持するように構成されている。 A support portion 13 is provided on the bottom portion of the chamber body 12. The support portion 13 is formed of an insulating material. The support portion 13 has a substantially cylindrical shape. The support portion 13 extends upward from the bottom of the chamber body 12 in the internal space 10s. The support portion 13 has a support base 14 at the upper portion. The support base 14 is configured to support the substrate W in the internal space 10s.

支持台14は、下部電極18及び静電チャック20を有する。支持台14は、電極プレート16をさらに有し得る。電極プレート16は、アルミニウム等の導体から形成され、略円盤形状を有する。下部電極18は、電極プレート16上に設けられている。下部電極18は、アルミニウム等の導体から形成されて、略円盤形状を有する。下部電極18は、電極プレート16に電気的に接続されている。なお、支持台14は、基板支持体の一例である。 The support base 14 has a lower electrode 18 and an electrostatic chuck 20. The support 14 may further have an electrode plate 16. The electrode plate 16 is formed of a conductor such as aluminum and has a substantially disk shape. The lower electrode 18 is provided on the electrode plate 16. The lower electrode 18 is formed of a conductor such as aluminum and has a substantially disk shape. The lower electrode 18 is electrically connected to the electrode plate 16. The support base 14 is an example of a substrate support.

静電チャック20は、下部電極18上に設けられている。静電チャック20の上面に基板Wが載置される。静電チャック20は、本体及び電極(チャック電極)を有する。静電チャック20の本体は、略円盤形状を有し、誘電体から形成される。静電チャック20のチャック電極は、膜状の電極であり、静電チャック20の本体内に設けられている。静電チャック20のチャック電極は、スイッチ20sを介して直流電源20pに接続されている。静電チャック20のチャック電極に直流電源20pからの電圧が印加されると、静電チャック20と基板Wとの間に静電引力が発生する。その静電引力により、基板Wが静電チャック20に保持される。なお、静電チャック20は、上述したチャック電極のほかに、本体内に、基板Wにイオンを引き込むためのバイアス電極を有してもよい。バイアス電極は、チャック電極と同様に、膜状の電極であってよい。 The electrostatic chuck 20 is provided on the lower electrode 18. The substrate W is placed on the upper surface of the electrostatic chuck 20. The electrostatic chuck 20 has a main body and an electrode (chuck electrode). The main body of the electrostatic chuck 20 has a substantially disk shape and is formed of a dielectric. The chuck electrode of the electrostatic chuck 20 is a film-shaped electrode, and is provided in the main body of the electrostatic chuck 20. The chuck electrode of the electrostatic chuck 20 is connected to the DC power supply 20p via the switch 20s. When a voltage from the DC power supply 20p is applied to the chuck electrode of the electrostatic chuck 20, an electrostatic attractive force is generated between the electrostatic chuck 20 and the substrate W. The substrate W is held by the electrostatic chuck 20 by the electrostatic attraction. In addition to the chuck electrode described above, the electrostatic chuck 20 may have a bias electrode for drawing ions into the substrate W in the main body. The bias electrode may be a film-like electrode like the chuck electrode.

下部電極18の周縁部上には、基板Wのエッジを囲むように、エッジリング25が配置される。エッジリング25は、基板Wに対するプラズマ処理の面内均一性を向上させる。エッジリング25は、シリコン、炭化シリコン、又は石英等から形成され得る。 An edge ring 25 is arranged on the peripheral edge of the lower electrode 18 so as to surround the edge of the substrate W. The edge ring 25 improves the in-plane uniformity of the plasma treatment with respect to the substrate W. The edge ring 25 may be formed of silicon, silicon carbide, quartz or the like.

下部電極18の内部には、流路18fが設けられている。流路18fには、チャンバ10の外部に設けられているチラーユニット(図示しない)から配管22aを介して熱交換媒体(例えば冷媒)が供給される。流路18fに供給された熱交換媒体は、配管22bを介してチラーユニットに戻される。プラズマ処理装置1では、静電チャック20上に載置された基板Wの温度が、熱交換媒体と下部電極18との熱交換により、調整される。 A flow path 18f is provided inside the lower electrode 18. A heat exchange medium (for example, a refrigerant) is supplied to the flow path 18f from a chiller unit (not shown) provided outside the chamber 10 via a pipe 22a. The heat exchange medium supplied to the flow path 18f is returned to the chiller unit via the pipe 22b. In the plasma processing apparatus 1, the temperature of the substrate W placed on the electrostatic chuck 20 is adjusted by heat exchange between the heat exchange medium and the lower electrode 18.

プラズマ処理装置1には、ガス供給ライン24が設けられている。ガス供給ライン24は、伝熱ガス供給機構からの伝熱ガス(例えばHeガス)を、静電チャック20の上面と基板Wの裏面との間に供給する。 The plasma processing apparatus 1 is provided with a gas supply line 24. The gas supply line 24 supplies heat transfer gas (for example, He gas) from the heat transfer gas supply mechanism between the upper surface of the electrostatic chuck 20 and the back surface of the substrate W.

プラズマ処理装置1は、上部電極30をさらに備える。上部電極30は、支持台14の上方に設けられている。上部電極30は、部材32を介して、チャンバ本体12の上部に支持されている。部材32は、絶縁性を有する材料から形成される。上部電極30と部材32は、チャンバ本体12の上部開口を閉じている。 The plasma processing apparatus 1 further includes an upper electrode 30. The upper electrode 30 is provided above the support base 14. The upper electrode 30 is supported on the upper part of the chamber body 12 via the member 32. The member 32 is formed of an insulating material. The upper electrode 30 and the member 32 close the upper opening of the chamber body 12.

上部電極30は、天板34及び支持体36を含み得る。天板34の下面は、内部空間10sの側の下面であり、内部空間10sを画成する。天板34は、発生するジュール熱の少ない低抵抗の導電体又は半導体から形成され得る。天板34は、天板34をその板厚方向に貫通する複数のガス吐出孔34aを有する。 The upper electrode 30 may include a top plate 34 and a support 36. The lower surface of the top plate 34 is the lower surface on the side of the internal space 10s, and defines the internal space 10s. The top plate 34 can be formed of a low resistance conductor or semiconductor that generates less Joule heat. The top plate 34 has a plurality of gas discharge holes 34a that penetrate the top plate 34 in the plate thickness direction.

支持体36は、天板34を着脱自在に支持する。支持体36は、アルミニウム等の導電性材料から形成される。支持体36の内部には、ガス拡散室36aが設けられている。支持体36は、ガス拡散室36aから下方に延びる複数のガス孔36bを有する。複数のガス孔36bは、複数のガス吐出孔34aにそれぞれ連通している。支持体36には、ガス供給口36cが形成されている。ガス供給口36cは、ガス拡散室36aに接続している。ガス供給口36cには、ガス供給管38が接続されている。 The support 36 supports the top plate 34 in a detachable manner. The support 36 is formed of a conductive material such as aluminum. A gas diffusion chamber 36a is provided inside the support 36. The support 36 has a plurality of gas holes 36b extending downward from the gas diffusion chamber 36a. The plurality of gas holes 36b communicate with the plurality of gas discharge holes 34a, respectively. The support 36 is formed with a gas supply port 36c. The gas supply port 36c is connected to the gas diffusion chamber 36a. A gas supply pipe 38 is connected to the gas supply port 36c.

ガス供給管38には、バルブ群42、流量制御器群44、及びガスソース群40が接続されている。ガスソース群40、バルブ群42、及び流量制御器群44は、ガス供給部を構成している。ガスソース群40は、複数のガスソースを含む。バルブ群42は、複数の開閉バルブを含む。流量制御器群44は、複数の流量制御器を含む。流量制御器群44の複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースの各々は、バルブ群42の対応の開閉バルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。 A valve group 42, a flow rate controller group 44, and a gas source group 40 are connected to the gas supply pipe 38. The gas source group 40, the valve group 42, and the flow rate controller group 44 constitute a gas supply unit. The gas source group 40 includes a plurality of gas sources. The valve group 42 includes a plurality of open / close valves. The flow rate controller group 44 includes a plurality of flow rate controllers. Each of the plurality of flow rate controllers in the flow rate controller group 44 is a mass flow controller or a pressure control type flow rate controller. Each of the plurality of gas sources of the gas source group 40 is connected to the gas supply pipe 38 via the corresponding on-off valve of the valve group 42 and the corresponding flow rate controller of the flow rate controller group 44.

プラズマ処理装置1では、チャンバ本体12の内壁面及び支持部13の外周に沿って、シールド46が着脱自在に設けられている。シールド46は、チャンバ本体12に反応副生物が付着することを防止する。シールド46は、例えば、アルミニウムから形成された母材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウム等のセラミックから形成され得る。 In the plasma processing apparatus 1, a shield 46 is detachably provided along the inner wall surface of the chamber body 12 and the outer periphery of the support portion 13. The shield 46 prevents reaction by-products from adhering to the chamber body 12. The shield 46 is configured, for example, by forming a corrosion-resistant film on the surface of a base material made of aluminum. The corrosion resistant film can be formed from a ceramic such as yttrium oxide.

支持部13とチャンバ本体12の側壁との間には、バッフルプレート48が設けられている。バッフルプレート48は、例えば、アルミニウムから形成された母材の表面に耐腐食性を有する膜(酸化イットリウム等の膜)を形成することにより構成される。バッフルプレート48には、複数の貫通孔が形成されている。バッフルプレート48の下方、且つ、チャンバ本体12の底部には、ガス排出口12eが設けられている。ガス排出口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、圧力調整弁及びターボ分子ポンプ等の真空ポンプを含む。 A baffle plate 48 is provided between the support portion 13 and the side wall of the chamber body 12. The baffle plate 48 is configured, for example, by forming a corrosion-resistant film (a film such as yttrium oxide) on the surface of a base material made of aluminum. A plurality of through holes are formed in the baffle plate 48. A gas discharge port 12e is provided below the baffle plate 48 and at the bottom of the chamber body 12. An exhaust device 50 is connected to the gas discharge port 12e via an exhaust pipe 52. The exhaust device 50 includes a pressure regulating valve and a vacuum pump such as a turbo molecular pump.

プラズマ処理装置1は、第1の高周波電源62及び第2の高周波電源64を備えている。第1の高周波電源62は、第1の高周波電力を発生する電源である。第1の高周波電力は、プラズマの生成に適した周波数を有する。第1の高周波電力の周波数は、例えば27MHz〜100MHzの範囲内の周波数である。第1の高周波電力は、連続波であってもよく、パルス波であってもよい。第1の高周波電源62は、整合器66及び電極プレート16を介して下部電極18に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極18側)のインピーダンスを整合させるための回路を有する。なお、第1の高周波電源62は、整合器66を介して、上部電極30に接続されていてもよい。第1の高周波電源62は、一例のプラズマ生成部を構成している。 The plasma processing apparatus 1 includes a first high frequency power supply 62 and a second high frequency power supply 64. The first high frequency power source 62 is a power source that generates the first high frequency power. The first high frequency power has a frequency suitable for plasma generation. The frequency of the first high frequency power is, for example, a frequency in the range of 27 MHz to 100 MHz. The first high frequency power may be a continuous wave or a pulse wave. The first high frequency power supply 62 is connected to the lower electrode 18 via the matching unit 66 and the electrode plate 16. The matching device 66 has a circuit for matching the output impedance of the first high frequency power supply 62 with the impedance on the load side (lower electrode 18 side). The first high frequency power supply 62 may be connected to the upper electrode 30 via the matching device 66. The first high frequency power supply 62 constitutes an example plasma generation unit.

第2の高周波電源64は、第2の高周波電力を発生する電源である。第2の高周波電力は、第1の高周波電力の周波数よりも低い周波数を有する。第1の高周波電力と共に第2の高周波電力が用いられる場合には、第2の高周波電力は基板Wにイオンを引き込むためのバイアス用の高周波電力として用いられる。第2の高周波電力の周波数は、例えば400kHz〜13.56MHzの範囲内の周波数である。第2の高周波電力は、連続波であってよく、パルス波であってもよい。第2の高周波電源64は、整合器68を介して支持台14に接続されている。一例では、第2の高周波電源64は、整合器68及び電極プレート16を介して下部電極18に接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極18側)のインピーダンスを整合させるための回路を有する。なお、第2の高周波電源64は、後述するバイアス電源と同様に、整合器68及び電極プレート16を介して静電チャック20内に設けられたバイアス電極に接続されてもよい。 The second high frequency power source 64 is a power source that generates the second high frequency power. The second high frequency power has a lower frequency than the frequency of the first high frequency power. When the second high frequency power is used together with the first high frequency power, the second high frequency power is used as the high frequency power for bias for drawing ions into the substrate W. The frequency of the second high frequency power is, for example, a frequency in the range of 400 kHz to 13.56 MHz. The second high frequency power may be a continuous wave or a pulse wave. The second high frequency power supply 64 is connected to the support base 14 via the matching unit 68. In one example, the second high frequency power supply 64 is connected to the lower electrode 18 via the matching unit 68 and the electrode plate 16. The matching device 68 has a circuit for matching the output impedance of the second high frequency power supply 64 with the impedance on the load side (lower electrode 18 side). The second high frequency power supply 64 may be connected to the bias electrode provided in the electrostatic chuck 20 via the matching unit 68 and the electrode plate 16 in the same manner as the bias power supply described later.

なお、第1の高周波電力を用いずに、第2の高周波電力を用いて、すなわち、単一の高周波電力のみを用いてプラズマを生成してもよい。この場合には、第2の高周波電力の周波数は、13.56MHzよりも大きな周波数、例えば40MHzであってもよい。プラズマ処理装置1は、第1の高周波電源62及び整合器66を備えなくてもよい。第2の高周波電源64は一例のプラズマ生成部を構成する。 It should be noted that the plasma may be generated by using the second high frequency power without using the first high frequency power, that is, by using only a single high frequency power. In this case, the frequency of the second high frequency power may be a frequency larger than 13.56 MHz, for example, 40 MHz. The plasma processing device 1 does not have to include the first high frequency power supply 62 and the matching device 66. The second high frequency power supply 64 constitutes an example plasma generation unit.

また、本開示において、プラズマ処理装置1は、プラズマ処理中、上部電極30に直流電圧を印加するように構成されてもよい。例えば、プラズマ処理装置1は、上部電極30にパルス状の負極性の直流電圧を印加してよい。 Further, in the present disclosure, the plasma processing apparatus 1 may be configured to apply a DC voltage to the upper electrode 30 during plasma processing. For example, the plasma processing apparatus 1 may apply a pulsed negative DC voltage to the upper electrode 30.

プラズマ処理装置1においてガスが、ガス供給部から内部空間10sに供給されて、プラズマを生成する。また、第1の高周波電力及び/又は第2の高周波電力が供給されることにより、上部電極30と下部電極18との間で高周波電界が生成される。生成された高周波電界がプラズマを生成する。 In the plasma processing apparatus 1, gas is supplied from the gas supply unit to the internal space 10s to generate plasma. Further, by supplying the first high frequency power and / or the second high frequency power, a high frequency electric field is generated between the upper electrode 30 and the lower electrode 18. The generated high frequency electric field produces plasma.

プラズマ処理装置1は、制御部80をさらに備え得る。制御部80は、プロセッサ、メモリ等の記憶部、入力装置、表示装置、信号の入出力インターフェイス等を備えるコンピュータであり得る。制御部80は、プラズマ処理装置1の各部を制御する。制御部80では、入力装置を用いて、オペレータがプラズマ処理装置1を管理するためにコマンドの入力操作等を行うことができる。また、制御部80では、表示装置により、プラズマ処理装置1の稼働状況を可視化して表示することができる。さらに、記憶部には、制御プログラム及びレシピデータが格納されている。制御プログラムは、プラズマ処理装置1で各種処理を実行するために、プロセッサによって実行される。プロセッサが、制御プログラムを実行し、レシピデータに従ってプラズマ処理装置1の各部を制御する。 The plasma processing device 1 may further include a control unit 80. The control unit 80 may be a computer including a processor, a storage unit such as a memory, an input device, a display device, a signal input / output interface, and the like. The control unit 80 controls each unit of the plasma processing device 1. In the control unit 80, the operator can perform a command input operation or the like in order to manage the plasma processing device 1 by using the input device. Further, the control unit 80 can visualize and display the operating status of the plasma processing device 1 by the display device. Further, a control program and recipe data are stored in the storage unit. The control program is executed by the processor in order to execute various processes in the plasma processing device 1. The processor executes a control program and controls each part of the plasma processing device 1 according to the recipe data.

再び図1を参照する。以下では、その実行においてプラズマ処理装置1が用いられる場合を例にとって、方法MT1について説明する。図1に示すように、方法MT1は、工程ST11を含む。工程ST11では、プラズマ処理装置のチャンバ10内に基板Wが提供される。基板Wは、静電チャック20上に載置され、静電チャック20によって保持される。 See FIG. 1 again. In the following, the method MT1 will be described by taking the case where the plasma processing apparatus 1 is used in the execution as an example. As shown in FIG. 1, method MT1 includes step ST11. In step ST11, the substrate W is provided in the chamber 10 of the plasma processing apparatus. The substrate W is placed on the electrostatic chuck 20 and held by the electrostatic chuck 20.

図3は、方法MT1の工程ST11において提供される一例の基板の部分拡大断面図である。図3に示す基板Wは、下地層UL、膜SF、及びマスクMSKを有する。下地層ULは、多結晶シリコン製の層であり得る。膜SFは、下地層UL上に設けられている。膜SFは、シリコンを含有する。膜SFは、一つ以上のシリコン酸化膜及び一つ以上のシリコン窒化膜を含む積層膜であり得る。図3に示す例では、膜SFは、複数のシリコン酸化膜IL1及び複数のシリコン窒化膜IL2を含む多層膜である。複数のシリコン酸化膜IL1及び複数のシリコン窒化膜IL2は、交互に積層されている。なお、膜SFは、シリコンを含む他の単層膜又はシリコンを含む他の多層膜であってもよい。膜SFが単層膜の場合、膜SFは、例えば、SiOC、SiOF、若しくはSiCOH等から形成される低誘電率膜、又は、ポリシリコン膜であり得る。或いは、膜SFが多層膜の場合、膜SFは、例えば、一つ以上のシリコン酸化膜及び一つ以上のポリシリコン膜を含む積層膜であり得る。 FIG. 3 is a partially enlarged cross-sectional view of an example substrate provided in step ST11 of method MT1. The substrate W shown in FIG. 3 has a base layer UL, a film SF, and a mask MSK. The underlying layer UL can be a layer made of polycrystalline silicon. The film SF is provided on the base layer UL. The membrane SF contains silicon. The film SF can be a laminated film including one or more silicon oxide films and one or more silicon nitride films. In the example shown in FIG. 3, the film SF is a multilayer film including a plurality of silicon oxide films IL1 and a plurality of silicon nitride films IL2. The plurality of silicon oxide films IL1 and the plurality of silicon nitride films IL2 are laminated alternately. The film SF may be another single-layer film containing silicon or another multilayer film containing silicon. When the film SF is a single-layer film, the film SF may be, for example, a low dielectric constant film formed of SiOC, SiOF, SiCOH, or the like, or a polysilicon film. Alternatively, when the membrane SF is a multilayer film, the membrane SF can be, for example, a laminated film including one or more silicon oxide films and one or more polysilicon films.

マスクMSKは、膜SF上に設けられている。マスクMSKは、膜SFにホールといったスペースを形成するためのパターンを有している。マスクMSKは、例えば、ハードマスクであり得る。マスクMSKは、例えば、炭素含有マスク及び/又は金属含有マスクであり得る。炭素含有マスクは、例えば、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成される。金属含有マスクは、窒化チタン、酸化チタン、及びタングステンからなる群から選択される少なくとも一種から形成される。或いは、マスクMSKは、例えば、ホウ化ケイ素、窒化ホウ素、又は炭化ホウ素等から形成されるホウ素含有マスクであってもよい。 The mask MSK is provided on the membrane SF. The mask MSK has a pattern for forming a space such as a hole in the film SF. The mask MSK can be, for example, a hard mask. The mask MSK can be, for example, a carbon-containing mask and / or a metal-containing mask. The carbon-containing mask is formed, for example, from at least one selected from the group consisting of spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide. The metal-containing mask is formed from at least one selected from the group consisting of titanium nitride, titanium oxide, and tungsten. Alternatively, the mask MSK may be, for example, a boron-containing mask formed of silicon borohydride, boron nitride, boron carbide, or the like.

図1に示すように、方法MT1は、工程ST12をさらに含む。工程ST12は、工程ST11の後に実行される。工程ST12では、チャンバ10内において第1の処理ガスからプラズマが生成される。工程ST12では、このプラズマからの化学種により、膜SFがエッチングされる。 As shown in FIG. 1, method MT1 further comprises step ST12. Step ST12 is executed after step ST11. In step ST12, plasma is generated from the first processing gas in the chamber 10. In step ST12, the film SF is etched by the chemical species from this plasma.

工程ST12において用いられる第1の処理ガスは、フッ化水素ガスを含む。フッ化水素ガスの流量は、不活性ガスを除く第1の処理ガスに含まれる他のガスの流量よりも多い。具体的に、工程ST12におけるフッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、70体積%以上、80体積%以上、85体積%以上、90体積%以上又は95体積%以上としてよい。なお、膜SFにボーイング等の形状異常が生じることを抑制する観点から、炭素含有ガス等を添加する場合、フッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、100体積%未満、99.5体積%以下、98体積%以下又は96体積%以下としてよい。一例では、フッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、70体積%以上96体積%以下に調整される。不活性ガスを除いた第1の処理ガス中のフッ化水素ガスの流量をこのような範囲に制御することにより、マスクMSKのエッチングを抑制しつつ、高いエッチング速度で膜SFをエッチングすることができる。この結果、マスクのエッチングに対するシリコン含有膜のエッチングの選択比を5以上とすることができる。このため、3次元構造を有するNANDフラッシュメモリのような高いアスペクト比が要求されるプロセスにおいても、実効性のある速度で膜SFをエッチングすることができる。また、このような高い選択比に起因して、炭素含有ガス等の堆積性ガスの添加量を抑制できるため、マスクMSKが閉塞するリスクを低減できるばかりでなく、後述するようにチャンバ10内のクリーニング時間を50%以下に短縮できる。この結果、基板処理のスループットを大幅に改善することが可能となる。一方、フッ化水素ガスの流量が、不活性ガスを除いた第1の処理ガスに含まれる他のガスの流量以下の場合は、選択比を十分に改善できない場合がある。なお、不活性ガスを除いた第1の処理ガスの全流量は、チャンバ容積に応じて適宜調整すればよく、一例では、100sccm以上としてよい。 The first processing gas used in step ST12 contains hydrogen fluoride gas. The flow rate of hydrogen fluoride gas is higher than the flow rate of other gases contained in the first processing gas excluding the inert gas. Specifically, the flow rate of the hydrogen fluoride gas in the step ST12 is 70% by volume or more, 80% by volume or more, 85% by volume or more, and 90% by volume with respect to the total flow rate of the first processing gas excluding the inert gas. % Or more or 95% by volume or more. From the viewpoint of suppressing the occurrence of shape abnormalities such as boeing in the membrane SF, when a carbon-containing gas or the like is added, the flow rate of the hydrogen fluoride gas is the total flow rate of the first treated gas excluding the inert gas. However, it may be less than 100% by volume, 99.5% by volume or less, 98% by volume or less, or 96% by volume or less. In one example, the flow rate of the hydrogen fluoride gas is adjusted to 70% by volume or more and 96% by volume or less with respect to the total flow rate of the first processing gas excluding the inert gas. By controlling the flow rate of the hydrogen fluoride gas in the first processing gas excluding the inert gas to such a range, it is possible to etch the film SF at a high etching rate while suppressing the etching of the mask MSK. can. As a result, the selection ratio of etching of the silicon-containing film to the etching of the mask can be set to 5 or more. Therefore, even in a process that requires a high aspect ratio such as a NAND flash memory having a three-dimensional structure, the film SF can be etched at an effective speed. Further, due to such a high selectivity, the amount of a depositary gas such as a carbon-containing gas can be suppressed, so that not only the risk of the mask MSK being clogged can be reduced, but also the risk of clogging of the mask MSK can be reduced, and as will be described later, the inside of the chamber 10 can be suppressed. The cleaning time can be reduced to 50% or less. As a result, the throughput of substrate processing can be significantly improved. On the other hand, when the flow rate of the hydrogen fluoride gas is equal to or less than the flow rate of the other gas contained in the first processing gas excluding the inert gas, the selection ratio may not be sufficiently improved. The total flow rate of the first processing gas excluding the inert gas may be appropriately adjusted according to the chamber volume, and in one example, it may be 100 sccm or more.

第1の処理ガスは、フッ化水素ガスのほかに、炭素含有ガスを含んでもよい。また、フッ化水素ガス及び炭素含有ガスに加えて、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種を含んでもよい。 The first treatment gas may contain a carbon-containing gas in addition to the hydrogen fluoride gas. Further, in addition to the hydrogen fluoride gas and the carbon-containing gas, at least one selected from the group consisting of the oxygen-containing gas and the halogen-containing gas may be contained.

第1の処理ガスが炭素含有ガスを含む場合、マスク表面に炭素を含む堆積物が形成されるため、マスクのエッチングに対するシリコン含有膜のエッチング選択比をさらに改善することができる。炭素含有ガスは、例えば、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスからなる群から選択される少なくとも一種を含む。フルオロカーボンガスとしては、例えば、CF、C、C、C、C、C又はCを使用することができる。ハイドロフルオロカーボンガスとしては、例えば、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C又はCを使用することができる。ハイドロカーボンガスとしては、例えば、CH、C、C、C又はC10を使用することができる。炭素含有ガスは、上記のほかにCO及び/又はCOを含んでもよい。一例では、炭素含有ガスとして、炭素数が2以上のフルオロカーボンガス及び/又はハイドロフルオロカーボンガスを使用することができる。炭素数が2以上のフルオロカーボンガス及び/又はハイドロフルオロカーボンガスを用いた場合、ボーイング等の形状異常を効果的に抑制できる。なお、炭素数が3以上のフルオロカーボンガス及び/又はハイドロフルオロカーボンガスを用いることで、さらに形状異常を抑制できる。炭素数が3以上のフルオロカーボンガスとしては、例えば、Cを使用することができる。炭素数が3以上のハイドロフルオロカーボンガスは、不飽和結合を含んでもよく、1以上のCF基を含んでもよい。炭素数が3以上のハイドロフルオロカーボンガスとしては、例えば、C又はCを使用することができる。 When the first treatment gas contains a carbon-containing gas, carbon-containing deposits are formed on the mask surface, so that the etching selectivity of the silicon-containing film with respect to the etching of the mask can be further improved. The carbon-containing gas includes, for example, at least one selected from the group consisting of fluorocarbon gas, hydrofluorocarbon gas, and hydrocarbon gas. As the fluorocarbon gas, for example, CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 or C 5 F 8 can be used. Examples of the hydrofluorocarbon gas include CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2 , C 3 HF 7. , C 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 H 2 F 10 , c-C 5 H 3 F 7 or C 3 H 2 F 4 can be used. As the hydrocarbon gas, for example, CH 4 , C 2 H 6 , C 3 H 6 , C 3 H 8 or C 4 H 10 can be used. The carbon-containing gas may contain CO and / or CO 2 in addition to the above. In one example, as the carbon-containing gas, a fluorocarbon gas having two or more carbon atoms and / or a hydrofluorocarbon gas can be used. When a fluorocarbon gas and / or a hydrofluorocarbon gas having 2 or more carbon atoms is used, shape abnormalities such as Boeing can be effectively suppressed. By using a fluorocarbon gas and / or a hydrofluorocarbon gas having 3 or more carbon atoms, the shape abnormality can be further suppressed. The 3 or more fluorocarbon gases carbon atoms, for example, may be used C 4 F 8. The hydrofluorocarbon gas having 3 or more carbon atoms may contain an unsaturated bond or may contain 3 CF groups having 1 or more carbon atoms. As the hydrofluorocarbon gas having 3 or more carbon atoms, for example, C 3 H 2 F 4 or C 4 H 2 F 6 can be used.

第1の処理ガスが酸素含有ガスを含む場合、エッチング時におけるマスクの閉塞を抑制することができる。酸素含有ガスとしては、例えば、O、CO、CO、HO又はHからなる群から選択される少なくとも1種を使用することができる。 When the first processing gas contains an oxygen-containing gas, it is possible to suppress blockage of the mask during etching. As the oxygen-containing gas, for example, at least one selected from the group consisting of O 2 , CO, CO 2 , H 2 O or H 2 O 2 can be used.

第1の処理ガスがハロゲン含有ガスを含む場合、エッチング形状を制御することができる。ハロゲン含有ガスとしては、例えば、SF、NF、XeF、SiF、IF、ClF、BrF、AsF、NF、PF、PF、POF、BF、HPF、WF等の炭素を含まないフッ素含有ガス、Cl、SiCl、SiCl、CCl、BCl、PCl、PCl、POCl等の塩素含有ガス、HBr、CBr、CBr、PBr、PBr、POBr等の臭素含有ガス、HI、CFI、CI、CI、IF、IF、I、PI等のヨウ素含有ガスからなる群から選択される少なくとも1種を使用することができる。 When the first processing gas contains a halogen-containing gas, the etching shape can be controlled. Examples of the halogen-containing gas include SF 6 , NF 3 , XeF 2 , SiF 4 , IF 7 , ClF 5 , BrF 5 , AsF 5 , NF 5 , PF 3 , PF 5 , POF 3 , BF 3 , HPF 6 . fluorine containing gas containing no carbon of WF 6, etc., Cl 2, SiCl 2, SiCl 4, CCl 4, BCl 3, PCl 3, PCl 5, POCl 3 or the like chlorine-containing gas, HBr, CBr 2 F 2, C 2 Bromine-containing gas such as F 5 Br, PBr 3 , PBr 5 , POBr 3 , HI, CF 3 I, C 2 F 5 I, C 3 F 7 I, IF 5 , IF 7 , I 2 , PI 3 and the like iodine At least one selected from the group consisting of contained gases can be used.

上記のほか、第1の処理ガスは、側壁保護効果のあるガス、例えば、COS等の硫黄含有ガス、P10、P、P、PH、Ca、HPO、NaPO等のリン含有ガス、B等のホウ素含有ガスを含んでもよい。なお、側壁保護効果のあるリン含有ガスには、上述したPF、PF等のフッ化リンガス、PCl、PCl等の塩化リンガスを含むハロゲン化リンガスも含まれる。 In addition to the above, the first treatment gas is a gas having a side wall protection effect, for example, a sulfur-containing gas such as COS, P 4 O 10 , P 4 O 8 , P 4 O 6 , PH 3 , Ca 3 P 2 , and so on. It may contain a phosphorus-containing gas such as H 3 PO 4 and Na 3 PO 4 , and a boron-containing gas such as B 2 H 6. The phosphorus-containing gas having a side wall protective effect also includes the above-mentioned fluorophosphorus gas such as PF 3 and PF 5 , and halogenated phosphorus gas containing chloride phosphorus gas such as PCl 3 and PCl 5.

本開示の例示的実施形態では、第1の処理ガスは、フッ化水素、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む。炭素含有ガスは、上述したフルオロカーボンガスであってよく、又は上述したハイドロフルオロカーボンガスであってよい。フルオロカーボンガスは、Cであってよい。また、ハイドロフルオロカーボンガスは、C及びCからなる群から選択される少なくとも1種であってよい。 In the exemplary embodiment of the present disclosure, the first treatment gas comprises hydrogen fluoride and at least one carbon-containing gas selected from the group consisting of fluorocarbon gas and hydrofluorocarbon gas. The carbon-containing gas may be the above-mentioned fluorocarbon gas or the above-mentioned hydrofluorocarbon gas. The fluorocarbon gas may be C 4 F 8. Further, the hydrofluorocarbon gas may be at least one selected from the group consisting of C 3 H 2 F 4 and C 4 H 2 F 6.

例示的実施形態では、第1の処理ガスは、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種をさらに含んでもよい。この場合、ハロゲン含有ガスは、フッ素以外のハロゲン元素を含有するハロゲン含有ガス及び炭素を含まないフッ素含有ガスからなる群から選択される少なくとも1種であってよい。 In an exemplary embodiment, the first treatment gas may further comprise at least one selected from the group consisting of oxygen-containing gas and halogen-containing gas. In this case, the halogen-containing gas may be at least one selected from the group consisting of a halogen-containing gas containing a halogen element other than fluorine and a carbon-free fluorine-containing gas.

例示的実施形態では、添加ガスとして、側壁保護効果のある硫黄含有ガス、リン含有ガス及びホウ素含有ガスからなる群から選択される少なくとも1種をさらに含んでもよい。 In the exemplary embodiment, the additive gas may further contain at least one selected from the group consisting of sulfur-containing gas, phosphorus-containing gas and boron-containing gas having a side wall protective effect.

これらのガス種のほか、第1の処理ガスは、不活性ガスを含んでもよい。不活性ガスとしては、窒素ガスのほか、Ar、Kr及びXe等の希ガスを使用することができる。ただし、第1の処理ガスは、これらの不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量が上述した割合となるように制御する。 In addition to these gas species, the first treatment gas may contain an inert gas. As the inert gas, in addition to nitrogen gas, noble gases such as Ar, Kr and Xe can be used. However, the first processing gas is controlled so that the flow rate of the hydrogen fluoride gas is the ratio described above with respect to the total flow rate of the first processing gas excluding these inert gases.

工程ST12の実行のために、制御部80は、上述の処理ガスをチャンバ10内に供給するようにガス供給部を制御する。工程ST12の実行のために、制御部80は、チャンバ10内に供給される処理ガスにおけるフッ化水素ガスの流量が当該処理ガスの全流量の70体積%以上となるようにガス供給部を制御する。工程ST12の実行のために、制御部80は、チャンバ10内の圧力を指定された圧力となるように排気装置50を制御する。工程ST12の実行のために、制御部80は、チャンバ10内において処理ガスからプラズマを生成するために第1の高周波電力及び/又は第2の高周波電力を供給するように第1の高周波電源62及び/又は第2の高周波電源64を制御する。 For the execution of the step ST12, the control unit 80 controls the gas supply unit so as to supply the above-mentioned processing gas into the chamber 10. For the execution of the step ST12, the control unit 80 controls the gas supply unit so that the flow rate of the hydrogen fluoride gas in the processing gas supplied into the chamber 10 is 70% by volume or more of the total flow rate of the processing gas. do. For the execution of step ST12, the control unit 80 controls the exhaust device 50 so that the pressure in the chamber 10 becomes the specified pressure. For the execution of step ST12, the control unit 80 supplies a first high frequency power and / or a second high frequency power to generate plasma from the processing gas in the chamber 10. And / or control the second high frequency power supply 64.

工程ST12において、第2の高周波電源64は、プラズマからイオンを基板Wに引き込むために、5W/cm以上の第2の高周波電力(すなわち、バイアス用の高周波電力)を支持台14に供給してもよい。5W/cm以上の第2の高周波電力によって、プラズマからのイオンが、エッチングによって形成される膜SFのスペース(例えば図4に示すスペースSP)の底部に、十分に到達し得る。 In step ST12, the second high-frequency power source 64 supplies a second high-frequency power of 5 W / cm 2 or more (that is, high-frequency power for bias) to the support base 14 in order to draw ions from the plasma into the substrate W. You may. A second high frequency power of 5 W / cm 2 or more allows ions from the plasma to sufficiently reach the bottom of the space of the membrane SF formed by etching (eg, the space SP shown in FIG. 4).

なお、バイアス用の高周波電力に代えて、高周波以外のパルス電圧を支持台14に供給してもよい。ここで、パルス電圧とは、パルス電源から供給されるパルス状の電圧である。パルス電源は、電源自体がパルス波を供給するように構成されてもよく、パルス電源の下流側に電圧をパルス化するためのデバイスを備えてもよい。一例では、パルス電圧は、基板Wに負の電位が生じるように支持台14に供給される。パルス電圧は、負極性の直流電圧のパルスであってよい。また、パルス電圧は、矩形波のパルスであってもよく、三角波のパルスあってもよく、インパルスであってもよく、又はその他の電圧波形のパルスを有していてもよい。 Instead of the high frequency power for bias, a pulse voltage other than the high frequency may be supplied to the support base 14. Here, the pulse voltage is a pulse-shaped voltage supplied from the pulse power supply. The pulse power supply may be configured such that the power supply itself supplies a pulse wave, or may be provided with a device for pulsing a voltage on the downstream side of the pulse power supply. In one example, the pulse voltage is supplied to the support 14 so that a negative potential is generated on the substrate W. The pulse voltage may be a negative DC voltage pulse. Further, the pulse voltage may be a square wave pulse, a triangular wave pulse, an impulse pulse, or a pulse having another voltage waveform.

図5に、例示的実施形態の基板処理方法に関するタイミングチャートの一例を示す。図5において、横軸は、時間を示している。図5において、縦軸は、第1の処理ガスの供給状態、第1の高周波電力HFのレベル及びパルス電圧のレベルを示している。図5において、第1の処理ガスは、チャンバ10内に周期的に供給されている。また、第1の高周波電力のパルス及びパルス電圧は、支持台14に周期的に供給されている。さらに、第1の高周波電力HFのパルスが供給される期間、パルス電圧が供給される期間及び第1の処理ガスが供給される期間は同期している。なお、第1の処理ガスは、チャンバ10内に連続的に供給されもよい。 FIG. 5 shows an example of a timing chart relating to the substrate processing method of the exemplary embodiment. In FIG. 5, the horizontal axis represents time. In FIG. 5, the vertical axis shows the supply state of the first processing gas, the level of the first high frequency power HF, and the level of the pulse voltage. In FIG. 5, the first processing gas is periodically supplied into the chamber 10. Further, the pulse of the first high frequency power and the pulse voltage are periodically supplied to the support base 14. Further, the period in which the pulse of the first high frequency power HF is supplied, the period in which the pulse voltage is supplied, and the period in which the first processing gas is supplied are synchronized. The first processing gas may be continuously supplied into the chamber 10.

図5において、第1の高周波電力HFの「L」レベルは、第1の高周波電力HFが供給されていないか、又は、第1の高周波電力HFの電力レベルが、「H」で示す電力レベルよりも低いことを示している。パルス電圧の「L」レベルは、パルス電圧が支持台14に与えられないか、又は、パルス電圧のレベルが、「H」で示すレベルよりも低いことを示している。また、第1の処理ガスの供給状態の「ON」は、第1の処理ガスがチャンバ10内に供給されていることを示しており、第1の処理ガスの供給状態の「OFF」は、チャンバ10内への第1の処理ガスの供給が停止されていることを示している。ここで、パルス電圧の電圧レベルがLである期間を「L期間」、パルス電圧の電圧レベルがHである期間を「H期間」とする。 In FIG. 5, the “L” level of the first high frequency power HF is the power level at which the first high frequency power HF is not supplied or the power level of the first high frequency power HF is indicated by “H”. Shows that it is lower than. The "L" level of the pulse voltage indicates that no pulse voltage is applied to the support base 14 or that the level of the pulse voltage is lower than the level indicated by "H". Further, "ON" in the supply state of the first processing gas indicates that the first processing gas is supplied into the chamber 10, and "OFF" in the supply state of the first processing gas is It shows that the supply of the first processing gas into the chamber 10 is stopped. Here, the period in which the voltage level of the pulse voltage is L is referred to as “L period”, and the period in which the voltage level of the pulse voltage is H is referred to as “H period”.

H期間におけるパルス電圧の周波数(第1の周波数)は100kHz〜3.2MHzに制御されてよい。一例では、第1の周波数は400kHzに制御される。また、この場合、一周期内でパルス電圧のレベルがHとなる期間が占める割合を示すDuty比(第1のDuty比)は50%以下であってよく、又は30%以下であってよい。 The frequency of the pulse voltage (first frequency) in the H period may be controlled to 100 kHz to 3.2 MHz. In one example, the first frequency is controlled to 400 kHz. Further, in this case, the duty ratio (first duty ratio) indicating the ratio of the period during which the pulse voltage level becomes H in one cycle may be 50% or less, or may be 30% or less.

また、周期的に供給されるパルス電圧の周波数、すなわち、H期間の周期を規定する周波数(第2の周波数)は、1kHz〜200kHz又は5Hz〜100kHzとしてよい。また、この場合、一周期内でH期間が占める割合を示すDuty比(第2のDuty比)は、50%〜90%であってよい。 Further, the frequency of the pulse voltage supplied periodically, that is, the frequency defining the period of the H period (second frequency) may be 1 kHz to 200 kHz or 5 Hz to 100 kHz. Further, in this case, the duty ratio (second duty ratio) indicating the ratio of the H period in one cycle may be 50% to 90%.

なお、例示的実施形態では、第1の高周波電力HFのパルスが供給される期間、パルス電圧が供給される期間及び第1の処理ガスが供給される期間が同期している場合について説明したが、これらは同期していなくてもよい。 In the exemplary embodiment, the case where the period in which the pulse of the first high frequency power HF is supplied, the period in which the pulse voltage is supplied, and the period in which the first processing gas is supplied are synchronized has been described. , These do not have to be synchronized.

工程ST12における静電チャック20の温度は特に制限されない。ただし、工程ST12の開始前に、静電チャック20の温度を低温、例えば0℃以下又は−50℃以下に調整することで、基板表面におけるエッチャントの吸着が促進されるため、エッチングレートを向上させることができる。なお、第1の処理ガスが、リン含有ガスを含む場合、第1の処理ガス中におけるリン含有ガスの比率に応じて、静電チャック20の温度は、50℃以下、30℃以下又は20℃以下としてもよい。 The temperature of the electrostatic chuck 20 in the step ST12 is not particularly limited. However, by adjusting the temperature of the electrostatic chuck 20 to a low temperature, for example, 0 ° C. or lower or −50 ° C. or lower before the start of the step ST12, the adsorption of the etchant on the substrate surface is promoted, so that the etching rate is improved. be able to. When the first processing gas contains a phosphorus-containing gas, the temperature of the electrostatic chuck 20 is 50 ° C. or lower, 30 ° C. or lower, or 20 ° C. depending on the ratio of the phosphorus-containing gas in the first processing gas. It may be as follows.

工程ST12の実行が終了すると、方法MT1は終了する。図4は、図1に示す基板処理方法を実行した後の一例の基板の部分拡大断面図である。方法MT1の実行により、図4に示すように、膜SFに、例えば下地層ULまで達するスペースSPが形成される。 When the execution of the step ST12 is completed, the method MT1 is completed. FIG. 4 is a partially enlarged cross-sectional view of an example substrate after executing the substrate processing method shown in FIG. By executing the method MT1, as shown in FIG. 4, a space SP reaching, for example, the underlying layer UL is formed in the film SF.

(実験1)
以下、方法MT1の評価のために行った実験1の結果について説明する。実験1では、図3に示す基板Wと同じ8つのサンプル基板を準備した。実験1では、プラズマ処理装置1を用いて、8つのサンプル基板の膜SFのプラズマエッチングを行った。プラズマエッチングでは、フルオロカーボンガス、ハイドロフルオロカーボンガス、炭素を含まないフッ素含有ガス及びハロゲン含有ガスを含む第1の処理ガスを用いた。8つのサンプル基板のうち第1のサンプル基板のプラズマエッチングに用いた第1の処理ガスは、フッ化水素ガスを含んでいなかった。8つのサンプル基板のうち第2〜第8のサンプル基板のプラズマエッチングに用いた第1の処理ガスでは、第1の処理ガスの全流量に対するフッ化水素ガスの流量は、それぞれ34.2体積%、51.0体積%、80.0体積%、95.2体積%、98.8体積%、99.5体積%、及び100体積%であった。なお、実験1では、プラズマエッチングの開始前に、サンプル基板を載置する静電チャック20の温度を−50℃以下の温度に調整した。
(Experiment 1)
Hereinafter, the results of Experiment 1 performed for the evaluation of Method MT1 will be described. In Experiment 1, eight sample substrates same as the substrate W shown in FIG. 3 were prepared. In Experiment 1, plasma etching of the film SF of eight sample substrates was performed using the plasma processing apparatus 1. In plasma etching, a first treatment gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a carbon-free fluorine-containing gas and a halogen-containing gas was used. Of the eight sample substrates, the first processing gas used for plasma etching of the first sample substrate did not contain hydrogen fluoride gas. In the first processing gas used for plasma etching of the second to eighth sample substrates among the eight sample substrates, the flow rate of the hydrogen fluoride gas with respect to the total flow rate of the first processing gas was 34.2% by volume, respectively. It was 51.0% by volume, 80.0% by volume, 95.2% by volume, 98.8% by volume, 99.5% by volume, and 100% by volume. In Experiment 1, the temperature of the electrostatic chuck 20 on which the sample substrate was placed was adjusted to a temperature of −50 ° C. or lower before the start of plasma etching.

実験1では、8つのサンプル基板の膜SFのプラズマエッチングの結果から、マスクMSKのエッチングに対する膜SFのエッチングの選択比を求めた。具体的に、8つのサンプル基板の膜SFのプラズマエッチングの結果から、膜SFのエッチングレートをマスクMSKのエッチングレートで除すことにより選択比を求めた。 In Experiment 1, the selection ratio of the etching of the film SF to the etching of the mask MSK was obtained from the results of plasma etching of the film SF of the eight sample substrates. Specifically, the selection ratio was obtained by dividing the etching rate of the film SF by the etching rate of the mask MSK from the results of plasma etching of the film SF of the eight sample substrates.

図6は、図1に示す基板処理方法の評価のために行った実験1の結果を示すグラフである。図6のグラフにおいて、横軸は、流量比を示している。流量比は、不活性ガスを除いた第1の処理ガスの全流量に占めるフッ化水素ガスの流量の割合(体積%)である。図6のグラフにおいて、縦軸は、選択比を示している。図6において、参照符号P1〜P8は、第1〜第8のサンプル基板の膜SFのプラズマエッチングの結果から求めた選択比を示している。 FIG. 6 is a graph showing the results of Experiment 1 performed for the evaluation of the substrate processing method shown in FIG. In the graph of FIG. 6, the horizontal axis indicates the flow rate ratio. The flow rate ratio is the ratio (% by volume) of the flow rate of hydrogen fluoride gas to the total flow rate of the first processing gas excluding the inert gas. In the graph of FIG. 6, the vertical axis indicates the selection ratio. In FIG. 6, reference numerals P1 to P8 indicate selection ratios obtained from the results of plasma etching of the film SF of the first to eighth sample substrates.

図6に示すように、実験1の結果、選択比は、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量の比率(以下、「流量比」という。)の増加に伴って増加することが確認された。特に、流量比が80体積%以上の領域では、流量比が80体積%未満の領域と比較して、選択比の増加率が大きい(図6のグラフの傾きが大きい)ことが確認される。この理由は、以下のように考えられる。流量比が80体積%未満の領域では、流量比の増加に伴い、シリコン含有膜のエッチング速度が上昇し、これによって選択比が増加する。ただし、この領域では、マスクも一定量がエッチングされるため、選択比の増加は比較的緩やかとなる。一方、流量比が80体積%以上の領域では、シリコン含有膜のエッチング速度は飽和傾向となるが、マスクのエッチング速度が低下し、これによって選択比が増加する。すなわち、流量比が80体積%以上の領域では、シリコン含有膜が高いエッチング速度を保ったままエッチングされる一方で、マスクがほとんどエッチングされなくなるため、選択比の増加率が大きくなる。 As shown in FIG. 6, as a result of Experiment 1, the selection ratio is the ratio of the flow rate of hydrogen fluoride gas to the total flow rate of the first processing gas excluding the inert gas (hereinafter referred to as “flow rate ratio”). It was confirmed that it increased with the increase. In particular, in the region where the flow rate ratio is 80% by volume or more, it is confirmed that the increase rate of the selection ratio is large (the slope of the graph in FIG. 6 is large) as compared with the region where the flow rate ratio is less than 80% by volume. The reason for this is considered as follows. In the region where the flow rate ratio is less than 80% by volume, the etching rate of the silicon-containing film increases as the flow rate ratio increases, thereby increasing the selection ratio. However, in this region, since a certain amount of mask is also etched, the increase in selection ratio is relatively gradual. On the other hand, in the region where the flow rate ratio is 80% by volume or more, the etching rate of the silicon-containing film tends to be saturated, but the etching rate of the mask decreases, which increases the selection ratio. That is, in the region where the flow rate ratio is 80% by volume or more, the silicon-containing film is etched while maintaining a high etching rate, while the mask is hardly etched, so that the rate of increase in the selection ratio is large.

また、図6から、フッ化水素ガスの流量が不活性ガスを除いた第1の処理ガスの全流量において70体積%以上を占める場合には、5以上の選択比が得られることがわかる。特に、フッ化水素ガスの流量が、不活性ガスを除いた第1の処理ガスの全流量において90体積%以上を占める場合には7以上の選択比が、95体積%以上を占める場合には7.5以上の選択比が得られることがわかる。 Further, from FIG. 6, it can be seen that when the flow rate of the hydrogen fluoride gas occupies 70% by volume or more in the total flow rate of the first processing gas excluding the inert gas, a selection ratio of 5 or more can be obtained. In particular, when the flow rate of hydrogen fluoride gas occupies 90% by volume or more in the total flow rate of the first processing gas excluding the inert gas, the selection ratio of 7 or more occupies 95% by volume or more. It can be seen that a selection ratio of 7.5 or more can be obtained.

(実験2)
実験2では、図3に示す基板Wと同じ3つのサンプル基板を準備した。実験2では、プラズマ処理装置1を用いて、3つのサンプル基板の膜SFのプラズマエッチングを行った。プラズマエッチングでは、フッ化水素ガス及び炭素含有ガスを含む第1の処理ガスを用いた。第9のサンプル基板に対しては、フッ化水素ガス及びフルオロカーボンガスを含む第1の処理ガスを用いた。第10のサンプル基板に対しては、フッ化水素ガス及び炭素数が1のハイドロフルオロカーボンガスを含む第1の処理ガスを用いた。第11のサンプル基板に対しては、フッ化水素ガス及び炭素数が4のハイドロフルオロカーボンガスを含む第1の処理ガスを用いた。なお、実験2では、プラズマエッチングの開始前に、サンプル基板を載置する静電チャック20の温度を−50℃以下の温度に調整した。
(Experiment 2)
In Experiment 2, the same three sample substrates as the substrate W shown in FIG. 3 were prepared. In Experiment 2, plasma etching of the film SF of the three sample substrates was performed using the plasma processing apparatus 1. In plasma etching, a first treatment gas containing hydrogen fluoride gas and a carbon-containing gas was used. For the ninth sample substrate, a first treatment gas containing hydrogen fluoride gas and fluorocarbon gas was used. For the tenth sample substrate, a first treatment gas containing hydrogen fluoride gas and a hydrofluorocarbon gas having one carbon atom was used. For the eleventh sample substrate, a first treatment gas containing hydrogen fluoride gas and a hydrofluorocarbon gas having 4 carbon atoms was used. In Experiment 2, the temperature of the electrostatic chuck 20 on which the sample substrate was placed was adjusted to a temperature of −50 ° C. or lower before the start of plasma etching.

実験2では、3つのサンプル基板の膜SFのプラズマエッチングの結果から、マスクMSKのエッチングに対する膜SFのエッチングの選択比を求めた。具体的に、3つのサンプル基板の膜SFのプラズマエッチングの結果から、膜SFのエッチングレートをマスクMSKのエッチングレートで除すことにより選択比を求めた。 In Experiment 2, the selection ratio of the etching of the film SF to the etching of the mask MSK was obtained from the results of plasma etching of the film SF of the three sample substrates. Specifically, the selection ratio was obtained by dividing the etching rate of the film SF by the etching rate of the mask MSK from the results of plasma etching of the film SF of the three sample substrates.

図7は、実験2の結果を示すグラフである。図7のグラフにおいて、横軸はサンプル基板を示している。図7のグラフにおいて、縦軸は、選択比を示している。図7において、参照符号Sub.9〜11は、第9〜第11のサンプル基板の膜SFのプラズマエッチングの結果から求めた選択比を示している。 FIG. 7 is a graph showing the results of Experiment 2. In the graph of FIG. 7, the horizontal axis indicates the sample substrate. In the graph of FIG. 7, the vertical axis indicates the selection ratio. In FIG. 7, reference numeral Sub. Reference numerals 9 to 11 indicate the selection ratios obtained from the results of plasma etching of the film SF of the 9th to 11th sample substrates.

図7に示すように、実験2の結果、いずれのサンプル基板においても選択比が6以上であることが確認された。特に、炭素数が4のハイドロフルオロカーボンガスを用いた第11のサンプル基板では選択比が14程度であり、3つのサンプル基板の中で選択比が最も高いことが確認された。 As shown in FIG. 7, as a result of Experiment 2, it was confirmed that the selection ratio was 6 or more in any of the sample substrates. In particular, it was confirmed that the selection ratio was about 14 in the eleventh sample substrate using the hydrofluorocarbon gas having 4 carbon atoms, and the selectivity was the highest among the three sample substrates.

(実験3及び実験4)
実験3では、プラズマ処理装置1を用い、フッ化水素ガス及びアルゴンガスの混合ガスである処理ガスからプラズマを生成して、シリコン酸化膜をエッチングした。実験4では、プラズマ処理装置1を用い、フッ化水素ガス、アルゴンガス、及びPFガスの混合ガスである処理ガスからプラズマを生成して、シリコン酸化膜をエッチングした。実験3及び実験4では、静電チャック20の温度を変更しながら、シリコン酸化膜をエッチングした。実験3及び実験4では、四重極型質量分析計を用いて、シリコン酸化膜のエッチング時の気相中のフッ化水素(HF)の量とSiFの量を測定した。図8(a)及び図8(b)に実験3及び実験4の結果を示す。図8(a)は、実験3におけるシリコン酸化膜のエッチング時の静電チャック20の温度とフッ化水素(HF)の量及びSiFの量の各々との関係を示している。また、図8(b)は、実験4におけるシリコン酸化膜のエッチング時の静電チャック20の温度とフッ化水素(HF)の量及びSiFの量の各々との関係を示している。
(Experiment 3 and Experiment 4)
In Experiment 3, plasma was generated from a processing gas which is a mixed gas of hydrogen fluoride gas and argon gas, and a silicon oxide film was etched by using the plasma processing apparatus 1. In Experiment 4, a plasma processing apparatus 1 was used to generate plasma from a processing gas which is a mixed gas of hydrogen fluoride gas, argon gas, and PF 3 gas, and etch the silicon oxide film. In Experiments 3 and 4, the silicon oxide film was etched while changing the temperature of the electrostatic chuck 20. In Experiments 3 and 4, the amount of hydrogen fluoride (HF) and the amount of SiF 3 in the gas phase during etching of the silicon oxide film were measured using a quadrupole mass spectrometer. 8 (a) and 8 (b) show the results of Experiment 3 and Experiment 4. FIG. 8A shows the relationship between the temperature of the electrostatic chuck 20 and the amount of hydrogen fluoride (HF) and the amount of SiF 3 at the time of etching the silicon oxide film in Experiment 3. Further, FIG. 8B shows the relationship between the temperature of the electrostatic chuck 20 and the amount of hydrogen fluoride (HF) and the amount of SiF 3 at the time of etching the silicon oxide film in Experiment 4.

図8(a)に示すように、実験3では、静電チャック20の温度が約−60℃以下の温度である場合に、エッチャントであるフッ化水素(HF)の量が減少し、シリコン酸化膜のエッチングにより生成される反応生成物であるSiFの量が増加していた。すなわち、実験3では、静電チャック20の温度が約−60℃以下の温度である場合に、シリコン酸化膜のエッチングにおいて利用されるエッチャントの量が増加していた。一方、図8(b)に示すように、実験4では、静電チャック20の温度が20℃以下の温度である場合に、フッ化水素(HF)の量が減少し、SiFの量が増加していた。すなわち、実験4では、静電チャック20の温度が20℃以下の温度である場合に、シリコン酸化膜のエッチングにおいて利用されるエッチャントの量が増加していた。実験4で用いた処理ガスはPFガスを含んでいる点で、実験3で用いた処理ガス異なっている。実験4では、シリコン酸化膜のエッチング時に、シリコン酸化膜の表面にリン化学種が存在する状態が形成されていた。これより、リン化学種がシリコン酸化膜の表面に存在する状態では、静電チャック20の温度が20℃以下の比較的高い温度であっても、エッチャントのシリコン酸化膜への吸着が促進されていたことが理解できる。このことから、リン化学種が基板の表面に存在する状態では、開口(凹部)の底へのエッチャントの供給が促進されて、シリコン含有膜のエッチングレートが高められることが確認された。 As shown in FIG. 8A, in Experiment 3, when the temperature of the electrostatic chuck 20 is about -60 ° C. or lower, the amount of hydrogen fluoride (HF) which is an etchant decreases and silicon oxidation occurs. The amount of SiF 3 , which is a reaction product produced by etching the film, was increased. That is, in Experiment 3, when the temperature of the electrostatic chuck 20 was about −60 ° C. or lower, the amount of etchant used in etching the silicon oxide film increased. On the other hand, as shown in FIG. 8B, in Experiment 4, when the temperature of the electrostatic chuck 20 is 20 ° C. or lower, the amount of hydrogen fluoride (HF) decreases and the amount of SiF 3 increases. It was increasing. That is, in Experiment 4, when the temperature of the electrostatic chuck 20 was 20 ° C. or lower, the amount of etchant used in etching the silicon oxide film increased. The processing gas used in Experiment 4 is different from the processing gas used in Experiment 3 in that it contains PF 3 gas. In Experiment 4, when the silicon oxide film was etched, a state in which phosphorus chemical species were present on the surface of the silicon oxide film was formed. From this, in the state where the phosphorus chemical species is present on the surface of the silicon oxide film, the adsorption of the etchant to the silicon oxide film is promoted even if the temperature of the electrostatic chuck 20 is a relatively high temperature of 20 ° C. or less. I can understand that. From this, it was confirmed that in the state where the phosphorus chemical species are present on the surface of the substrate, the supply of the etchant to the bottom of the opening (recess) is promoted and the etching rate of the silicon-containing film is increased.

[第2の実施形態]
第1の実施形態に係る基板処理方法において、処理回数が増加するにつれて、チャンバ10の内壁や支持台14等に付着する反応生成物の付着量が増加する。反応生成物の付着量が増加すると処理環境が変わるため、基板W間での処理の均一性が悪化することがある。また、反応生成物の付着量の増加は、パーティクルの発生要因になる。そこで、クリーニングガスをプラズマ化させたプラズマによりチャンバ内をクリーニングすることが行われる。
[Second Embodiment]
In the substrate processing method according to the first embodiment, as the number of treatments increases, the amount of reaction products adhering to the inner wall of the chamber 10, the support base 14, and the like increases. When the amount of the reaction product adhered increases, the treatment environment changes, so that the uniformity of the treatment between the substrates W may deteriorate. In addition, an increase in the amount of adhesion of the reaction product becomes a factor in generating particles. Therefore, the inside of the chamber is cleaned by plasma obtained by turning the cleaning gas into plasma.

図9は、第2の実施形態に係る基板処理方法の一例を示すフローチャートである。図9に示す方法MT2は、方法MT1と同様に、シリコン含有膜をエッチングするために実行される。工程ST21及び工程ST22は、上述した方法MT1の工程ST11及び工程ST12と同様であるため、ここでの説明は省略する。 FIG. 9 is a flowchart showing an example of the substrate processing method according to the second embodiment. The method MT2 shown in FIG. 9 is performed to etch the silicon-containing film in the same manner as the method MT1. Since the process ST21 and the process ST22 are the same as the process ST11 and the process ST12 of the method MT1 described above, the description thereof is omitted here.

図9に示すように、方法MT2は、工程ST23をさらに含む。工程ST23は、工程ST22の後に実行される。工程ST23では、チャンバ10内において第2の処理ガス(クリーニングガス)からプラズマが生成される。工程ST23では、このプラズマからの化学種により、チャンバ10内がクリーニングされる。工程23の処理時間は、通常、プラズマの発光状態をモニタすることにより決定される。第2実施形態によれば、従来技術と比較して、クリーニング時間を50%以下に短縮することができ、基板処理のスループットを改善することができる。 As shown in FIG. 9, method MT2 further comprises step ST23. Step ST23 is executed after step ST22. In step ST23, plasma is generated from the second processing gas (cleaning gas) in the chamber 10. In step ST23, the inside of the chamber 10 is cleaned by the chemical species from this plasma. The processing time of step 23 is usually determined by monitoring the emission state of the plasma. According to the second embodiment, the cleaning time can be shortened to 50% or less and the throughput of substrate processing can be improved as compared with the prior art.

工程ST23で使用する第2の処理ガスは、例えば、フッ素含有ガス、酸素含有ガス、水素含有ガス、及び窒素含有ガスからなる群から選択される少なくとも1種を含んでもよい。フッ素含有ガスとしては、例えば、CF、SF又はNFを使用することができる。酸素含有ガスとしては、例えば、O、CO、CO、HO又はHを使用することができる。水素含有ガスとしては、例えば、H又はHClを使用することができる。窒素含有ガスとしては、例えば、Nを使用することができる。上記のほか、第2の処理ガスには、Ar等の希ガス等が含まれてもよい。 The second processing gas used in step ST23 may contain, for example, at least one selected from the group consisting of a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas. As the fluorine-containing gas, for example, CF 4 , SF 6 or NF 3 can be used. As the oxygen-containing gas, for example, O 2 , CO, CO 2 , H 2 O or H 2 O 2 can be used. As the hydrogen-containing gas, for example, H 2 or HCl can be used. As the nitrogen-containing gas, for example, N 2 can be used. In addition to the above, the second treatment gas may contain a rare gas such as Ar.

工程ST23は、基板Wを1枚処理する毎に実行してもよいが、所定枚数又は所定ロット数の基板Wを処理した後に実行してもよい。あるいは、所定時間の基板処理後に実行してもよい。 The step ST23 may be executed every time one substrate W is processed, or may be executed after processing a predetermined number of substrates W or a predetermined number of lots of substrates W. Alternatively, it may be executed after the substrate processing for a predetermined time.

[第3実施形態]
第1の実施形態及び第2の実施形態では、いずれも第1の処理ガスにはフッ化水素ガスが含まれる。フッ化水素ガスは腐食性の高いガスであるため、エッチング工程の前に、チャンバ10の内壁にプリコートを形成することが好ましい。特に、フッ化水素ガスが高濃度で使用する場合には、チャンバ10の内壁にプリコートを形成し、チャンバ10の内壁の腐食を抑制することで、メンテナンス頻度を低減することができる。ここで、チャンバ10の内壁には、チャンバ10の側壁及び天井(上部電極30の天板34)のほか、支持台14等が含まれる。
[Third Embodiment]
In both the first embodiment and the second embodiment, the first processing gas contains hydrogen fluoride gas. Since hydrogen fluoride gas is a highly corrosive gas, it is preferable to form a precoat on the inner wall of the chamber 10 before the etching step. In particular, when hydrogen fluoride gas is used at a high concentration, the maintenance frequency can be reduced by forming a precoat on the inner wall of the chamber 10 and suppressing corrosion of the inner wall of the chamber 10. Here, the inner wall of the chamber 10 includes a side wall and a ceiling of the chamber 10 (top plate 34 of the upper electrode 30), a support base 14, and the like.

プリコート膜は、シリコン酸化膜等のシリコン含有膜、又はマスクMSKの材料と同種の材料で形成されてもよい。マスクMSKがカーボン含有マスクである場合、プリコートはカーボン含有材料により形成されてよい。カーボン含有材料には、例えば、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種が含まれる。マスクMSKが、ホウ素含有マスクである場合、プリコートはホウ素含有材料により形成されてよい。ホウ素含有材料としては、ホウ素含有材料は、例えば、水素化ホウ素シリコン、窒化ホウ素、および炭化ホウ素からなる群から選択される少なくとも一種が含まれる。 The precoat film may be formed of a silicon-containing film such as a silicon oxide film, or a material of the same type as the material of the mask MSK. When the mask MSK is a carbon-containing mask, the precoat may be formed of a carbon-containing material. The carbon-containing material includes, for example, at least one selected from the group consisting of spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide. When the mask MSK is a boron-containing mask, the precoat may be formed of a boron-containing material. As the boron-containing material, the boron-containing material includes, for example, at least one selected from the group consisting of silicon borohydride, boron nitride, and boron carbide.

図10は、第3の実施形態に係る基板処理方法の一例を示すフローチャートである。図10に示す方法MT3は、方法MT1と同様に、シリコン含有膜をエッチングするために実行される。工程ST31及び工程ST32は、上述した方法MT1の工程ST11及び工程ST12と同様であるため、ここでの説明は省略する。 FIG. 10 is a flowchart showing an example of the substrate processing method according to the third embodiment. The method MT3 shown in FIG. 10 is performed to etch the silicon-containing film in the same manner as the method MT1. Since the process ST31 and the process ST32 are the same as the process ST11 and the process ST12 of the method MT1 described above, the description thereof is omitted here.

図10に示すように、方法MT2は、工程ST30をさらに含む。工程ST30は、工程ST31の前に実行される。工程ST30では、チャンバ10内において第3の処理ガス(プリコートガス)からプラズマが生成される。工程ST30では、このプラズマからの化学種により、チャンバ10の内壁にプリコートを形成する。 As shown in FIG. 10, method MT2 further comprises step ST30. Step ST30 is executed before step ST31. In step ST30, plasma is generated from the third processing gas (precoat gas) in the chamber 10. In step ST30, the chemical species from this plasma form a precoat on the inner wall of chamber 10.

プリコートは、第3の処理ガスを用いてChemical Vapor Deposition (CVD)やAtomic Layer Deposition (ALD)により形成することができる。例えば、プリコートとしてシリコン酸化膜を成膜する場合、第3の処理ガスとしてSiClやアミノシラン系ガス等のシリコン含有ガスと、O等の酸素含有ガス等を用いることができる。また、プリコートとして、カーボン膜を形成する場合、第3の処理ガスとして、CH、C等の炭素含有ガスを用いることができる。 The precoat can be formed by Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD) using a third treatment gas. For example, the case of forming a silicon oxide film as a pre-coating, it is possible to use a silicon-containing gas such as SiCl 4 and aminosilane-based gas as the third process gas, an oxygen-containing gas or the like such as O 2. When a carbon film is formed as the precoat, a carbon-containing gas such as CH 4 or C 2 H 2 can be used as the third treatment gas.

工程ST33は、基板Wを1枚処理する毎に実行してもよいが、所定枚数又は所定ロット数の基板Wを処理した後に実行してもよい。あるいは、所定時間の基板処理後に実行してもよい。 The step ST33 may be executed every time one substrate W is processed, or may be executed after processing a predetermined number of substrates W or a predetermined number of lots of substrates W. Alternatively, it may be executed after the substrate processing for a predetermined time.

なお、プリコートを形成する工程は、図11の第3の実施形態に係る基板処理方法の別の例に示すようにクリーニング工程と組み合わせて実行してもよい。これにより、パーティクルの発生と、チャンバ10の内壁の腐食とを同時に抑制することができる。 The step of forming the precoat may be performed in combination with the cleaning step as shown in another example of the substrate processing method according to the third embodiment of FIG. As a result, the generation of particles and the corrosion of the inner wall of the chamber 10 can be suppressed at the same time.

以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な省略、置換、及び変更がなされてもよい。また、異なる例示的実施形態における要素を組み合わせて他の例示的実施形態を形成することが可能である。 Although various exemplary embodiments have been described above, various omissions, substitutions, and changes may be made without being limited to the above-mentioned exemplary embodiments. It is also possible to combine elements from different exemplary embodiments to form other exemplary embodiments.

例えば、方法MT1〜4において用いられるプラズマ処理装置は、プラズマ処理装置1とは別のプラズマ処理装置であってもよい。方法MT1〜4において用いられるプラズマ処理装置は、別の容量結合型のプラズマ処理装置、誘導結合型のプラズマ処理装置、又はマイクロ波といった表面波を用いてプラズマを生成するプラズマ処理装置であってもよい。 For example, the plasma processing apparatus used in the methods MT1 to MT4 may be a plasma processing apparatus different from the plasma processing apparatus 1. The plasma processing apparatus used in Methods MT1 to MT4 may be another capacitively coupled plasma processing apparatus, an inductively coupled plasma processing apparatus, or a plasma processing apparatus that generates plasma using a surface wave such as a microwave. good.

また、上述したようにフッ化水素ガスは腐食性の高いガスである。このため、処理段階に応じて、フッ化水素ガスの流量比や、第1の処理ガスに添加するガスの種類を変更してもよい。一例では、マスクの厚さを維持する必要がないエッチング終期では、マスクの厚さを維持する必要があるエッチング初期から中期よりもフッ化水素ガスの流量比を低くしてもよい。他の例では、ボーイング等の形状異常が発生しやすい低アスペクト比領域のエッチングでは、高アスペクト比領域のエッチングと比べて、側壁保護効果を有するガスの流量比を多くしてもよい。また、エッチング後の形状を、光学的観察装置等でモニタし、その形状に応じて、フッ化水素ガスの流量比、第1の処理ガスに添加するガスの種類又は流量比を変更してもよい。 Further, as described above, hydrogen fluoride gas is a highly corrosive gas. Therefore, the flow rate ratio of the hydrogen fluoride gas and the type of gas added to the first treatment gas may be changed according to the treatment stage. In one example, at the end of etching where it is not necessary to maintain the thickness of the mask, the flow rate ratio of hydrogen fluoride gas may be lower than in the early to middle stages of etching where it is necessary to maintain the thickness of the mask. In another example, in etching in a low aspect ratio region where shape abnormalities such as Boeing are likely to occur, the flow rate ratio of the gas having a side wall protection effect may be increased as compared with etching in a high aspect ratio region. Further, the shape after etching may be monitored by an optical observation device or the like, and the flow rate ratio of hydrogen fluoride gas, the type or flow rate ratio of the gas added to the first processing gas may be changed according to the shape. good.

また、開示する実施形態は、以下の態様をさらに含む。 In addition, the disclosed embodiments further include the following aspects.

(付記1)
チャンバ内に、シリコン酸化膜を含むシリコン含有膜と、シリコン含有膜上にマスクを有する基板を提供する工程と、
前記基板が載置される基板支持体の温度を0℃以下に制御する工程と、
前記チャンバ内において、フッ化水素ガス、並びにCガス、Cガス及びCガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、前記シリコン含有膜をエッチングする工程と、
を含み、
不活性ガスを除いた前記第1の処理ガスの中で前記フッ化水素ガスの流量が最も多い、
基板処理方法。
(Appendix 1)
A step of providing a silicon-containing film containing a silicon oxide film and a substrate having a mask on the silicon-containing film in the chamber.
A step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower, and
A first containing hydrogen fluoride gas and at least one carbon-containing gas selected from the group consisting of C 4 F 8 gas, C 3 H 2 F 4 gas and C 4 H 2 F 6 gas in the chamber. The step of etching the silicon-containing film with the plasma generated from the processing gas of
Including
Among the first treated gases excluding the inert gas, the hydrogen fluoride gas has the highest flow rate.
Board processing method.

(付記2)
前記第1の処理ガスは、酸素含有ガス、ハロゲン含有ガス及びリン含有ガスからなる群から選択される少なくとも1種の添加ガスをさらに含む、(付記1)に記載の基板処理方法。
(Appendix 2)
The substrate treatment method according to (Appendix 1), wherein the first treatment gas further contains at least one additive gas selected from the group consisting of an oxygen-containing gas, a halogen-containing gas, and a phosphorus-containing gas.

(付記3)
フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含み、不活性ガスを除いた全流量に対する前記フッ化水素ガスの流量が70体積%以上である、エッチングガス組成物。
(Appendix 3)
The flow rate of the hydrogen fluoride gas contains at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas, and the flow rate of the hydrogen fluoride gas is 70% by volume or more with respect to the total flow rate excluding the inert gas. Is an etching gas composition.

(付記4)
前記フルオロカーボンガスは、CF、C、C、C、C、C及びCからなる群から選択される少なくとも1種である、(付記3)にエッチングガス組成物。
(Appendix 4)
The fluorocarbon gas is at least one selected from the group consisting of CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 and C 5 F 8. Etching gas composition in (Appendix 3).

(付記5)
前記フルオロカーボンガスは、Cガスである、(付記3)に記載のエッチングガス組成物。
(Appendix 5)
The fluorocarbon gas is C 4 F 8 gas, the etching gas composition according to (Note 3).

(付記6)
前記ハイドロフルオロカーボンガスは、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C及びCからなる群から選択される少なくとも1種である、(付記3)に記載のエッチングガス組成物。
(Appendix 6)
The hydrofluorocarbon gas is CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2 , C 3 HF 7 , C. 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 H 2 F 10 , c- The etching gas composition according to (Appendix 3), which is at least one selected from the group consisting of C 5 H 3 F 7 and C 3 H 2 F 4.

(付記7)
前記ハイドロフルオロカーボンガスは、Cガス及びCガスからなる群から選択される少なくとも1種である、(付記3)に記載のエッチングガス組成物。
(Appendix 7)
The etching gas composition according to (Appendix 3), wherein the hydrofluorocarbon gas is at least one selected from the group consisting of C 3 H 2 F 4 gas and C 4 H 2 F 6 gas.

(付記8)
酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種をさらに含む、(付記3)〜(付記7)のいずれか一項に記載のエッチングガス組成物。
(Appendix 8)
The etching gas composition according to any one of (Appendix 3) to (Appendix 7), further comprising at least one selected from the group consisting of an oxygen-containing gas and a halogen-containing gas.

(付記9)
リン含有ガス、硫黄含有ガス及びホウ素含有ガスからなる群から選択される少なくとも1種をさらに含む、(付記3)〜(付記8)のいずれか一項に記載のエッチングガス組成物。
(Appendix 9)
The etching gas composition according to any one of (Appendix 3) to (Appendix 8), further comprising at least one selected from the group consisting of a phosphorus-containing gas, a sulfur-containing gas and a boron-containing gas.

(付記10)
前記不活性ガスを除いた全流量に対する前記フッ化水素ガスの流量は96体積%以下である、(付記3)〜(付記9)のいずれか一項に記載のエッチングガス組成物。
(Appendix 10)
The etching gas composition according to any one of (Appendix 3) to (Appendix 9), wherein the flow rate of the hydrogen fluoride gas is 96% by volume or less with respect to the total flow rate excluding the inert gas.

(付記11)
(付記3)〜(付記10)のいずれか一項に記載のエッチングガス組成物に用いるためのフッ化水素ガス。
(Appendix 11)
Hydrogen fluoride gas for use in the etching gas composition according to any one of (Appendix 3) to (Appendix 10).

以上の説明から、本開示の種々の例示的実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の例示的実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。 From the above description, it is understood that the various exemplary embodiments of the present disclosure are described herein for purposes of explanation and that various modifications can be made without departing from the scope and gist of the present disclosure. Will be done. Accordingly, the various exemplary embodiments disclosed herein are not intended to be limiting, and the true scope and gist is set forth by the appended claims.

1…プラズマ処理装置、10…チャンバ、W…基板、SF…膜、MSK…マスク。

1 ... Plasma processing device, 10 ... Chamber, W ... Substrate, SF ... Membrane, MSK ... Mask.

Claims (27)

チャンバ内に、シリコン酸化膜を含むシリコン含有膜と、前記シリコン含有膜上にマスクとを有する基板を提供する工程と、
前記基板が載置される基板支持体の温度を0℃以下に制御する工程と、
フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、前記シリコン含有膜をエッチングする工程と、
を含み、
不活性ガスを除いた前記第1の処理ガスの中で前記フッ化水素ガスの流量が最も多い、
基板処理方法。
A step of providing a substrate having a silicon-containing film containing a silicon oxide film and a mask on the silicon-containing film in the chamber.
A step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower, and
A step of etching the silicon-containing film with a plasma generated from a first treatment gas containing at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas.
Including
Among the first treated gases excluding the inert gas, the hydrogen fluoride gas has the highest flow rate.
Board processing method.
不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量は70体積%以上である、請求項1に記載の基板処理方法。 The substrate processing method according to claim 1, wherein the flow rate of the hydrogen fluoride gas is 70% by volume or more with respect to the total flow rate of the first processing gas excluding the inert gas. 前記フルオロカーボンガスは、CF、C、C、C、C、C及びCからなる群から選択される少なくとも1種である、請求項1又は2に記載の基板処理方法。 The fluorocarbon gas is at least one selected from the group consisting of CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 and C 5 F 8. The substrate processing method according to claim 1 or 2. 前記フルオロカーボンガスは、Cガスである、請求項1又は2に記載の基板処理方法。 The fluorocarbon gas is C 4 F 8 gas, a substrate processing method according to claim 1 or 2. 前記ハイドロフルオロカーボンガスは、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C及びCからなる群から選択される少なくとも1種である、請求項1又は2に記載の基板処理方法。 The hydrofluorocarbon gas is CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2 , C 3 HF 7 , C. 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 H 2 F 10 , c- The substrate processing method according to claim 1 or 2, which is at least one selected from the group consisting of C 5 H 3 F 7 and C 3 H 2 F 4. 前記ハイドロフルオロカーボンガスは、Cガス及びCガスからなる群から選択される少なくとも1種である、請求項1又は2に記載の基板処理方法。 The substrate treatment method according to claim 1 or 2, wherein the hydrofluorocarbon gas is at least one selected from the group consisting of C 3 H 2 F 4 gas and C 4 H 2 F 6 gas. 前記第1の処理ガスは、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種をさらに含む、請求項1〜6のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 1 to 6, wherein the first processing gas further contains at least one selected from the group consisting of an oxygen-containing gas and a halogen-containing gas. 前記第1の処理ガスは、リン含有ガス、硫黄含有ガス及びホウ素含有ガスからなる群から選択される少なくとも1種をさらに含む、請求項1〜7のいずれか一項に記載の基板処理方法。 The substrate treatment method according to any one of claims 1 to 7, wherein the first treatment gas further comprises at least one selected from the group consisting of a phosphorus-containing gas, a sulfur-containing gas, and a boron-containing gas. 前記不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量は96体積%以下である、請求項1〜8のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 1 to 8, wherein the flow rate of the hydrogen fluoride gas is 96% by volume or less with respect to the total flow rate of the first processing gas excluding the inert gas. 前記シリコン含有膜は、シリコン酸化膜、シリコン酸化膜及びシリコン窒化膜を含む積層膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種である、請求項1〜9のいずれか一項に記載の基板処理方法。 The silicon-containing film is at least one selected from the group consisting of a silicon oxide film, a laminated film containing a silicon oxide film and a silicon nitride film, and a laminated film including a silicon oxide film and a polysilicon film, claim 1 to 1. 9. The substrate processing method according to any one of 9. 前記マスクは、炭素含有マスク又は金属含有マスクである、請求項1〜10のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 1 to 10, wherein the mask is a carbon-containing mask or a metal-containing mask. 前記炭素含有マスクは、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成される、請求項11に記載の基板処理方法。 The substrate treatment method according to claim 11, wherein the carbon-containing mask is formed from at least one selected from the group consisting of spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide. 前記チャンバ内において、第2の処理ガスからプラズマを生成し、前記チャンバ内をクリーニングする工程をさらに含む、請求項1〜12のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 1 to 12, further comprising a step of generating plasma from the second processing gas in the chamber and cleaning the inside of the chamber. 前記第2の処理ガスは、フッ素含有ガス、酸素含有ガス、水素含有ガス及び窒素含有ガスからなる群から選択される少なくとも1種を含む、請求項13に記載の基板処理方法。 The substrate processing method according to claim 13, wherein the second processing gas contains at least one selected from the group consisting of a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas. 前記基板を提供する工程の前に、前記チャンバ内において、第3の処理ガスからプラズマを生成し、前記チャンバの内壁にプリコートを形成する工程をさらに含む、請求項1〜14のいずれか一項に記載の基板処理方法。 Any one of claims 1-14, further comprising the step of generating plasma from a third processing gas in the chamber to form a precoat on the inner wall of the chamber prior to the step of providing the substrate. The substrate processing method described in 1. 前記第3の処理ガスは、炭素含有ガスを含む、請求項15に記載の基板処理方法。 The substrate processing method according to claim 15, wherein the third processing gas contains a carbon-containing gas. チャンバ内に、シリコン含有膜、並びに前記シリコン含有膜上にマスクを有する基板を提供する工程と、
フッ化水素ガスを含む第1の処理ガスから生成したプラズマにより、前記シリコン含有膜をエッチングする工程と、
を含み、
不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量は70体積%以上96体積%以下である、
基板処理方法。
A step of providing a silicon-containing film and a substrate having a mask on the silicon-containing film in the chamber.
The step of etching the silicon-containing film with the plasma generated from the first processing gas containing hydrogen fluoride gas, and
Including
The flow rate of the hydrogen fluoride gas with respect to the total flow rate of the first processing gas excluding the inert gas is 70% by volume or more and 96% by volume or less.
Board processing method.
前記第1の処理ガスは、炭素含有ガス、並びに酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも1種を含む、請求項17に記載の基板処理方法。 The substrate processing method according to claim 17, wherein the first processing gas comprises at least one selected from the group consisting of a carbon-containing gas and an oxygen-containing gas and a halogen-containing gas. 前記炭素含有ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスからなる群から選択される少なくとも一種を含む、請求項18に記載の基板処理方法。 The substrate treatment method according to claim 18, wherein the carbon-containing gas comprises at least one selected from the group consisting of fluorocarbon gas, hydrofluorocarbon gas, and hydrocarbon gas. 前記フルオロカーボンガスは、CF、C、C、C、C、C及びCからなる群から選択される少なくとも1種である、請求項19に記載の基板処理方法。 The fluorocarbon gas is at least one selected from the group consisting of CF 4 , C 2 F 2 , C 2 F 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 and C 5 F 8. The substrate processing method according to claim 19. 前記ハイドロフルオロカーボンガスは、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C、C、C10、c−C及びCからなる群から選択される少なくとも1種である、請求項19に記載の基板処理方法。 The hydrofluorocarbon gas is CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , C 2 H 3 F 3 , C 2 H 4 F 2 , C 3 HF 7 , C. 3 H 2 F 2 , C 3 H 2 F 6 , C 3 H 2 F 4 , C 3 H 3 F 5 , C 4 H 5 F 5 , C 4 H 2 F 6 , C 5 H 2 F 10 , c- The substrate processing method according to claim 19, which is at least one selected from the group consisting of C 5 H 3 F 7 and C 3 H 2 F 4. 前記ハイドロカーボンガスは、CH、C、C、C及びC10からなる群から選択される少なくとも1種である、請求項19に記載の基板処理方法。 The substrate treatment method according to claim 19, wherein the hydrocarbon gas is at least one selected from the group consisting of CH 4 , C 2 H 6 , C 3 H 6 , C 3 H 8 and C 4 H 10. .. 前記炭素含有ガスは、炭素数が3以上のハイドロフルオロカーボンガスである、請求項18に記載の基板処理方法。 The substrate processing method according to claim 18, wherein the carbon-containing gas is a hydrofluorocarbon gas having 3 or more carbon atoms. 前記シリコン含有膜は、シリコン酸化膜及びシリコン窒化膜を含む積層膜、ポリシリコン膜、低誘電率膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種である、請求項17〜23のいずれか一項に記載の基板処理方法。 The silicon-containing film is at least one selected from the group consisting of a laminated film containing a silicon oxide film and a silicon nitride film, a polysilicon film, a low dielectric constant film, and a laminated film including a silicon oxide film and a polysilicon film. , The substrate processing method according to any one of claims 17 to 23. 前記マスクは、炭素含有マスク又は金属含有マスクである、請求項17〜24のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 17 to 24, wherein the mask is a carbon-containing mask or a metal-containing mask. 前記エッチングする工程の前に、前記基板が載置される基板支持体の温度を0℃以下に調整する工程をさらに備える、請求項17〜25のいずれか一項 に記載の基板処理方法。 The substrate processing method according to any one of claims 17 to 25, further comprising a step of adjusting the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower before the etching step. ガス供給口及びガス排出口を有するチャンバと、
プラズマ生成部と、
制御部と、
を含むプラズマ処理装置であって、
前記制御部は、
前記チャンバ内に、シリコン酸化膜を含むシリコン含有膜と、前記シリコン含有膜上に設けられた炭素含有マスクとを有する基板を配置する工程と、
前記基板が載置される基板支持体の温度を0℃以下に制御する工程と、
フッ化水素ガス、並びにフルオロカーボンガス及びハイドロフルオロカーボンガスからなる群から選択される少なくとも1種の炭素含有ガスを含む第1の処理ガスから生成したプラズマにより、前記シリコン含有膜をエッチングする工程と、
を含む処理を実行し、
前記エッチングする工程において、不活性ガスを除いた前記第1の処理ガスの中で前記フッ化水素ガスの流量が最も多くなるように制御する、
プラズマ処理装置。

A chamber with a gas supply port and a gas discharge port,
Plasma generator and
Control unit and
Is a plasma processing device including
The control unit
A step of arranging a substrate having a silicon-containing film containing a silicon oxide film and a carbon-containing mask provided on the silicon-containing film in the chamber.
A step of controlling the temperature of the substrate support on which the substrate is placed to 0 ° C. or lower, and
A step of etching the silicon-containing film with a plasma generated from a first treatment gas containing at least one carbon-containing gas selected from the group consisting of hydrogen fluoride gas and fluorocarbon gas and hydrofluorocarbon gas.
Executes the process including
In the etching step, the flow rate of the hydrogen fluoride gas is controlled to be the largest among the first processing gas excluding the inert gas.
Plasma processing equipment.

JP2021163469A 2020-04-30 2021-10-04 Substrate processing method and plasma processing apparatus Pending JP2022002337A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063017998P 2020-04-30 2020-04-30
US63/017,998 2020-04-30
US17/092,376 US11342194B2 (en) 2019-11-25 2020-11-09 Substrate processing method and substrate processing apparatus
US17/092,376 2020-11-09
JP2021046015A JP6956288B2 (en) 2020-04-30 2021-03-19 Substrate processing method, plasma processing equipment, and etching gas composition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021046015A Division JP6956288B2 (en) 2020-04-30 2021-03-19 Substrate processing method, plasma processing equipment, and etching gas composition

Publications (2)

Publication Number Publication Date
JP2022002337A true JP2022002337A (en) 2022-01-06
JP2022002337A5 JP2022002337A5 (en) 2024-04-24

Family

ID=75639668

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021163469A Pending JP2022002337A (en) 2020-04-30 2021-10-04 Substrate processing method and plasma processing apparatus

Country Status (6)

Country Link
EP (1) EP3905307A1 (en)
JP (1) JP2022002337A (en)
KR (1) KR20220150845A (en)
CN (1) CN113594032A (en)
SG (1) SG10202103960VA (en)
TW (1) TW202209474A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024048543A1 (en) * 2022-08-30 2024-03-07 東京エレクトロン株式会社 Plasma treatment method and plasma treatment device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7257088B1 (en) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 Plasma processing method and plasma processing system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6066823A (en) * 1983-09-22 1985-04-17 Semiconductor Energy Lab Co Ltd Etching method of semiconductor
JPH06168914A (en) * 1992-05-13 1994-06-14 Tokyo Electron Ltd Etching process
JP6423643B2 (en) 2014-08-08 2018-11-14 東京エレクトロン株式会社 Method for etching a multilayer film
WO2020150100A1 (en) * 2019-01-18 2020-07-23 Tokyo Electron Limited Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024048543A1 (en) * 2022-08-30 2024-03-07 東京エレクトロン株式会社 Plasma treatment method and plasma treatment device

Also Published As

Publication number Publication date
SG10202103960VA (en) 2021-11-29
EP3905307A1 (en) 2021-11-03
TW202209474A (en) 2022-03-01
KR20220150845A (en) 2022-11-11
CN113594032A (en) 2021-11-02

Similar Documents

Publication Publication Date Title
TWI624870B (en) Procedure for etch rate consistency
JP6956288B2 (en) Substrate processing method, plasma processing equipment, and etching gas composition
JP6737899B2 (en) Plasma processing process for improving in-situ chamber cleaning efficiency in plasma processing chamber
US9384997B2 (en) Dry-etch selectivity
TWI625824B (en) Air gaps between copper lines
TWI556305B (en) Selective etch of silicon by way of metastable hydrogen termination
KR101909556B1 (en) Dry-etch for silicon-and-nitrogen-containing films
TWI661461B (en) Plasma processing method and plasma processing device
JP2019046994A (en) Etching method
JP2021090039A (en) Substrate processing method and plasma processing machine
KR20220150845A (en) Substrate processing method and plasma processing apparatus
JP2016219771A (en) Etching method
WO2022055876A1 (en) Systems and methods for aluminum-containing film removal
KR20140121357A (en) Plasma processing method and plasma processing apparatus
KR20160134537A (en) Etching method
US20220246443A1 (en) Substrate processing method and substrate processing apparatus
US20230170189A1 (en) Etching method and plasma processing apparatus
JP2019117876A (en) Etching method
CN112838002A (en) Substrate processing method and plasma processing apparatus
WO2022230118A1 (en) Etching method
JP2022077710A (en) Etching method
KR20240006488A (en) Substrate processing method and substrate processing device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240416