CN112838002A - Substrate processing method and plasma processing apparatus - Google Patents

Substrate processing method and plasma processing apparatus Download PDF

Info

Publication number
CN112838002A
CN112838002A CN202011285147.1A CN202011285147A CN112838002A CN 112838002 A CN112838002 A CN 112838002A CN 202011285147 A CN202011285147 A CN 202011285147A CN 112838002 A CN112838002 A CN 112838002A
Authority
CN
China
Prior art keywords
gas
film
chamber
silicon
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011285147.1A
Other languages
Chinese (zh)
Inventor
须田隆太郎
户村幕树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2020154668A external-priority patent/JP2021090039A/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112838002A publication Critical patent/CN112838002A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

The invention provides a technique for improving the selectivity of etching of a silicon-containing film to etching of a mask during plasma etching. A substrate processing method of an example embodiment includes a process of providing a substrate into a chamber of a plasma processing apparatus. The substrate has a silicon-containing film and a mask disposed on the silicon-containing film. The substrate processing method further includes a step of generating plasma from the 1 st process gas containing hydrogen fluoride gas in the chamber. In the step of generating plasma, the film is etched by chemical species from the plasma. The flow rate of the hydrogen fluoride gas is 25 vol% or more with respect to the total flow rate of the 1 st process gas excluding the inert gas.

Description

Substrate processing method and plasma processing apparatus
Technical Field
Exemplary embodiments of the present invention relate to a substrate processing method and a plasma processing apparatus.
Background
Patent document 1 discloses a method of etching a film in a substrate. The film contains silicon, and the substrate further has a mask disposed on the film. The mask contains amorphous carbon or an organic polymer. The etching in this method uses a plasma generated from a process gas comprising a hydrocarbon gas and a hydrofluorocarbon gas.
Patent document 1: japanese patent laid-open publication No. 2016-
The invention provides a technique for improving the selectivity of etching of a silicon-containing film to etching of a mask during plasma etching.
Disclosure of Invention
In an exemplary embodiment, a substrate processing method is provided. The substrate processing method includes a step of supplying a substrate into a chamber of a plasma processing apparatus. The substrate has a silicon-containing film and a mask disposed on the silicon-containing film. The substrate processing method further includes a step of generating plasma from the 1 st process gas containing hydrogen fluoride gas in the chamber. In the step of generating plasma, the silicon-containing film is etched by chemical species from the plasma. The flow rate of the hydrogen fluoride gas is 25 vol% or more with respect to the total flow rate of the 1 st process gas excluding the inert gas.
Effects of the invention
According to the present invention, a technique for improving the selectivity between the etching of a silicon-containing film and the etching of a mask during plasma etching can be provided.
Drawings
Fig. 1 is a flowchart showing an example of the substrate processing method according to embodiment 1.
Fig. 2 is a diagram schematically showing an example of the plasma processing apparatus.
Fig. 3 is a partially enlarged cross-sectional view of an example of the substrate provided in step ST 11.
Fig. 4 is a partially enlarged cross-sectional view of an example of the substrate after the substrate processing method shown in fig. 1 is performed.
Fig. 5 is a graph showing the results of experiments performed to evaluate the substrate processing method shown in fig. 1.
Fig. 6 is a flowchart showing an example of the substrate processing method according to embodiment 2.
Fig. 7 is a flowchart showing an example of the substrate processing method according to embodiment 3.
Fig. 8 is a flowchart showing another example of the substrate processing method according to embodiment 3.
Description of the symbols
1 plasma processing apparatus
10 Chamber
W substrate
SF film
MSK mask
Detailed Description
Hereinafter, various exemplary embodiments will be described.
In an exemplary embodiment, a substrate processing method is provided. The substrate processing method includes a step of supplying a substrate into a chamber of a plasma processing apparatus. The substrate has a silicon-containing film and a mask disposed on the silicon-containing film. The substrate processing method further includes a step of generating plasma from the 1 st process gas containing hydrogen fluoride gas in the chamber. In the step of generating plasma, the silicon-containing film is etched by chemical species from the plasma. The flow rate of the hydrogen fluoride gas is 25 vol% or more with respect to the total flow rate of the 1 st process gas excluding the inert gas. According to this embodiment, the selectivity ratio between the etching of the silicon-containing film and the etching of the mask is increased by using the plasma generated from the 1 st process gas in which the flow rate of the hydrogen fluoride gas is 25 vol% or more with respect to the total flow rate excluding the inert gas.
In an exemplary embodiment, the flow rate of the hydrogen fluoride gas may be less than 80 vol% with respect to the total flow rate of the 1 st process gas other than the inactive gas.
In an exemplary embodiment, the 1 st process gas comprises at least one selected from the group consisting of a carbon-containing gas, an oxygen-containing gas, and a halogen-containing gas.
In an exemplary embodiment, the carbon-containing gas may include at least one selected from the group consisting of a fluorocarbon gas, a hydrofluorocarbon gas, and a hydrogen carbide gas.
In an exemplary embodiment, the silicon-containing film may be at least one selected from a group consisting of a laminated film including a silicon oxide film and a silicon nitride film, a polysilicon film, a low dielectric constant film, and a laminated film including a silicon oxide film and a polysilicon film.
In an exemplary embodiment, the mask may be a carbon-containing mask or a metal-containing mask.
In an exemplary embodiment, the carbon-containing mask may be formed of at least one selected from spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide.
In an exemplary embodiment, the substrate processing method further includes a process of generating plasma from the 2 nd process gas within the chamber. In the process of generating a plasma from the 2 nd process gas, the chamber is cleaned by chemical species from the plasma.
In an exemplary embodiment, the 2 nd process gas may include at least one selected from a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas.
In an exemplary embodiment, the substrate processing method further includes a process of generating plasma from the 3 rd process gas in the chamber before the process of providing the substrate. In the step of generating plasma from the 3 rd process gas, a precoat film is formed on the inner wall of the chamber.
In one exemplary embodiment, the 3 rd process gas may include a silicon-containing gas and an oxygen-containing gas.
In another exemplary embodiment, a plasma processing apparatus is provided. The plasma processing apparatus includes a chamber, a plasma generating unit, and a control unit. The chamber has a gas supply port and a gas discharge port. The control unit is configured to execute a process including a placement step and an etching step. In the disposing step, a substrate having a silicon-containing film and a mask provided on the silicon-containing film is disposed in a chamber. In the etching step, plasma is generated from the 1 st process gas containing hydrogen fluoride gas in the chamber to etch the silicon-containing film. The control unit is configured to control the flow rate of the hydrogen fluoride gas so as to be 25% by volume or more with respect to the total flow rate of the 1 st process gas other than the inert gas in the etching step.
Hereinafter, various exemplary embodiments will be described in detail with reference to the accompanying drawings. In the drawings, the same or equivalent portions are denoted by the same reference numerals.
[ embodiment 1 ]
Fig. 1 is a flowchart showing an example of the substrate processing method according to embodiment 1. The method MT1 shown in fig. 1 was performed to etch a silicon-containing film. The method MT1 can be used, for example, in manufacturing a NAND flash memory having a three-dimensional structure. With respect to method MT1, it is performed using a plasma processing apparatus. Fig. 2 is a diagram schematically showing an example of the plasma processing apparatus. The method MT1 shown in fig. 1 can be executed by using the plasma processing apparatus 1 shown in fig. 2.
The plasma processing apparatus 1 includes a chamber 10. The chamber 10 provides an inner space 10s inside thereof. The chamber 10 includes a chamber body 12. The chamber body 12 has a generally cylindrical shape. The chamber body 12 is formed of, for example, aluminum. A corrosion-resistant film is provided on the inner wall surface of the chamber body 12. The film may be a ceramic such as alumina, yttria, or the like.
A passage 12p is formed in the sidewall of the chamber body 12. The substrate W is transferred between the inner space 10s and the outside of the chamber 10 through the passage 12 p. The passage 12p is opened and closed by a gate valve 12g provided along a side wall of the chamber body 12.
A support portion 13 is provided at the bottom of the chamber body 12. The support portion 13 is formed of an insulating material. The support portion 13 has a substantially cylindrical shape. The support portion 13 extends upward from the bottom of the chamber body 12 in the internal space 10 s. The support portion 13 has a support base 14 at an upper portion. The support table 14 is configured to support the substrate W in the internal space 10 s.
The support table 14 has a lower electrode 18 and an electrostatic chuck 20. The support table 14 may also have an electrode plate 16. The electrode plate 16 is formed of a conductor such as aluminum and has a substantially circular disk shape. The lower electrode 18 is disposed on the electrode plate 16. The lower electrode 18 is formed of a conductor such as aluminum, and has a substantially disk shape. The lower electrode 18 is electrically connected to the electrode plate 16.
An electrostatic chuck 20 is disposed on the lower electrode 18. A substrate W is placed on the upper surface of the electrostatic chuck 20. The electrostatic chuck 20 has a body and an electrode. The main body of the electrostatic chuck 20 has a substantially circular disk shape, and is formed of a dielectric. The electrode of the electrostatic chuck 20 is a film-like electrode and is disposed within the body of the electrostatic chuck 20. The electrode of the electrostatic chuck 20 is connected to a dc power supply 20p via a switch 20 s. When a voltage from the dc power supply 20p is applied to the electrode of the electrostatic chuck 20, an electrostatic attractive force is generated between the electrostatic chuck 20 and the substrate W. The substrate W is held by its electrostatic attraction to the electrostatic chuck 20.
An edge ring 25 is disposed on a peripheral edge portion of the lower electrode 18 so as to surround the edge of the substrate W. The edge ring 25 improves the in-plane uniformity of plasma processing of the substrate W. The edge ring 25 may be formed of silicon, silicon carbide, quartz, or the like.
A flow channel 18f is provided inside the lower electrode 18. A heat exchange medium (for example, a refrigerant) is supplied to the flow path 18f from a cooler unit (not shown) provided outside the chamber 10 through a pipe 22 a. The heat exchange medium supplied to the flow path 18f is returned to the cooler unit via the pipe 22 b. In the plasma processing apparatus 1, the temperature of the substrate W placed on the electrostatic chuck 20 is adjusted by heat exchange between the heat exchange medium and the lower electrode 18.
A gas supply line 24 is provided in the plasma processing apparatus 1. The gas supply line 24 supplies a heat transfer gas (e.g., He gas) from the heat transfer gas supply mechanism between the upper surface of the electrostatic chuck 20 and the back surface of the substrate W.
The plasma processing apparatus 1 further includes an upper electrode 30. The upper electrode 30 is disposed above the support base 14. The upper electrode 30 is supported on the upper portion of the chamber body 12 via a member 32. The member 32 is made of an insulating material. The upper electrode 30 and the member 32 close the upper opening of the chamber body 12.
The upper electrode 30 may include a top plate 34 and a support 36. The lower surface of the top plate 34 is a lower surface of one side of the internal space 10s, and divides the internal space 10 s. The top plate 34 may be formed of a low-resistance conductor or semiconductor that generates little joule heat. The top plate 34 has a plurality of gas discharge holes 34a penetrating the top plate 34 in the plate thickness direction.
The support 36 supports the top plate 34 in a detachable manner. The support 36 is formed of a conductive material such as aluminum. A gas diffusion chamber 36a is provided inside the support body 36. The support 36 has a plurality of gas holes 36b extending downward from the gas diffusion chamber 36 a. The plurality of gas holes 36b communicate with the plurality of gas discharge holes 34a, respectively. A gas supply port 36c is formed in the support body 36. The gas supply port 36c is connected to the gas diffusion chamber 36 a. A gas supply pipe 38 is connected to the gas supply port 36 c.
A valve block 42, a flow rate controller group 44, and a gas source group 40 are connected to the gas supply pipe 38. The gas source group 40, the valve group 42, and the flow rate controller group 44 constitute a gas supply unit. The gas source set 40 includes a plurality of gas sources. The valve block 42 includes a plurality of opening and closing valves. The flow controller group 44 includes a plurality of flow controllers. The plurality of flow rate controllers of the flow rate controller group 44 are each a mass flow controller or a pressure control type flow rate controller. The gas sources of the gas source group 40 are connected to the gas supply pipe 38 via corresponding on-off valves in the valve group 42 and corresponding flow rate controllers in the flow rate controller group 44.
In the plasma processing apparatus 1, the shield 46 is detachably provided along the inner wall surface of the chamber body 12 and the outer periphery of the support portion 13. The shield 46 prevents reaction by-products from adhering to the chamber body 12. The shield 46 is formed by, for example, forming a corrosion-resistant film on the surface of a base material made of aluminum. The film having corrosion resistance may be formed of ceramics such as yttria.
A baffle plate 48 is provided between the support 13 and the side wall of the chamber body 12. The baffle 48 is formed by forming a corrosion-resistant film (a film of yttrium oxide or the like) on the surface of a base material made of aluminum, for example. The baffle plate 48 has a plurality of through holes formed therein. A gas exhaust port 12e is provided below the baffle plate 48 and at the bottom of the chamber body 12. An exhaust device 50 is connected to the gas outlet 12e via an exhaust pipe 52. The exhaust device 50 includes a pressure regulating valve and a vacuum pump such as a turbo molecular pump.
The plasma processing apparatus 1 includes a 1 st high-frequency power supply 62 and a 2 nd high-frequency power supply 64. The 1 st high frequency power source 62 is a power source that generates 1 st high frequency power. The 1 st high frequency power has a frequency suitable for generating plasma. The frequency of the 1 st high-frequency power is, for example, a frequency in the range of 27MHz to 100 MHz. The 1 st high-frequency power source 62 is connected to the lower electrode 18 via the matching box 66 and the electrode plate 16. The matching unit 66 has a circuit for matching the output impedance of the 1 st high-frequency power source 62 with the impedance on the load side (the lower electrode 18 side). The 1 st high-frequency power source 62 may be connected to the upper electrode 30 via a matching unit 66. The 1 st high-frequency power source 62 constitutes an example of a plasma generating section.
The 2 nd high frequency power supply 64 is a power supply that generates 2 nd high frequency power. The 2 nd high frequency power has a frequency lower than that of the 1 st high frequency power. In the case where the 2 nd high frequency power is used together with the 1 st high frequency power, the 2 nd high frequency power is used as a bias high frequency power for introducing ions to the substrate W. The frequency of the 2 nd high-frequency power is, for example, a frequency in the range of 400kHz to 13.56 MHz. The 2 nd high-frequency power supply 64 is connected to the lower electrode 18 via the matching box 68 and the electrode plate 16. The matching unit 68 has a circuit for matching the output impedance of the 2 nd high-frequency power supply 64 with the impedance on the load side (the lower electrode 18 side).
In addition, the 2 nd high frequency power is used without using the 1 st high frequency power, that is, only a single high frequency power may be used to generate plasma. In this case, the frequency of the 2 nd high frequency power may be a frequency greater than 13.56MHz, for example, 40 MHz. The plasma processing apparatus 1 may not include the 1 st high-frequency power supply 62 and the matching unit 66. The 2 nd high-frequency power supply 64 constitutes an example of a plasma generating section.
In the plasma processing apparatus 1, a gas is supplied from the gas supply portion to the internal space 10s to generate plasma. Then, a high-frequency electric field is generated between the upper electrode 30 and the lower electrode 18 by supplying the 1 st high-frequency power and/or the 2 nd high-frequency power. Plasma is generated from the generated high-frequency electric field.
The plasma processing apparatus 1 may further include a control unit 80. The control unit 80 may be a computer including a storage unit such as a processor and a memory, an input device, a display device, and a signal input/output interface. The control unit 80 controls each unit of the plasma processing apparatus 1. The control unit 80 can manage the plasma processing apparatus 1 by performing an input operation of a command using an input device. The control unit 80 can also visualize and display the operation status of the plasma processing apparatus 1 by the display device. The storage unit stores a control program and recipe data. In order to execute various processes in the plasma processing apparatus 1, a control program is executed by a processor. The processor executes the control program, and controls each part of the plasma processing apparatus 1 based on the recipe data.
Reference is again made to fig. 1. Hereinafter, the method MT1 will be described by taking as an example a case where the plasma processing apparatus 1 is used for the execution. As shown in fig. 1, the method MT1 includes a process ST 11. In step ST11, the substrate W is supplied into the chamber 10 of the plasma processing apparatus. The substrate W is placed on the electrostatic chuck 20 and held by the electrostatic chuck 20.
Fig. 3 is a partially enlarged cross-sectional view of an example of the substrate provided in step ST11 of the MT1 method. The substrate W shown in fig. 3 includes a base layer UL, a film SF, and a mask MSK. The base layer UL may be a layer made of polysilicon. The film SF is disposed on the base layer UL. The film SF contains silicon. The film SF may be a laminated film including one or more silicon oxide films and one or more silicon nitride films. In the example shown in fig. 3, the film SF is a multilayer film including a plurality of silicon oxide films IL1 and a plurality of silicon nitride films IL 2. The silicon oxide films IL1 and the silicon nitride films IL2 are alternately stacked. In addition, the film SF may be another single-layer film containing silicon or another multilayer film containing silicon. In the case where the film SF is a single-layer film, the film SF may be, for example, a low dielectric constant film or a polysilicon film formed of SiOC, SiOF, SiCOH, or the like. Alternatively, when the film SF is a multilayer film, the film SF may be a laminate film including one or more silicon oxide films and one or more polysilicon films, for example.
The mask MSK is disposed on the film SF. The mask MSK has a pattern for forming a space such as a hole in the film SF. The mask MSK may be a hard mask, for example. The mask MSK may also be, for example, a carbon-containing mask and/or a metal-containing mask. The carbon-containing mask is formed of, for example, at least one selected from spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide. The metal-containing mask is formed of at least one selected from the group consisting of titanium nitride, titanium oxide, and tungsten. Alternatively, the mask MSK may be a boron-containing mask formed of, for example, silicon boride, boron nitride, boron carbide, or the like.
As shown in fig. 1, the method MT1 further includes a process ST 12. The process ST12 is performed after the process ST 11. In step ST12, plasma is generated from the 1 ST process gas in the chamber 10. In process ST12, the film SF is etched by chemical species from the plasma.
The 1 ST process gas used in step ST12 contains hydrogen fluoride gas. The flow rate of the hydrogen fluoride gas in step ST12 may be 25 vol% or more, 30 vol% or more, or 34 vol% or more with respect to the total flow rate of the 1 ST process gas excluding the inert gas. Further, since the hydrogen fluoride gas has high corrosiveness, the flow rate of the hydrogen fluoride gas may be set to be less than 80 vol%, 78 vol% or less, or 75 vol% or less with respect to the total flow rate of the 1 st processing gas other than the inert gas, from the viewpoint of suppressing corrosion of the inner wall of the chamber 10. In one example, the flow rate of the hydrogen fluoride gas is adjusted to be 25 vol% or more and less than 80 vol% with respect to the total flow rate of the 1 st process gas excluding the inert gas. The etching rate of the film SF with respect to the etching rate of the mask MSK can be increased by controlling the flow rate of the hydrogen fluoride gas in the 1 st process gas other than the inert gas to be within such a range. As a result, the selectivity of etching the silicon-containing film to etching the mask can be improved. On the other hand, when the flow rate of the hydrogen fluoride gas in the 1 st process gas other than the inert gas is less than 25 vol%, the selectivity may not be sufficiently improved. The total flow rate of the 1 st process gas other than the inert gas may be appropriately adjusted according to the chamber volume, and may be 100sccm or more, for example.
The 1 st process gas may contain at least one selected from the group consisting of a carbon-containing gas, an oxygen-containing gas, and a halogen-containing gas, in addition to the hydrogen fluoride gas.
In the case where the 1 st process gas contains a carbon-containing gas, a deposit containing carbon is formed on the mask surface, and therefore the selectivity ratio of etching of the silicon-containing film to etching of the mask can be further improved. The carbon-containing gas contains, for example, at least one selected from the group consisting of a fluorocarbon gas, a hydrofluorocarbon gas, and a hydrofluorocarbon gas. As fluorocarbonizationThe object gas can be, for example, CF4、C2F2、C2F4、C3F8、C4F6、C4F8Or C5F8. As the hydrofluorocarbon gas, CHF can be used, for example3、CH2F2、CH3F、C2HF5、C2H2F4、C2H3F3、C2H4F2、C3HF7、C3H2F6、C3H2F4、C3H3F5、C4H5F5、C5H2F10、c-C5H3F7Or C3H2F4. As the hydrogen carbide gas, CH can be used, for example4、C2H6、C3H6、C3H8Or C4H10. In addition to the above, the carbon-containing gas may also contain CO and/or CO2. In one example, as the carbon-containing gas, a hydrofluorocarbon gas having 2 or more carbon atoms can be used. When a hydrofluorocarbon gas having 2 or more carbon atoms is used, shape abnormalities such as warpage can be effectively suppressed.
When the 1 st process gas contains an oxygen-containing gas, the clogging of the mask during etching can be suppressed. As oxygen-containing gas, for example, a gas selected from O can be used2、CO、CO2、H2O or H2O2At least one of (1).
In the case where the 1 st process gas contains a halogen-containing gas, the etching shape can be controlled. As the halogen-containing gas, for example, at least one selected from a fluorine-containing gas, a chlorine-containing gas, a boron-containing gas, and an iodine-containing gas can be used. The fluorine-containing gas is, for example, SF6、NF3、XeF2、SiF4、IF7、ClF5、BrF5、AsF5、NF5、PF3、PF5、POF3、BF3、HPF6、WF6And the like. The chlorine-containing gas is, for example, SiCl2、SiCl4、CCl4、BCl3、PCl3、PCl5、POCl3And the like. The bromine-containing gas is, for example, CBr2F2、C2F5Br、PBr3、PBr5、POBr3And the like. The iodine-containing gas is, for example, HI, CF3I、C2F5I、C3F7I、IF5、IF7、I2、PI3And the like.
In addition to the above, the 1 st process gas may include a gas having a sidewall protecting effect (e.g., a sulfur-containing gas such as COS, P, etc.)4O10、P4O8、P4O6、PH3、Ca3P2、H3PO4、Na3PO4Phosphorus-containing gas, B2H6And the like).
In addition to these gas species, the 1 st process gas may contain an inert gas. As the inert gas, a rare gas such as Ar, Kr, and Xe can be used in addition to the nitrogen-containing gas. However, the 1 st process gas is controlled so that the flow rate of the hydrogen fluoride gas is in the above-described ratio to the total flow rate of the 1 st process gas other than these inert gases.
In order to perform step ST12, the controller 80 controls the gas supplier to supply the process gas into the chamber 10. In order to execute step ST12, the controller 80 controls the gas supplier so that the flow rate of the hydrogen fluoride gas in the process gas supplied into the chamber 10 becomes 25 vol% or more of the total flow rate of the process gas. In order to execute step ST12, the control unit 80 controls the exhaust device 50 so that the pressure in the chamber 10 becomes a predetermined pressure. In order to perform the step ST12, the control unit 80 controls the 1 ST high frequency power supply 62 and/or the 2 nd high frequency power supply 64 to supply the 1 ST high frequency power and/or the 2 nd high frequency power to generate plasma from the process gas in the chamber 10.
In the process ST12, the 2 nd stage is set to a high stage for introducing ions from the plasma to the substrate WThe frequency power supply 64 can be 5W/cm2The 2 nd high-frequency power (i.e., the high-frequency power for biasing) described above is supplied to the lower electrode 18. Passing 5W/cm2With the above 2 nd high-frequency power, ions from the plasma can sufficiently reach the bottom of the space (for example, the space SP shown in fig. 4) of the film SF formed by etching. In addition, instead of the high-frequency power for bias, a negative direct-current voltage may be applied to the lower electrode 18. Further, the bias high-frequency power or negative dc voltage may be applied to the lower electrode 18 in a pulse form. In this case, the pulse frequency may be set to 5Hz to 100 kHz.
The temperature of the electrostatic chuck in the step ST12 is not particularly limited. However, the temperature of the electrostatic chuck is adjusted to a low temperature (for example, 0 ℃ or lower or-50 ℃ or lower) before the start of step ST12 to promote the adsorption of the etchant on the substrate surface, and thus the etching rate can be increased.
When the execution of step ST12 ends, method MT1 ends. Fig. 4 is a partially enlarged cross-sectional view of an example of the substrate after the substrate processing method shown in fig. 1 is performed. By performing the method MT1, as shown in fig. 4, a space SP reaching the base layer UL is formed on the film SF, for example.
The following describes the results of an experiment performed to evaluate method MT 1. In the experiment, 8 sample substrates identical to the substrate W shown in fig. 3 were prepared. In the experiment, plasma etching of the film SF of 8 sample substrates was performed using the plasma processing apparatus 1. In the plasma etching, a 1 st process gas containing a carbon-containing gas is used. The 1 st process gas used in the plasma etching of the 1 st sample substrate among the 8 sample substrates does not contain hydrogen fluoride gas. In the plasma etching of the sample substrates of nos. 2 to 8, the flow ratios of the flow rate of the hydrogen fluoride gas to the total flow rate of the process gas 1 were 34.2 vol%, 51.0 vol%, 80.0 vol%, 95.2 vol%, 98.8 vol%, 99.5 vol%, and 100 vol%, respectively. In addition, in the experiment, before starting plasma etching, the temperature of the electrostatic chuck on which the sample substrate is placed was adjusted to a temperature of-50 ℃ or lower.
In the experiment, the selectivity ratio of the etching of the film SF to the etching of the mask MSK was determined from the results of the plasma etching of the film SF for 8 sample substrates. Specifically, the selection ratio was determined from the results of plasma etching of the films SF of the 8 sample substrates by dividing the etching rate of the film SF by the etching rate of the mask MSK.
The experimental results are shown in fig. 5. Fig. 5 is a graph showing the results of experiments performed to evaluate the substrate processing method shown in fig. 1. In the graph of fig. 5, the horizontal axis represents the flow rate ratio. The flow ratio is a ratio (volume%) of the flow rate of the hydrogen fluoride gas to the total flow rate of the 1 st process gas other than the inert gas. In the graph of fig. 5, the vertical axis represents the selection ratio. In fig. 5, reference symbols P1 to P8 represent selection ratios obtained from the results of plasma etching of the film SF of the 1 st to 8 th sample substrates.
As shown in fig. 5, it was confirmed from the experimental results that the selectivity ratio increased as the ratio of the flow rate of the hydrogen fluoride gas to the total flow rate of the 1 st process gas other than the inert gas (hereinafter referred to as "flow ratio") increased. Further, as can be seen from fig. 5, when the flow rate of the hydrogen fluoride gas is 25% by volume or more based on the total flow rate of the 1 st process gas excluding the inert gas, a selection ratio of 4 or more can be obtained.
[ 2 nd embodiment ]
In the substrate processing method according to embodiment 1, as the number of times of processing increases, the amount of reaction products adhering to the inner wall of the chamber 10, the support table 14, and the like increases. If the amount of the reaction product adhering increases, the processing environment changes, and thus the uniformity of the processing between the substrates W may deteriorate. Further, the increase in the amount of the reaction product adhering becomes a factor of generating fine particles. Therefore, the inside of the chamber is cleaned by plasma obtained by converting the cleaning gas into plasma.
Fig. 6 is a flowchart showing an example of the substrate processing method according to embodiment 2. The method MT2 shown in fig. 6 was performed to etch a silicon-containing film, similarly to the method MT 1. The steps ST21 and ST22 are the same as the steps ST11 and ST12 of the MT1 described above, and therefore, description thereof is omitted.
As shown in fig. 6, the method MT2 further includes a step ST 23. The process ST23 is performed after the process ST 22. In step ST23, plasma is generated from the 2 nd process gas in the chamber 10. In process ST23, the interior of the chamber 10 is cleaned by chemical species from the plasma. The processing time in step ST23 is generally determined by monitoring the light emission state of the plasma. According to embodiment 2, the cleaning time can be shortened to 50% or less compared to the conventional art, and the Throughput (Throughput) of substrate processing can be improved.
The process gas 2 used in step ST23 may include at least one gas selected from a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas, for example. As the fluorine-containing gas, for example, CF can be used4、SF6Or NF3. As the oxygen-containing gas, for example, O can be used2、CO、CO2、H2O or H2O2. As the hydrogen-containing gas, for example, H can be used2Or HCl. As the nitrogen-containing gas, for example, N can be used2. In addition to the above, the 2 nd process gas may contain a rare gas such as Ar or the like.
The step ST23 may be executed for each single substrate W to be processed, but may be executed after a predetermined number of substrates W or a predetermined number of batches of substrates W are processed. Alternatively, the processing may be performed after the substrate processing for a predetermined time.
[ embodiment 3 ]
In both embodiments 1 and 2, the process gas 1 contains a hydrogen fluoride gas. Since hydrogen fluoride gas is highly corrosive, it is preferable to form a precoat film on the inner wall of the chamber 10 before the etching step. In particular, when hydrogen fluoride gas is used at a high concentration, a precoat film is formed on the inner wall of the chamber 10 to suppress corrosion of the inner wall of the chamber 10, thereby reducing the frequency of maintenance. The inner wall of the chamber 10 includes the support table 14 and the like, in addition to the side wall and ceiling (the ceiling 34 of the upper electrode 30) of the chamber 10.
The precoat film may be formed of the same kind of material as that of the mask MSK, except for a silicon-containing film such as a silicon oxide film. In the case where the mask MSK is a carbon-containing mask, the precoat film may be formed of a carbon-containing substance. The carbonaceous material includes, for example, at least one selected from spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide. In the case where the mask MSK is a metal-containing mask, the precoat film may be formed of a metal-containing substance. The metal-containing substance includes, for example, at least one selected from titanium nitride, titanium oxide, and tungsten. When the mask MSK is a boron-containing mask, the precoat film is formed of a boron-containing substance. The boron-containing substance includes, for example, at least one selected from the group consisting of silicon boride, boron nitride, and boron carbide.
Fig. 7 is a flowchart showing an example of the substrate processing method according to embodiment 3. The method MT3 shown in fig. 7 was performed to etch a silicon-containing film, similarly to the method MT 1. The steps ST31 and ST32 are the same as the steps ST11 and ST12 of the MT1 described above, and therefore, description thereof is omitted.
As shown in fig. 7, the method MT3 further includes a step ST 30. The process ST30 is performed before the process ST 31. In step ST30, plasma is generated from the 3 rd process gas in the chamber 10. In the process ST30, a pre-coating film is formed on the inner wall of the chamber 10 by the chemical species from the plasma.
As for the precoat film, a 3 rd process gas can be used and formed by Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD). For example, when a silicon oxide film is formed as the precoat film, SiCl can be used as the 3 rd process gas4Or a silicon-containing gas such as an aminosilicone-based gas and O2And oxygen-containing gases.
The step ST30 may be executed for each single substrate W to be processed, but may be executed after a predetermined number of substrates W or a predetermined number of batches of substrates W are processed. Alternatively, the processing may be performed after the substrate processing for a predetermined time.
In addition, the step of forming the pre-coating film may be performed in combination with the cleaning step in the manner shown in another example of the substrate processing method according to embodiment 3 of fig. 8. This can suppress both the generation of particles and the corrosion of the inner wall of the chamber 10.
Although various exemplary embodiments have been described above, the present invention is not limited to the exemplary embodiments described above, and various omissions, substitutions, and changes may be made. Also, elements of different example embodiments may be combined to form other example embodiments.
For example, the plasma processing apparatus used in the method MT1 to the method MT4 may be a plasma processing apparatus different from the plasma processing apparatus 1. The plasma processing apparatuses used in methods MT1 to MT4 may be another capacitively-coupled plasma processing apparatus, an inductively-coupled plasma processing apparatus, or a plasma processing apparatus that generates plasma using a surface wave such as a microwave.
Further, since the hydrogen fluoride gas is a highly corrosive gas as described above, the flow ratio of the hydrogen fluoride gas and the type of gas to be added to the 1 st process gas may be changed depending on the process stage. In one example, the flow ratio of the hydrogen fluoride gas in the etching end period in which the mask thickness does not need to be maintained may be set to be lower than the flow ratio of the hydrogen fluoride gas in the initial to intermediate etching periods in which the mask thickness needs to be maintained. In another example, in etching a low aspect ratio region where a shape abnormality such as a bend is likely to occur, the flow rate ratio of a gas having a sidewall protection effect can be increased as compared with etching of a high aspect ratio region. The shape after etching may be monitored by an optical observation device or the like, and the flow ratio of the hydrogen fluoride gas, the type of the gas added to the 1 st process gas, or the flow ratio may be changed according to the shape.
From the above description, it is understood that various exemplary embodiments of the present invention have been described in the present specification for the purpose of illustration, and that various modifications may be made without departing from the scope and spirit of the present invention. Therefore, it is intended that the present disclosure not be limited to the particular exemplary embodiments disclosed herein, but that the true scope and spirit be indicated by the following claims.

Claims (13)

1. A method of processing a substrate, comprising:
a step of providing a silicon-containing film and a substrate having a mask thereon into a chamber; and
a step of generating plasma from a 1 st process gas containing a hydrogen fluoride gas in the chamber and etching the silicon-containing film,
the flow rate of the hydrogen fluoride gas is 25 vol% or more with respect to the total flow rate of the 1 st process gas excluding the inert gas.
2. The substrate processing method according to claim 1, wherein a flow rate of the hydrogen fluoride gas is less than 80 vol% with respect to a total flow rate of the 1 st process gas other than the inert gas.
3. The substrate processing method of claim 1 or 2, wherein the 1 st process gas comprises at least one selected from a carbon-containing gas, an oxygen-containing gas, and a halogen-containing gas.
4. The method of claim 3, wherein the carbon-containing gas comprises at least one selected from a fluorocarbon gas, a hydrofluorocarbon gas, and a hydrofluorocarbon gas.
5. The substrate processing method according to any one of claims 1 to 4, wherein the silicon-containing film is at least one selected from a group consisting of a laminated film including a silicon oxide film and a silicon nitride film, a polysilicon film, a low dielectric constant film, and a laminated film including a silicon oxide film and a polysilicon film.
6. The substrate processing method of any of claims 1 to 5, wherein the mask is a carbon-containing mask or a metal-containing mask.
7. The substrate processing method according to claim 6, wherein the carbon-containing mask is formed of at least one selected from spin-on carbon, tungsten carbide, amorphous carbon, and boron carbide.
8. The substrate processing method according to any one of claims 1 to 7, further comprising a step of adjusting a temperature of an electrostatic chuck on which the substrate is placed to 0 ℃ or lower, before the etching step.
9. The method of any of claims 1 to 8, further comprising the steps of generating a plasma from a 2 nd process gas in the chamber and cleaning the chamber.
10. The method of claim 9, wherein the 2 nd process gas comprises at least one selected from a fluorine-containing gas, an oxygen-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas.
11. The substrate processing method according to any one of claims 1 to 10, further comprising a step of generating plasma from the 3 rd process gas in the chamber and forming a pre-coating film on an inner wall of the chamber before the step of providing the substrate.
12. The method of claim 11, wherein the 3 rd process gas comprises a silicon-containing gas and an oxygen-containing gas.
13. A plasma processing apparatus includes a chamber having a gas supply port and a gas discharge port,
the control unit executes a process including:
disposing a substrate having a silicon-containing film and a mask provided on the silicon-containing film in the chamber; and
a step of generating plasma from a 1 st process gas containing a hydrogen fluoride gas in the chamber and etching the silicon-containing film,
in the etching step, the flow rate of the hydrogen fluoride gas is controlled so as to be 25 vol% or more with respect to the total flow rate of the 1 st process gas excluding the inert gas.
CN202011285147.1A 2019-11-25 2020-11-17 Substrate processing method and plasma processing apparatus Pending CN112838002A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2019212425 2019-11-25
JP2019-212425 2019-11-25
JP2020-154668 2020-09-15
JP2020154668A JP2021090039A (en) 2019-11-25 2020-09-15 Substrate processing method and plasma processing machine

Publications (1)

Publication Number Publication Date
CN112838002A true CN112838002A (en) 2021-05-25

Family

ID=75923065

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011285147.1A Pending CN112838002A (en) 2019-11-25 2020-11-17 Substrate processing method and plasma processing apparatus

Country Status (1)

Country Link
CN (1) CN112838002A (en)

Similar Documents

Publication Publication Date Title
TWI760555B (en) Etching method
CN106057666B (en) Engraving method
JP6328524B2 (en) Etching method
JP6529357B2 (en) Etching method
JP6339961B2 (en) Etching method
JP6956288B2 (en) Substrate processing method, plasma processing equipment, and etching gas composition
TW202133261A (en) Substrate processing method and plasma processing apparatus
CN107731677B (en) Method for processing object to be processed
KR102496968B1 (en) etching method
KR20220150845A (en) Substrate processing method and plasma processing apparatus
US10811274B2 (en) Etching method and plasma processing apparatus
JP6494424B2 (en) Etching method
US20220285169A1 (en) Substrate processing method and plasma processing apparatus
JP2019117876A (en) Etching method
CN112838002A (en) Substrate processing method and plasma processing apparatus
CN112530799A (en) Method for etching silicon oxide film and plasma processing apparatus
CN110164764B (en) Plasma etching method and plasma etching apparatus
KR20210055015A (en) Plasma processing method and plasma processing apparatus
JP2022039910A (en) Substrate processing method and plasma processing device
CN111725062A (en) Film etching method and plasma processing apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination