JP2021527379A - 基板処理システムのための直接駆動rf回路 - Google Patents

基板処理システムのための直接駆動rf回路 Download PDF

Info

Publication number
JP2021527379A
JP2021527379A JP2020569012A JP2020569012A JP2021527379A JP 2021527379 A JP2021527379 A JP 2021527379A JP 2020569012 A JP2020569012 A JP 2020569012A JP 2020569012 A JP2020569012 A JP 2020569012A JP 2021527379 A JP2021527379 A JP 2021527379A
Authority
JP
Japan
Prior art keywords
drive circuit
terminal
inductor
frequency
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020569012A
Other languages
English (en)
Other versions
JP7359789B2 (ja
Inventor
ロング・マオリン
パターソン・アレクサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021527379A publication Critical patent/JP2021527379A/ja
Application granted granted Critical
Publication of JP7359789B2 publication Critical patent/JP7359789B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/02Conversion of dc power input into dc power output without intermediate conversion into ac
    • H02M3/04Conversion of dc power input into dc power output without intermediate conversion into ac by static converters
    • H02M3/10Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M3/145Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal
    • H02M3/155Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/135Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of time reference signals, e.g. clock signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00286Phase shifter, i.e. the delay between the output and input pulse is dependent on the frequency, and such that a phase difference is obtained independent of the frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/00006Changing the frequency

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Power Conversion In General (AREA)

Abstract

【課題】
【解決手段】基板処理システムの構成要素にRF電力を提供するための直接駆動回路が、第1周波数のクロック信号を生成するためのクロック発生器と、クロック信号を受信するためのゲートドライバと、ハーフブリッジ回路と、を備える。ハーフブリッジ回路は:ゲートドライバに接続された制御端子、第1端子、および、第2端子を備えた第1スイッチと;ゲートドライバに接続された制御端子、第1スイッチの第2端子と出力ノードとに接続された第1端子、および、第2端子を備えた第2スイッチと;第1スイッチの第1端子に第1電位を供給するための第1DC電源と;第2スイッチの第2端子に第2電位を供給するための第2DC電源と、を備える。第1電位および第2電位は、反対の極性を有し、ほぼ等しい大きさである。
【選択図】図4、図5

Description

関連出願への相互参照
本願は、2018年6月13日出願の米国特許出願第16/007,481号に基づく優先権を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理システムに関し、特に、基板処理システム内でRFプラズマ電力またはRFバイアスを供給するための駆動回路に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板上の薄膜をエッチングするために、通例は、基板処理システムが用いられる。エッチングは、通常、ウェット化学エッチングまたはドライエッチングのいずれかを含む。ドライエッチングは、誘導結合プラズマ(ICP)によって生成されたプラズマを用いて実行されうる。誘導結合プラズマは、処理チャンバの外側に誘電体窓と隣接して配置されたコイルによって生成されうる。プラズマを生成するために、処理チャンバの内部に流れる処理ガスに点火される。いくつかの応用例において、RFプラズマ電力が、処理チャンバの外側に配置された1または複数の誘導コイルに出力される。RFバイアス電力も、基板支持体内の電極に供給されうる。
RFプラズマ電力またはRFプラズマ電力またはバイアス電力の周波数は、さらなる処理制御を提供するために変更されうる。さらに、RFプラズマ電力またはRFバイアス電力の大きさまたはレベルは、さらなる処理制御を提供するために処理中に変更されうる。RFプラズマ電力またはレベルならびに/もしくはRFバイアス電力またはレベルにおける変化は、駆動回路から見たインピーダンスの変化を引き起こしうる。負荷と駆動回路との間にインピーダンス不整合が生じると、電力が反射されるため、非効率的である。
基板処理システムの構成要素にRF電力を提供するための直接駆動回路が、第1周波数のクロック信号を生成するためのクロック発生器と、クロック信号を受信するためのゲートドライバと、ハーフブリッジ回路と、を備える。ハーフブリッジ回路は:ゲートドライバに接続された制御端子、第1端子、および、第2端子を備えた第1スイッチと;ゲートドライバに接続された制御端子、第1スイッチの第2端子と出力ノードとに接続された第1端子、および、第2端子を備えた第2スイッチと;第1スイッチの第1端子に第1電位を供給するための第1DC電源と;第2スイッチの第2端子に第2電位を供給するための第2DC電源と、を備える。第1電位および第2電位は、反対の極性を有し、ほぼ等しい大きさである。
別の特徴において、電流センサが、出力ノードでの電流を検知して、電流信号を生成する。電圧センサが、出力ノードでの電圧を検知して、電圧信号を生成する。コントローラが、電圧信号と電流信号との間の位相オフセットを計算するための位相オフセット計算器と、位相オフセットに基づいて第1周波数を調整するためのクロック調整器と、を備える。
別の特徴において、クロック調整器は、電流が電圧よりも先行した場合に第1周波数を上げ、電圧が電流よりも先行した場合に第1周波数を下げる。第1回路が、出力ノードを基板処理システムの構成要素に接続する。第1回路は、出力ノードに接続された第1キャパシタと、第1キャパシタと直列に接続された第1インダクタと、を備える。
別の特徴において、第1回路は、さらに、出力ノードに接続された第1端子を有する第2インダクタと、第2インダクタの第2端子に接続された第1端子を有する第3インダクタと、第2インダクタと並列に接続された第2キャパシタと、第3インダクタの第2端子に接続された第1端子を有する第3キャパシタと、を備える。
デュアル周波数駆動回路が、上記の直接駆動回路と、基板処理システムの構成要素に接続され、第1周波数とは異なる第2周波数で動作するさらなる駆動回路と、を備える。
別の特徴において、さらなる駆動回路は、直接駆動回路の第2回路を備える。
別の特徴において、さらなる駆動回路は、第2周波数のRF信号を生成するRF発生器を備える。さらなる駆動回路は、さらに、RF発生器のインピーダンスを構成要素に整合させるための整合回路を備えた出力回路を備える。出力回路は、RF発生器の出力に接続された第1インダクタと、第2インダクタと、出力に接続された第1端子および第2インダクタに接続された第2端子を有する第1可変キャパシタと、を備える。
別の特徴において、出力回路は、さらに、出力に接続された第1端子を有する第3インダクタと、第3インダクタの第2端子に接続された第1端子を有する第2可変キャパシタと、第2可変キャパシタの第2端子に接続された第1端子を有する第4インダクタと、第4インダクタの第2端子に接続された第1端子および構成要素に接続された第2端子を有する第1キャパシタと、を備える。
基板処理システムの構成要素にRF電力を提供するための駆動回路が、基板処理システムの構成要素に接続された第1直接駆動回路であって、第1周波数の第1クロック信号を生成するための第1クロック発生器と、第1クロック信号を受信するための第1ゲートドライバと、を備えた第1直接駆動回路を備える。第1ハーフブリッジ回路が、第1ゲートドライバと第1出力ノードとの間に接続されている。第1ハーフブリッジ回路は、第1DC電源および第2DC電源によってバイアスされる。第1DC電源によって供給される第1電位および第2DC電源によって供給される第2電位は、反対の極性を有し、ほぼ等しい大きさである。第1遮断回路が、第1出力ノードを基板処理システムの構成要素に接続しており、第1周波数とは異なる第2周波数を遮断する。第2駆動回路が、基板処理システムの構成要素に接続されており、第2周波数で動作する。
別の特徴において、電流センサが、第1出力ノードでの電流を検知して、電流信号を生成する。電圧センサが、第1出力ノードでの電圧を検知して、電圧信号を生成する。コントローラが、電圧信号と電流信号との間の位相オフセットを計算するための位相オフセット計算器と、位相オフセットに基づいて第1周波数を調整するためのクロック調整器と、を備える。
別の特徴において、クロック調整器は、電流が電圧よりも先行した場合に第1周波数を上げ、電圧が電流よりも先行した場合に第1周波数を下げる。第1遮断回路は、第1出力ノードに接続された第1キャパシタと、第1キャパシタと直列に接続された第1インダクタと、を備える。
別の特徴において、第1遮断回路は、さらに、第1出力ノードに接続された第1端子を有する第2インダクタと、第2インダクタの第2端子に接続された第1端子を有する第3インダクタと、第2インダクタと並列に接続された第2キャパシタと、第3インダクタの第2端子に接続された第1端子を有する第3キャパシタと、を備える。
別の特徴において、第2駆動回路は、第2周波数のRF信号を生成するためのRF発生器を備える。第2駆動回路は、さらに、RF発生器のインピーダンスを構成要素に整合させるための調整可能な整合回路を備えた第1出力回路を備える。第1出力回路は、RF発生器に接続された第1インダクタと、第2インダクタと、RF発生器に接続された第1端子および第2インダクタに接続された第2端子を有する第1可変キャパシタと、を備える。
別の特徴において、第1出力回路は、さらに、RF発生器に接続された第1端子を有する第3インダクタと、第3インダクタの第2端子に接続された第1端子を有する第2可変キャパシタと、第2可変キャパシタの第2端子に接続された第1端子を有する第4インダクタと、第4インダクタの第2端子に接続された第1端子および構成要素に接続された第2端子を有する第1キャパシタと、を備える。
別の特徴において、第2駆動回路は、第2周波数の第2クロック信号を生成するための第2クロック発生器と、第2クロック信号を受信するための第2ゲートドライバと、第2ゲートドライバと第2出力ノードとの間に接続された第2ハーフブリッジ回路を備えた第2ハーフブリッジ回路であって、第2ハーフブリッジ回路は、第3DC電源および第4DC電源によってバイアスされ、第3DC電源によって供給される第1電位および第4DC電源によって供給される第2電位は、反対の極性を有し、ほぼ等しい大きさである、第2ハーフブリッジ回路と、第2出力ノードを基板処理システムの構成要素に接続すると共に、第1周波数を遮断するための第2遮断回路と、を備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
基板処理システムの一例を示す機能ブロック図。
駆動回路の一例を示す機能ブロック図。
図2の駆動回路について、時間の関数としてDC電圧を示すグラフ。
本開示に従って、直接駆動回路の一例を示す機能ブロック図。
図4の駆動回路について、時間の関数としてDC電圧を示すグラフ。
本開示に従って、ハイブリッドデュアル周波数駆動回路の一例を示す機能ブロック図。
本開示に従って、デュアル周波数駆動回路の一例を示す機能ブロック図。
本開示に従って、位相オフセットに基づいてクロック発生器の周波数を調整するための方法例を示すフローチャート。 本開示に従って、位相オフセットに基づいてクロック発生器の周波数を調整するための方法例を示すフローチャート。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
いくつかの応用例において、ICPコイルへ供給されるRFソース電力および/または基板支持体内の電極へのRFバイアスの周波数が、2以上の周波数の間および/または2以上のパルスレベルの間で切り替えられる。2以上の周波数および/または2以上のレベルでのRFパルシングが、同一出願人による米国特許第9,2393,352号「Systems and Methods for Transformer Coupled Plasma Pulsing with Transformer Coupled Capacitive Tuning」で図示および説明されており、この特許は、その全体が参照によって本明細書に組み込まれる。
これらのシステムでは、RF発生器のインピーダンスが、負荷(誘導コイルおよびプラズマ、もしくは、電極およびプラズマ、など)に整合される。しかしながら、プラズマ条件が変化すると、パルスレベルが変化すると、および/または、様々なその他の要因により、負荷のインピーダンスは変化する。インピーダンス不整合が生じると、電力が、負荷によって反射されるため、非効率的である。可変キャパシタを用いた回路の同調は、周波数の変化および/またはレベルからレベルへの変化の間の切り替え期間に比べて、キャパシタの値を変化させるのに必要な時間があることによって困難である。
本開示に従ったシステムおよび方法は、直接駆動回路と、直接駆動回路およびデュアル周波数直接駆動回路を備えたデュアル周波数ハイブリッド回路と、に関する。本開示に従った直接駆動回路は、反対極性で、かつ、所望のDCの大きさの半分で動作する2つのDC電源を用いて、ハーフブリッジ回路内のDCバイアスを除去する。本開示に従った直接駆動回路は、低インピーダンスでも動作し、これにより、上述した整合の問題を解決する。デュアル周波数ハイブリッド回路は、直接駆動回路を、より高い周波数かつより高いインピーダンス(50オームなど)で動作する駆動回路と組み合わせる。デュアル周波数直接駆動回路は、2つの異なる周波数で動作する2つの直接駆動回路を組み合わせる。
ここで、図1を参照すると、本開示に従った基板処理システム10の一例が示されている。基板処理システム10は、コイル駆動回路11を備える。パルス化回路14が、RF電力をオンおよびオフにパルス化するため、もしくは、RF電力の大きさまたはレベルを変化させるために用いられてよい。同調回路13は、1または複数の誘導コイル16に直接接続されてよい。同調回路13は、RF源12の出力を所望の周波数および/または所望の位相に同調させ、コイル16のインピーダンスを整合して、コイル16の間で電力を分割する。いくつかの例において、コイル駆動回路11は、RFバイアスの制御に関連して後に詳述する駆動回路の1つに置き換えられる。
いくつかの例において、プレナム20が、温風および/または冷風の流れで誘電体窓24の温度を制御するために、コイル16と誘電体窓24との間に配置される。誘電体窓24は、処理チャンバ28の片側に沿って配置される。処理チャンバ28は、さらに、基板支持体(すなわち、ペデスタル)32を備える。基板支持体32は、静電チャック(ESC)、機械式チャック、または、その他のタイプのチャックを含みうる。処理ガスが、処理チャンバ28に供給され、プラズマ40が、処理チャンバ28の内部で生成される。プラズマ40は、基板34の露出面をエッチングする。駆動回路52(後に記載する回路の内の1つなど)が、動作中に基板支持体32内の電極へRFバイアスを供給するために用いられてよい。
ガス供給システム56が、処理チャンバ28に処理ガス混合物を供給するために用いられてよい。ガス供給システム56は、処理ガス/不活性ガス源57と、ガス計量システム58(バルブおよびマスフローコントローラなど)と、マニホルド59とを備えてよい。ガス供給システム60が、バルブ61を介してガス62をプレナム20へ供給するために用いられてよい。ガスは、コイル16および誘電体窓24を冷却するために用いられる冷却ガス(空気)を含みうる。ヒータ/クーラ64が、所定の温度まで基板支持体32を加熱/冷却するために用いられてよい。排気システム65が、バルブ66およびポンプ67を備え、パージまたは排出によって処理チャンバ28から反応物質を除去する。
コントローラ54が、エッチング処理を制御するために用いられてよい。コントローラ54は、システムパラメータを監視し、ガス混合物の供給、プラズマの点火、維持、および、消火、反応物質の除去、冷却ガスの供給などを制御する。さらに、後に詳述するように、コントローラ54は、コイル駆動回路11および駆動回路52の様々な側面を制御してよい。
ここで、図2を参照すると、RFバイアス(またはRFプラズマ電力)を供給するための駆動回路52の一例が示されている。駆動回路52は、1または複数の選択されたRF周波数で動作するクロック120を備える。クロック120によって出力されたクロック信号は、ゲート駆動回路122に入力される。いくつかの例において、ゲート駆動回路122は、クロック120に接続されたそれぞれの入力を有する増幅器144および反転増幅器146を備える。
ゲート駆動回路122の出力は、ハーフブリッジ回路138に入力される。いくつかの例において、ハーフブリッジ回路138は、第1スイッチ140および第2スイッチ142を備える。いくつかの例において、第1スイッチ140および第2スイッチ142は、金属−酸化物−半導体電界効果トランジスタ(MOSFET)を備える。第1スイッチ140および第2スイッチ142は各々、制御端子と、第1および第2端子とを備える。ゲート駆動回路122の増幅器144の出力は、第1スイッチ140の制御端子に入力される。ゲート駆動回路122の反転増幅器146の出力は、第2スイッチ142の制御端子に入力される。
出力ノード130が、第1スイッチ140の第2端子と、第2スイッチ142の第1端子とに接続されている。第1スイッチ140の第1端子は、DC電源126に接続されている。第2スイッチ142の第2端子は、基準電位(接地など)に接続されている。
出力ノード130は、インダクタ132によってカソード134へ接続されている。いくつかの例において、抵抗Rと直列のキャパシタンスCが、駆動回路52から見たインピーダンスをモデル化するために用いられてよい(例えば、プラズマキャパシタンスおよび抵抗、基板支持体内の電極(または別の構成要素)のキャパシタンスおよび抵抗、ならびに/もしくは、その他の漂遊または寄生キャパシタンスおよび抵抗)。
図2の駆動回路は、ESCのベースプレート上に望ましくないDC電圧/成分を生み出しうる単一のDC電源126を用いる。このDC成分は、独立制御されると期待されるベースプレート上のESC DCバイアスに影響を与えることによって、ウエハチャッキング/デチャッキング性能を低下させうる。
ここで、図3を参照すると、図2のハーフブリッジ回路138の出力130での電圧波形のフーリエ変換が、以下の式で表されうる:
Figure 2021527379
ここで、T=1/fRFであり、fRFはRF周波数である。式の右辺の高調波が、ローパスフィルタを用いてフィルタリングされると、fRFの基本周波数およびVDC/2のDC成分が残る。
ここで、図4を参照すると、ベースプレート上の望ましくないDC電圧を解消するために、本開示に従った駆動回路52は、デュアルDC電源を利用する。駆動回路52は、+VDC/2で動作する第1DC電源410と、−VDC/2で動作する第2DC電源420と、を備える。同じ出力RF電力を達成するために、第1および第2DC電源410、420は両方とも、図2の単一のDC電源の半分の電圧で動作する。いくつかの例において、第1DC電源410および第2DC電源420は、ほぼ同じ大きさかつ反対極性で動作する。本明細書で用いられているように、「ほぼ同じ」とは、第1DC電源410および第2DC電源420によって出力されるDC電圧の大きさの間の差が、10%、5%、または、1%未満であることである。第1DC電源410は、第1スイッチ140の第1端子に接続されている。第2DC電源420は、第2スイッチ142の第2端子に接続されている。
ここで、図5を参照すると、図4の駆動回路52によって出力される電圧波形は、以下のフーリエ変換によりDC成分を持たない:
Figure 2021527379
ここで、T=1/fRFであり、fRFはRF周波数である。したがって、図2の回路のようなDC成分がなく、それに関連する問題が解消される。
ここで、図6を参照すると、駆動回路52は、第1周波数で動作する直接駆動回路650と、より高いインピーダンス、かつ、第1周波数とは異なる第2周波数で動作する駆動回路652と、を備える。駆動回路52は、以前の設計よりも比較的低コストでデュアル周波数RFバイアスを提供する。いくつかの例において、直接駆動回路650は、1MHzで動作し、駆動回路652は、13.56MHz(50オーム)で動作するが、その他の周波数が利用されてもよい。
直接駆動回路650は、上述のように、クロック120、ゲート駆動回路122、ハーフブリッジ回路138、第1DC電源410、および、第2DC電源420を備える。出力ノード130は、キャパシタC7の第1端子と直列に接続された第1および第2インダクタL6およびL8によって接続されている。キャパシタC7の第2端子は、カソード134に接続されている。キャパシタC4が、インダクタL5と直列に出力ノード130に接続されている。キャパシタC5が、インダクタL6と並列に接続されている。
いくつかの例において、キャパシタC4およびインダクタL5のキャパシタンスおよびインダクタンスの値は、第2周波数で共振するように選択される。いくつかの例において、キャパシタC5およびインダクタL6のキャパシタンスおよびインダクタンスの値は、駆動回路652の第2周波数で共振するように選択される。第2周波数を遮断またはフィルタアウトするため、および/または、出力ノード130の向こう側の回路を保護するために、共振回路C4/L5および/またはC5/L6の一方または両方を利用できる。いくつかの例において、共振回路C4/L5および/またはC5/L6の一方または両方が省略される。インダクタンスL6およびL8と、キャパシタCpとの組み合わせが、駆動回路650の第1周波数で共振する共振回路を形成する。
第2周波数のRFバイアスを供給するために、駆動回路652は、インダクタL1の1つの端子に接続されたRF発生器620を備える。インダクタL1の第2端子は、接地などの基準電位に接続されている。インダクタL1の第1端子は、共振回路624と、インダクタL3の第1端子と、に接続されている。共振回路624は、インダクタL2と直列に接続された可変キャパシタC1を備える。インダクタL2の第2端子は、接地などの基準電位に接続されている。
インダクタL3の第2端子は、可変キャパシタC2の第1端子に接続されている。第1可変キャパシタC1および第2可変キャパシタC2は、整合回路654として機能する。可変キャパシタC2の第2端子は、インダクタL4によってキャパシタC3の第1端子に接続されている。キャパシタC3の第2端子は、カソード134に接続されている。RF発生器620によって供給されたRFバイアスの第1パルスレベルが、可変キャパシタC1およびC2を用いてインピーダンス(50Ωなど)に整合される。しかしながら、可変キャパシタC1およびC2が、重複するシリンダを備える物理キャパシタである場合、RF発生器620の周波数を変更することによって、その他のパルスレベルへの整合がなされる。利用時に、可変キャパシタC1およびC2のキャパシタンスは、重複量を調節するモータを用いて調節される。しかしながら、これらのキャパシタは、一般に、レベルからレベルへのパルシングにとって十分迅速には調節できない。
電流センサ640が、出力ノード130に接続されている。同様に、電圧センサ642が、出力ノード130に接続されている。電流センサ640によって出力された検知電流および電圧センサ642によって出力された検知電圧は、位相オフセット計算器646およびクロック周波数調整器648を備えたコントローラ644に入力される。
位相オフセット計算器646は、電圧と電流との間の位相オフセットを決定する。位相オフセット計算器646は、位相オフセットをクロック周波数調整器648に出力する。電圧が電流よりも先行する場合、クロック周波数調整器648は、クロック120の周波数を下げる。電流が電圧よりも先行する場合、クロック周波数調整器648は、クロック120の周波数を上げる。いくつかの例において、ヒステリシスが用いられてもよい。いくつかの例において、クロック周波数調整器648は、電圧が所定の第1閾値TH1だけ電流よりも先行した場合に、クロック120の周波数を下げる。いくつかの例において、クロック周波数調整器648は、電圧が所定の第2閾値TH2だけ電流よりも先行した場合に、クロック120の周波数を下げる。
ここで、図7を参照すると、駆動回路の両方が、650−1および650−2で示すように、直接駆動回路であってもよい。直接駆動回路650−1は、上述のように動作する。直接駆動回路650−2は、図6の直接駆動回路650と同様である。
いくつかの例において、直接駆動回路650−2のキャパシタC4およびインダクタL5のキャパシタンスおよびインダクタンスの値は、第1周波数で共振するように選択される。いくつかの例において、直接駆動回路650−2のキャパシタC5およびインダクタL6のキャパシタンスおよびインダクタンスの値は、第1周波数で共振するように選択される。第1周波数を遮断またはフィルタアウトするため、および/または、直接駆動回路650−2の出力ノード130の向こう側の回路を保護するために、直接駆動回路650−2の共振回路C4/L5および/またはC5/L6の一方または両方を利用できる。いくつかの例において、共振回路C4/L5および/またはC5/L6の一方または両方が省略される。直接駆動回路650−2のインダクタンスL6およびL8と、キャパシタCpとの組み合わせが、第2周波数で共振する共振回路を形成する。
電流センサ640が、直接駆動回路650−1および650−2の出力ノード130に接続されている。同様に、電圧センサ642が、直接駆動回路650−1および650−2の出力ノード130に接続されている。電流センサ640によって出力された検知電流および電圧センサ642によって出力された検知電圧は、位相オフセット計算器646およびクロック周波数調整器648を備えたコントローラ644に入力される。直接駆動回路650−1および650−2のクロック120のクロック周波数は、個別に、上述のように調整される。単一のコントローラ644が図示されているが、直接駆動回路650−1および650−2の各々に専用のコントローラが用いられてもよい。
ここで、図8を参照すると、直接駆動回路を制御するための方法800が示されている。工程810で、電流および電圧の波形が、出力ノードまたは別の位置で検知される。工程814で、電圧波形と電流波形との間の位相オフセットが決定される。例えば、電流および電圧のゼロ交差が監視されうる。ゼロ交差のタイミングの差を用いて、位相オフセットを決定できる。工程820で、クロックの周波数が、電圧と電流との間の位相オフセットを低減するように調整される。
ここで、図9を参照すると、クロックの周波数を調整するための方法900が示されている。工程910で決定されたように電圧が電流に先行する場合、工程920で周波数が下げられる。いくつかの例において、電圧は、周波数が下げられる前、第1閾値TH1より大きく電流に先行する必要がある。工程930で決定されたように電流が電圧に先行する場合、工程940で周波数が上げられる。いくつかの例において、電流は、周波数が上げられる前、第2閾値TH2より大きく電圧に先行する必要がある。他の例では、ヒステリシスが用いられない。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1以上の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1以上の処理ツール、1以上のチャンバ、処理のための1以上のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1以上のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1以上の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1以上の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1以上の集積回路と通信するチャンバ上の1以上の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。

Claims (22)

  1. 基板処理システムの構成要素にRF電力を提供するための直接駆動回路であって、
    第1周波数のクロック信号を生成するためのクロック発生器と、
    前記クロック信号を受信するためのゲートドライバと、
    ハーフブリッジ回路であって、
    前記ゲートドライバに接続された制御端子、第1端子、および、第2端子を備えた第1スイッチと、
    前記ゲートドライバに接続された制御端子、前記第1スイッチの前記第2端子と出力ノードとに接続された第1端子、および、第2端子を備えた第2スイッチと、を備える、ハーフブリッジ回路と、
    前記第1スイッチの前記第1端子に第1電位を供給するための第1DC電源と、
    前記第2スイッチの前記第2端子に第2電位を供給するための第2DC電源であって、前記第1電位および前記第2電位は、反対の極性を有し、ほぼ等しい大きさである、第2DC電源と、
    を備える、直接駆動回路。
  2. 請求項1に記載の直接駆動回路であって、さらに、
    前記出力ノードでの電流を検知して、電流信号を生成するための電流センサと、
    前記出力ノードでの電圧を検知して、電圧信号を生成するための電圧センサと、
    コントローラと、
    を備え、
    前記コントローラは、
    前記電圧信号と前記電流信号との間の位相オフセットを計算するための位相オフセット計算器と、
    前記位相オフセットに基づいて前記第1周波数を調整するためのクロック調整器と、
    を備える、直接駆動回路。
  3. 請求項2に記載の直接駆動回路であって、前記クロック調整器は、前記電流が前記電圧よりも先行した場合に前記第1周波数を上げ、前記電圧が前記電流よりも先行した場合に前記第1周波数を下げる、直接駆動回路。
  4. 請求項1に記載の直接駆動であって、さらに、前記出力ノードを前記基板処理システムの前記構成要素に接続する第1回路を備える、直接駆動。
  5. 請求項4に記載の直接駆動であって、前記第1回路は、
    前記出力ノードに接続された第1キャパシタと、
    前記第1キャパシタと直列に接続された第1インダクタと、
    を備える、直接駆動。
  6. 請求項5に記載の直接駆動であって、前記第1回路は、さらに、
    前記出力ノードに接続された第1端子を有する第2インダクタと、
    前記第2インダクタの第2端子に接続された第1端子を有する第3インダクタと、
    前記第2インダクタと並列に接続された第2キャパシタと、
    前記第3インダクタの第2端子に接続された第1端子を有する第3キャパシタと、
    を備える、直接駆動。
  7. デュアル周波数駆動回路であって、
    請求項4の前記直接駆動回路と、
    前記基板処理システムの前記構成要素に接続され、前記第1周波数とは異なる第2周波数で動作するさらなる駆動回路と、
    を備える、デュアル周波数駆動回路。
  8. 請求項7に記載のデュアル周波数駆動回路であって、前記さらなる駆動回路は、請求項4の前記直接駆動回路の第2回路を備える、デュアル周波数駆動回路。
  9. 請求項7に記載のデュアル周波数駆動回路であって、前記さらなる駆動回路は、前記第2周波数のRF信号を生成するRF発生器を備える、デュアル周波数駆動回路。
  10. 請求項9に記載のデュアル周波数駆動回路であって、前記さらなる駆動回路は、さらに、前記RF発生器のインピーダンスを前記構成要素に整合させるための整合回路を備えた出力回路を備える、デュアル周波数駆動回路。
  11. 請求項10に記載のデュアル周波数駆動回路であって、前記出力回路は、
    前記RF発生器の出力に接続された第1インダクタと、
    第2インダクタと、
    前記出力に接続された第1端子および前記第2インダクタに接続された第2端子を有する第1可変キャパシタと、
    を備える、デュアル周波数駆動回路。
  12. 請求項11に記載のデュアル周波数駆動回路であって、前記出力回路は、さらに、
    前記出力に接続された第1端子を有する第3インダクタと、
    前記第3インダクタの第2端子に接続された第1端子を有する第2可変キャパシタと、
    前記第2可変キャパシタの第2端子に接続された第1端子を有する第4インダクタと、
    前記第4インダクタの第2端子に接続された第1端子および前記構成要素に接続された第2端子を有する第1キャパシタと、
    を備える、デュアル周波数駆動回路。
  13. 基板処理システムの構成要素にRF電力を提供するための駆動回路であって、
    前記基板処理システムの前記構成要素に接続された第1直接駆動回路であって、
    第1周波数の第1クロック信号を生成するための第1クロック発生器と、
    前記第1クロック信号を受信するための第1ゲートドライバと、
    前記第1ゲートドライバと第1出力ノードとの間に接続された第1ハーフブリッジ回路であって、前記第1ハーフブリッジ回路は、第1DC電源および第2DC電源によってバイアスされ、前記第1DC電源によって供給される第1電位および前記第2DC電源によって供給される第2電位は、反対の極性を有し、ほぼ等しい大きさである、第1ハーフブリッジ回路と、
    前記第1出力ノードを前記基板処理システムの前記構成要素に接続すると共に、前記第1周波数とは異なる第2周波数を遮断するための第1遮断回路と、を備える、第1直接駆動回路と、
    前記基板処理システムの前記構成要素に接続され、前記第2周波数で動作する第2駆動回路と、
    を備える、駆動回路。
  14. 請求項13に記載の駆動回路であって、さらに、
    前記第1出力ノードでの電流を検知して、電流信号を生成するための電流センサと、
    前記第1出力ノードでの電圧を検知して、電圧信号を生成するための電圧センサと、
    コントローラと、
    を備え、
    前記コントローラは、
    前記電圧信号と前記電流信号との間の位相オフセットを計算するための位相オフセット計算器と、
    前記位相オフセットに基づいて前記第1周波数を調整するためのクロック調整器と、
    を備える、駆動回路。
  15. 請求項14に記載の駆動回路であって、前記クロック調整器は、前記電流が前記電圧よりも先行した場合に前記第1周波数を上げ、前記電圧が前記電流よりも先行した場合に前記第1周波数を下げる、駆動回路。
  16. 請求項13に記載の駆動回路であって、前記第1遮断回路は、
    前記第1出力ノードに接続された第1キャパシタと、
    前記第1キャパシタと直列に接続された第1インダクタと、
    を備える、駆動回路。
  17. 請求項16に記載の駆動回路であって、前記第1遮断回路は、さらに、
    前記第1出力ノードに接続された第1端子を有する第2インダクタと、
    前記第2インダクタの第2端子に接続された第1端子を有する第3インダクタと、
    前記第2インダクタと並列に接続された第2キャパシタと、
    前記第3インダクタの第2端子に接続された第1端子を有する第3キャパシタと、
    を備える、駆動回路。
  18. 請求項13に記載の駆動回路であって、前記第2駆動回路は、前記第2周波数のRF信号を生成するためのRF発生器を備える、駆動回路。
  19. 請求項18に記載の駆動回路であって、前記第2駆動回路は、さらに、前記RF発生器のインピーダンスを前記構成要素に整合させるための調整可能な整合回路を備えた第1出力回路を備える、駆動回路。
  20. 請求項19に記載の駆動回路であって、前記第1出力回路は、
    前記RF発生器に接続された第1インダクタと、
    第2インダクタと、
    前記RF発生器に接続された第1端子および前記第2インダクタに接続された第2端子を有する第1可変キャパシタと、
    を備える、駆動回路。
  21. 請求項20に記載の駆動回路であって、前記第1出力回路は、さらに、
    前記RF発生器に接続された第1端子を有する第3インダクタと、
    前記第3インダクタの第2端子に接続された第1端子を有する第2可変キャパシタと、
    前記第2可変キャパシタの第2端子に接続された第1端子を有する第4インダクタと、
    前記第4インダクタの第2端子に接続された第1端子および前記構成要素に接続された第2端子を有する第1キャパシタと、
    を備える、駆動回路。
  22. 請求項14に記載の駆動回路であって、前記第2駆動回路は、
    前記第2周波数の第2クロック信号を生成するための第2クロック発生器と、
    前記第2クロック信号を受信するための第2ゲートドライバと、
    前記第2ゲートドライバと第2出力ノードとの間に接続された第2ハーフブリッジ回路を備えた第2ハーフブリッジ回路であって、前記第2ハーフブリッジ回路は、第3DC電源および第4DC電源によってバイアスされ、前記第3DC電源によって供給される第1電位および前記第4DC電源によって供給される第2電位は、反対の極性を有し、ほぼ等しい大きさである、第2ハーフブリッジ回路と、
    前記第2出力ノードを前記基板処理システムの前記構成要素に接続すると共に、前記第1周波数を遮断するための第2遮断回路と、
    を備える、駆動回路。
JP2020569012A 2018-06-13 2019-05-23 基板処理システムのための直接駆動rf回路 Active JP7359789B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/007,481 2018-06-13
US16/007,481 US10515781B1 (en) 2018-06-13 2018-06-13 Direct drive RF circuit for substrate processing systems
PCT/US2019/033776 WO2019240931A1 (en) 2018-06-13 2019-05-23 Direct drive rf circuit for substrate processing systems

Publications (2)

Publication Number Publication Date
JP2021527379A true JP2021527379A (ja) 2021-10-11
JP7359789B2 JP7359789B2 (ja) 2023-10-11

Family

ID=68840267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569012A Active JP7359789B2 (ja) 2018-06-13 2019-05-23 基板処理システムのための直接駆動rf回路

Country Status (5)

Country Link
US (2) US10515781B1 (ja)
EP (1) EP3807921A4 (ja)
JP (1) JP7359789B2 (ja)
KR (1) KR20210008921A (ja)
WO (1) WO2019240931A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024015304A1 (en) * 2022-07-12 2024-01-18 Lam Research Corporation Fast frequency tracking control for radiofrequency power amplifiers with rapidly changing plasma loads

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9780689B2 (en) * 2015-10-21 2017-10-03 Texas Instruments Incorporated Isolated capacitive power transfer
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
CN114424319B (zh) * 2019-08-19 2024-04-30 应用材料公司 用于在多个频率下控制rf参数的方法及装置
US20230290611A1 (en) * 2020-05-27 2023-09-14 Lam Research Corporation Distributed plasma source array
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN117999631A (zh) * 2021-09-17 2024-05-07 朗姆研究公司 用于直接驱动式射频功率供应源的参考盒
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2775345B2 (ja) * 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
JP2009071133A (ja) * 2007-09-14 2009-04-02 Toshiba Corp プラズマ処理装置およびプラズマ処理方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6469919B1 (en) 1999-07-22 2002-10-22 Eni Technology, Inc. Power supplies having protection circuits
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US7214934B2 (en) 2004-07-22 2007-05-08 Varian Australia Pty Ltd Radio frequency power generator
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
DE112007003667A5 (de) * 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
JP2010238881A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
US20120000888A1 (en) 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
EP2589968A1 (en) 2011-11-04 2013-05-08 Roche Diagnostics GmbH Laboratory sample distribution system, laboratory system and method of operating
US9184655B2 (en) * 2014-03-17 2015-11-10 Semiconductor Components Industries, Llc Method and semiconductor device for a dedicated startup sequence in a resonant converter
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
US10332725B2 (en) 2015-03-30 2019-06-25 Lam Research Corporation Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
US9515633B1 (en) 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2775345B2 (ja) * 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2009071133A (ja) * 2007-09-14 2009-04-02 Toshiba Corp プラズマ処理装置およびプラズマ処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024015304A1 (en) * 2022-07-12 2024-01-18 Lam Research Corporation Fast frequency tracking control for radiofrequency power amplifiers with rapidly changing plasma loads

Also Published As

Publication number Publication date
EP3807921A1 (en) 2021-04-21
US10847345B2 (en) 2020-11-24
US10515781B1 (en) 2019-12-24
EP3807921A4 (en) 2022-03-02
US20190385821A1 (en) 2019-12-19
JP7359789B2 (ja) 2023-10-11
WO2019240931A1 (en) 2019-12-19
US20200111644A1 (en) 2020-04-09
KR20210008921A (ko) 2021-01-25

Similar Documents

Publication Publication Date Title
JP7359789B2 (ja) 基板処理システムのための直接駆動rf回路
CN106960776B (zh) 用于蚀刻室的快速阻抗切换的变压器耦合电容性调谐电路
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7476169B2 (ja) 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム
CN110709961B (zh) 用变压器耦合电容调谐开关进行变压器耦合等离子体脉冲的系统和方法
TW201717247A (zh) 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
JP7370377B2 (ja) 基板処理システムにおけるマッチレスプラズマ源のための直接周波数同調
CN114556542A (zh) 用于衬底处理系统的衬底支撑件的加热器元件的电源隔离电路
US20230245873A1 (en) Protection System for Switches in Direct Drive Circuits of Substrate Processing Systems
KR20240042520A (ko) 멀티-주파수, 멀티-레벨, 멀티-상태 펄싱을 위한 rf 매칭 회로를 포함하는 기판 프로세싱 시스템
WO2023129366A1 (en) Substrate processing tool with high-speed match network impedance switching for rapid alternating processes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230421

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230928

R150 Certificate of patent or registration of utility model

Ref document number: 7359789

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150