JP2021524149A - Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing - Google Patents

Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing Download PDF

Info

Publication number
JP2021524149A
JP2021524149A JP2020530527A JP2020530527A JP2021524149A JP 2021524149 A JP2021524149 A JP 2021524149A JP 2020530527 A JP2020530527 A JP 2020530527A JP 2020530527 A JP2020530527 A JP 2020530527A JP 2021524149 A JP2021524149 A JP 2021524149A
Authority
JP
Japan
Prior art keywords
transition metal
metal oxide
oxide film
hard mask
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020530527A
Other languages
Japanese (ja)
Other versions
JP7072064B2 (en
Inventor
エム. ブラックウェル、ジェームス
エム. ブラックウェル、ジェームス
ビー. クレンデニング、スコット
ビー. クレンデニング、スコット
タン、チェン
クライサク、マリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2021524149A publication Critical patent/JP2021524149A/en
Application granted granted Critical
Publication of JP7072064B2 publication Critical patent/JP7072064B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング、ならびに結果として得られるデバイスが説明される。例において、膜をドライエッチングする方法は、潜在孔形成材料を中に有する遷移金属酸化物膜を形成する段階を含む。方法はまた、遷移金属酸化物膜の多孔性領域を形成するために、遷移金属酸化物膜の潜在孔形成材料の表面部分を除去する段階を含む。方法はまた、遷移金属酸化物膜の多孔性領域を除去する段階を含む。Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing, as well as the resulting devices are described. In an example, the method of dry etching a film involves forming a transition metal oxide film having a latent pore forming material inside. The method also comprises removing the surface portion of the potential pore forming material of the transition metal oxide film to form a porous region of the transition metal oxide film. The method also comprises removing the porous region of the transition metal oxide film.

Description

本開示の実施形態は、半導体構造および処理の分野に関し、特に、デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング、ならびに結果として得られるデバイスに関する。 The embodiments of the present disclosure relate to the field of semiconductor structure and processing, in particular to selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing, and the resulting devices.

過去数十年にわたり、集積回路におけるフィーチャのスケーリングは、成長を続ける半導体産業を後押しする原動力であった。ますます微細なフィーチャへとスケーリングすることは、半導体チップの限定された面積上において機能ユニットの密度増加を可能にする。 Over the last few decades, scaling features in integrated circuits has been the driving force behind the growing semiconductor industry. Scaling to increasingly fine features allows for increased density of functional units over the limited area of semiconductor chips.

第1態様において、集積回路は一般に、当分野においてビアとして知られている導電性マイクロエレクトロニクス構造を含み、これにより、ビアより上の金属線または他のインターコネクトを、ビアの下方の金属線または他のインターコネクトと電気的に接続する。ビアは、典型的には、リソグラフィ処理によって形成される。代表的には、フォトレジスト層が誘電体層の上方にスピンコートされ得て、フォトレジスト層は、パターニングされたマスクを通して、パターニングされた化学線に露光され得て、次に、フォトレジスト層に開口を形成するべく、露光された層が現像され得る。次に、フォトレジスト層の開口をエッチングマスクとして使用することによって、ビア用の開口が誘電体層にエッチングされ得る。この開口は、ビア開口と呼ばれる。最後に、ビア開口は、1または複数の金属または他の導電性材料で充填され、ビアを形成し得る。 In a first aspect, the integrated circuit comprises a conductive microelectronic structure commonly known in the art as a via, thereby allowing the metal wire or other interconnect above the via to the metal wire or other below the via. Electrically connect to the interconnect of. Vias are typically formed by a lithography process. Typically, the photoresist layer can be spin coated over the dielectric layer, the photoresist layer can be exposed to patterned chemical lines through a patterned mask, and then into the photoresist layer. The exposed layer can be developed to form an opening. Next, by using the openings of the photoresist layer as an etching mask, the openings for vias can be etched into the dielectric layer. This opening is called a via opening. Finally, the via openings can be filled with one or more metals or other conductive materials to form vias.

1つの課題は、ビアと、上層インターコネクトとの間の重ね合わせ、および、ビアと下層ランディングインターコネクトとの間の重ね合わせは、一般的に、ビアピッチのおよそ4分の1程度の高い許容誤差で制御される必要がある。ビアピッチが次第にますます小さくスケーリングするにつれて、重ね合わせの許容誤差もそれに合わせてスケーリングする傾向があり、その速度は、リソグラフィ装置が追いつけるより更に高い。したがって、ビアおよび関連するインターコネクト製造技術の領域において改善が必要である。 One challenge is that the superposition between the vias and the upper interconnects and the superposition between the vias and the lower landing interconnects are generally controlled with a high margin of error of about a quarter of the via pitch. Need to be done. As the via pitch scales smaller and smaller, the overlay tolerance also tends to scale accordingly, even faster than the lithography equipment can keep up. Therefore, improvements are needed in the area of vias and related interconnect manufacturing technologies.

第2態様において、トライゲートトランジスタなどのマルチゲートトランジスタは、デバイス寸法が縮小を続けるにつれて、より広く用いられるようになった。従来のプロセスでは、トライゲートトランジスタまたはほかの非プレーナ型トランジスタは一般に、バルクシリコン基板またはシリコン・オン・インシュレータ基板のいずれかの基板上に製造される。いくつかの場合において、バルクシリコン基板は、より低い費用と、既存の高歩留まりバルクシリコン基板のインフラストラクチャとの適合性とに起因して好適である。しかしながら、影響を生じさせることなくマルチゲートトランジスタをスケーリングすることはできていない。マイクロエレクトロニクス回路のこれらの基本構成単位の寸法が減少するにつれて、および、所与の領域において製造される非常に多くの基本構成単位が増加するにつれて、これらの構成単位を製造するために使用される半導体プロセスに対する制約が大きくなってきている。したがって、非プレーナ型トランジスタ製造技術の領域において改善が必要である。 In the second aspect, multi-gate transistors such as tri-gate transistors have become more widely used as device dimensions continue to shrink. In conventional processes, trigate transistors or other non-planar transistors are typically manufactured on either a bulk silicon substrate or a silicon on insulator substrate. In some cases, bulk silicon substrates are suitable due to their lower cost and compatibility with the infrastructure of existing high yield bulk silicon substrates. However, it has not been possible to scale a multi-gate transistor without causing an effect. Used to manufacture these building blocks as the dimensions of these basic building blocks of a microelectronic circuit decrease and as the number of building blocks manufactured in a given region increases. The restrictions on semiconductor processes are increasing. Therefore, improvements are needed in the area of non-planar transistor manufacturing technology.

本開示の実施形態に係る、遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図および対応する平面図を示す。A cross-sectional view and a corresponding plan view of the operation in the controlled etching scheme of the transition metal oxide film according to the embodiment of the present disclosure are shown. 本開示の別の実施形態に係る遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図を示す。FIG. 3 shows a cross-sectional view of the operation in a controlled etching scheme of a transition metal oxide film according to another embodiment of the present disclosure. 本開示の別の実施形態に係る遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図を示す。FIG. 3 shows a cross-sectional view of the operation in a controlled etching scheme of a transition metal oxide film according to another embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。FIG. 5 shows a cross-sectional view of a portion of an integrated circuit layer representing various operations in a method involving self-aligned conductive via formation for back end of line (BEOL) interconnect manufacturing according to an embodiment of the present disclosure. 本開示の実施形態に係る、自己整合ゲートコンタクト製造のためのコンタクトキャップ層に対するゲート電極キャップ層の選択エッチングを示す。The selective etching of the gate electrode cap layer with respect to the contact cap layer for manufacturing a self-aligned gate contact according to the embodiment of the present disclosure is shown. 本開示の実施形態に係る、自己整合ゲートコンタクト製造のためのコンタクトキャップ層に対するゲート電極キャップ層の選択エッチングを示す。The selective etching of the gate electrode cap layer with respect to the contact cap layer for manufacturing a self-aligned gate contact according to the embodiment of the present disclosure is shown. 本開示の実施形態に係る、自己整合ゲートコンタクト製造のためのコンタクトキャップ層に対するゲート電極キャップ層の選択エッチングを示す。The selective etching of the gate electrode cap layer with respect to the contact cap layer for manufacturing a self-aligned gate contact according to the embodiment of the present disclosure is shown. 本開示の実施形態に係る、ゲート電極処理のために遷移金属酸化物ドライエッチングアプローチを使用する処理スキームにおける様々な操作を示す。Various operations in the treatment scheme using the transition metal oxide dry etching approach for the gate electrode treatment according to the embodiment of the present disclosure are shown. 本開示の実施形態に係る非プレーナ型半導体デバイスの断面図を示す。The cross-sectional view of the non-planar type semiconductor device which concerns on embodiment of this disclosure is shown. 本開示の実施形態に係る、図7Aの半導体デバイスのa‐a'軸に沿った平面図を示す。A plan view of the semiconductor device of FIG. 7A along the aa'axis according to the embodiment of the present disclosure is shown. 本開示の一実装に係るコンピューティングデバイスを示す。A computing device according to an implementation of the present disclosure is shown. 本開示の1または複数の実施形態を実装するインターポーザである。An interposer that implements one or more embodiments of the present disclosure.

デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング、ならびに結果として得られるデバイスが説明される。以下の説明において、本開示の実施形態の十分な理解を提供すべく、具体的な統合および材料のレジームなど、多数の具体的な詳細が説明される。当業者には、本開示の実施形態がこれらの具体的な詳細なしに実践され得ることは明らかであろう。他の例において、本開示の実施形態を不必要に不明瞭としないようにするべく、集積回路設計レイアウトなどのよく知られているフィーチャは、詳細には説明されていない。さらには、図に示される様々な実施形態は、例示的な表示であって、必ずしも縮尺通りに描写されるものではないことを理解されたい。 Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing, as well as the resulting devices are described. In the following description, a number of specific details are described, including specific integrations and material regimes, to provide a full understanding of the embodiments of the present disclosure. It will be apparent to those skilled in the art that the embodiments of the present disclosure can be practiced without these specific details. In other examples, well-known features such as integrated circuit design layouts are not described in detail so as not to unnecessarily obscure the embodiments of the present disclosure. Furthermore, it should be understood that the various embodiments shown in the figures are exemplary representations and are not necessarily depicted to scale.

特定の用語はまた、以下の説明において参照目的のためにのみ使用され得て、従って、限定することは意図されていない。例えば、「上」、「下」、「上方」、および「下方」、「下部」および「上部」などの用語は、参照された図面内での方向を指す。「前側」、「後側」、「背面」、および「側面」などの用語は、議論の下で構成要素について記載する本文および関連図面の参照によって明らかにされる、一貫性があるが恣意的な基準枠内で、構成要素の部分の配向および/または位置を記述する。そのような用語は、具体的に上述された語、それらの派生語、および類似の意味の語を含み得る。 Certain terms may also be used in the following description for reference purposes only and are therefore not intended to be limiting. For example, terms such as "top," "bottom," "top," and "bottom," "bottom," and "top" refer to directions within the referenced drawing. Terms such as "front", "rear", "back", and "side" are consistent but arbitrary, as revealed by reference to the text and related drawings that describe the components under discussion. Describe the orientation and / or position of the component parts within a flexible reference frame. Such terms may include the words specifically mentioned above, their derivatives, and words with similar meanings.

本明細書において説明される実施形態は、基板工程(FEOL)の半導体処理および構造に関連し得る。FEOLは、個別デバイス(例えば、トランジスタ、コンデンサ、抵抗器など)が半導体基板または層にパターニングされる、集積回路(IC)製造の第1部分である。FEOLは、一般的に、金属インターコネクト層の堆積まで(ただし、これを含まない)のすべてを包含する。最後のFEOL工程の後、典型的には、分離された(例えば、いかなるワイヤも無い)トランジスタを有するウェハが結果として生じる。 The embodiments described herein may relate to the semiconductor processing and structure of the Front End of Line (FEOL). FEOL is the first part of integrated circuit (IC) manufacturing in which individual devices (eg, transistors, capacitors, resistors, etc.) are patterned on a semiconductor substrate or layer. FEOL generally includes everything up to (but not including) the deposition of metal interconnect layers. After the final FEOL step, a wafer typically results with separated transistors (eg, without any wires).

本明細書において説明される実施形態は、配線工程(BEOL)の半導体処理および構造に関連し得る。BEOLは、個別デバイス(例えば、トランジスタ、コンデンサ、抵抗器など)がウェハ上の配線、例えば、1または複数のメタライゼーション層と相互接続される、IC製造の第2部分である。BEOLは、コンタクト、絶縁層(誘電体)、金属レベル、および、チップ‐パッケージ間接続のためのボンディング部位を含む。製造段階のBEOL部分においては、コンタクト(パッド)、インターコネクトワイヤ、ビア、および、誘電体構造が形成される。現代のICプロセスにおいて、10より多くの金属層がBEOLにおいて追加され得る。 The embodiments described herein may relate to the semiconductor processing and structure of the back end of line (BEOL). BEOL is a second part of IC manufacturing in which individual devices (eg, transistors, capacitors, resistors, etc.) are interconnected with wiring on a wafer, such as one or more metallization layers. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-package connections. In the BEOL portion of the manufacturing stage, contacts (pads), interconnect wires, vias, and dielectric structures are formed. In modern IC processes, more than 10 metal layers can be added in BEOL.

後述される実施形態は、FEOL処理および構造、BEOL処理および構造、または、FEOL処理および構造とBEOL処理および構造との両方に適用され得る。特に、例示的な処理スキームが、FEOL処理の状況を使用して示され得るが、そのようなアプローチは、BEOL処理にも適用され得る。同様に、例示的な処理スキームは、BEOL処理の状況を使用して示され得るが、そのようなアプローチは、FEOL処理にも適用され得る。 The embodiments described below may be applied to FEOL treatment and structure, BEOL treatment and structure, or both FEOL treatment and structure and BEOL treatment and structure. In particular, exemplary processing schemes can be demonstrated using the context of FEOL processing, but such an approach can also be applied to BEOL processing. Similarly, exemplary processing schemes can be demonstrated using the context of BEOL processing, but such an approach can also be applied to FEOL processing.

本明細書において説明される1または複数の実施形態は、金属酸化物膜の選択エッチングおよび制御された原子層エッチングに関連する。実施形態は、金属酸化物膜の選択エッチングおよび制御された原子層エッチングのうち1または複数に関連し得、原子層堆積、原子層エッチング、エッチング選択性、金属酸化物、および潜在的多孔性は、エッチングのための深さ制御を提供する。本明細書において説明される実施形態は、多色誘電体を必要とする新しい集積スキームを可能にするように実装され得る。その例は本明細書において説明される。 One or more embodiments described herein relate to selective etching of metal oxide films and controlled atomic layer etching. Embodiments may relate to one or more of selective etching and controlled atomic layer etching of metal oxide films, including atomic layer deposition, atomic layer etching, etching selectivity, metal oxides, and potential porosity. , Provides depth control for etching. The embodiments described herein can be implemented to enable new integration schemes that require multicolored dielectrics. An example is described herein.

文脈を提供するために、集積回路製造のための新しい集積スキームでは、1つの材料の存在下で別の材料を除去/凹設するのに必要な選択エッチングを用いて、多様な誘電体材料が(例えば特定の層に)存在することが必要であり得る。しかしながら、ZrOに対するHfO、または、他の類似の組み合わせなど、類似の材料の選択エッチングは、容易に実現されないことがあり得る。なぜなら、そのような材料のペアは、エッチング特性において非常に類似することがあり得るからである。 To provide context, a new integration scheme for integrated circuit manufacturing uses a variety of dielectric materials with the selective etching required to remove / recess another material in the presence of one material. It may need to be present (eg in a particular layer). However, HfO 2, for ZrO 2 or a combination of other similar, selective etching of a similar material may be not be easily achieved. This is because such pairs of materials can be very similar in etching properties.

本開示の1または複数の実施形態によれば、平坦領域およびフィーチャにおける類似の金属酸化物膜の選択エッチングが、金属酸化物膜の1つと、酸化物、または遷移金属酸化物でない金属酸化物であり得る第2酸化物種など、エッチング性がより高いか低いコンポーネントとの相互混合を通じて実現される。そのような相互混合された金属酸化物、または、共酸化物(co−oxide)は、原子層堆積(ALD)または化学気相堆積(CVD)など、金属酸化物の1つの気相堆積中に生成され得る。共酸化物コンポーネントは、遷移金属酸化物膜において様々な方式で分散され得る。その例は図1〜図3に関連して後述される。 According to one or more embodiments of the present disclosure, selective etching of similar metal oxide films in flat regions and features is performed with one of the metal oxide films and metal oxides that are oxides or non-transitional metal oxides. It is achieved through mutual mixing with components with higher or lower etchability, such as possible second oxide species. Such intermixed metal oxides, or co-oxides, are present during one vapor deposition of metal oxides, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Can be generated. Cooxide components can be dispersed in transition metal oxide films in a variety of ways. An example thereof will be described later in relation to FIGS. 1 to 3.

本開示の実施形態によれば、遷移金属酸化物膜のエッチング特性は、共酸化物を遷移金属酸化物膜に導入することによって変更される。最初に共酸化物の除去を標的とするエッチングプロセスが選択され得る。共酸化物が除去されるにつれて、周辺の金属酸化物材料のエッチング性が高くなる。加えて、共酸化物を含めることにより、遷移金属酸化物膜の誘電体特性を調整する機会が提供され得る。 According to the embodiments of the present disclosure, the etching properties of the transition metal oxide film are modified by introducing a cooxide into the transition metal oxide film. An etching process that first targets the removal of cooxide may be selected. As the cooxide is removed, the etchability of the surrounding metal oxide material increases. In addition, the inclusion of cooxide may provide an opportunity to adjust the dielectric properties of the transition metal oxide film.

いくつかの実施形態において、選択エッチングに加えて、金属酸化物の「原子」層エッチングを制御するために、本明細書において説明されるアプローチを利用できる。例えば、以下でより詳細に説明される図2を参照すると、共酸化物は、エッチング停止層として使用でき、金属酸化物材料を上から除去することを可能にするが、所望されない限り、更なるエッチングを阻害する。他の実施形態において、エッチング選択性の差異を提供するべく、堆積プロセスは、金属窒化物の薄い層を提供するように修飾され得る。そのような場合において、遷移金属酸化物と同一の金属の窒化物が、1つの金属前駆体だけを必要とする、共反応物の間で切り替える堆積プロセスにおいて使用され得る(例えば、TiO/TiNペア、または、Ta/TaNペアを形成する)。 In some embodiments, in addition to selective etching, the approaches described herein can be used to control the "atomic" layer etching of metal oxides. For example, with reference to FIG. 2, which is described in more detail below, the cooxide can be used as an etching stop layer, allowing the metal oxide material to be removed from above, but further unless desired. Inhibits etching. In other embodiments, the deposition process can be modified to provide a thin layer of metal nitride to provide a difference in etching selectivity. In such cases, a nitride of the same metal as the transition metal oxide can be used in a deposition process that switches between co-reactants, requiring only one metal precursor (eg, TiO 2 / TiN). Form a pair or a Ta 2 O 5 / TaN pair).

第1の例において、図1は、本開示の実施形態に係る、遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図および対応する平面図を示す。 In a first example, FIG. 1 shows a cross-sectional view and a corresponding plan view of the operation in a controlled etching scheme of a transition metal oxide film according to an embodiment of the present disclosure.

図1の(a)部分を参照すると、基板100上または上方に膜102をドライエッチングする方法は、中に潜在孔形成材料106を有する遷移金属酸化物膜104を形成することを含む。実施形態において、図1に図示されるように、潜在孔形成材料106が遷移金属酸化物膜104内にランダムに分散される。膜102は厚さ(T)を有する。 Referring to the portion (a) of FIG. 1, the method of dry etching the film 102 on or above the substrate 100 includes forming a transition metal oxide film 104 having a latent pore forming material 106 in it. In the embodiment, as shown in FIG. 1, the latent pore-forming material 106 is randomly dispersed in the transition metal oxide film 104. The film 102 has a thickness (T).

実施形態において、中に潜在孔形成材料106を有する遷移金属酸化物膜104は、気相堆積プロセス中に酸化物前駆体を共反応させることにより形成される。実施形態において、遷移金属酸化物膜104は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブおよび酸化タンタルから成る群から選択される遷移金属酸化物材料を含む。実施形態において、潜在孔形成材料106は、酸化アルミニウム、酸化 ガリウム、酸化スズ、酸化コバルト、酸化ニッケル、および酸化ケイ素から成る群から選択される材料を含む。実施形態において、潜在孔形成材料106は、遷移金属酸化物膜104の総体積の10パーセントから25パーセントの間(すなわち、膜102の総体積の10パーセントから25パーセントの間)を占める。 In an embodiment, the transition metal oxide film 104 having the latent pore-forming material 106 in it is formed by co-reacting an oxide precursor during the gas phase deposition process. In embodiments, the transition metal oxide film 104 comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide and tantalum oxide. In embodiments, the latent pore-forming material 106 comprises a material selected from the group consisting of aluminum oxide, gallium oxide, tin oxide, cobalt oxide, nickel oxide, and silicon oxide. In embodiments, the latent pore-forming material 106 occupies between 10 percent and 25 percent of the total volume of the transition metal oxide film 104 (ie, between 10 percent and 25 percent of the total volume of the film 102).

図1の(b)部分を参照すると、遷移金属酸化物膜104の潜在孔形成材料106の表面部分が除去されて、遷移金属酸化物膜104の多孔性領域108を形成する、例えば、修飾された遷移金属酸化物膜104'を形成する。 With reference to the portion (b) of FIG. 1, the surface portion of the latent pore-forming material 106 of the transition metal oxide film 104 is removed to form the porous region 108 of the transition metal oxide film 104, for example, modified. The transition metal oxide film 104'is formed.

図1の(c)部分を参照すると、修飾された遷移金属酸化物膜104'の多孔性領域108が除去され、例えば、エッチング量(X)だけ低減された厚さを有する、すなわち、T−Xの厚さを有する、部分的にエッチングされた遷移金属酸化物膜104"を形成する。所望の厚さの膜102が除去されるまで、操作(b)および(c)のプロセスが反復され得ることを理解すべきである。 With reference to portion (c) of FIG. 1, the porous region 108 of the modified transition metal oxide film 104'has been removed, for example having a thickness reduced by the amount of etching (X), i.e. T-. A partially etched transition metal oxide film 104 "with a thickness of X is formed. Steps (b) and (c) are repeated until the film 102 of the desired thickness is removed. You should understand what you get.

実施形態において、潜在孔形成材料106(本明細書において、共酸化物とも称される)を容易にエッチングするエッチング液については、潜在孔形成材料106の表面部分は、エッチング液と接触するときに選択的に溶解される膜102における弱い点を表す。共酸化物が除去されるにつれて、残りの多孔性金属酸化物(例えば、領域108を有する)も、下層の高密度金属酸化物より速い速度で除去される。なぜなら、エッチング液との接触がより大きく、遷移金属酸化物膜の金属中心へのアクセス点が増加するからである。 In the embodiment, for an etching solution that easily etches the latent hole forming material 106 (also referred to as a cooxide in the present specification), when the surface portion of the latent hole forming material 106 comes into contact with the etching solution. It represents a weak point in the film 102 that is selectively dissolved. As the cooxide is removed, the remaining porous metal oxide (eg, having region 108) is also removed at a faster rate than the underlying high density metal oxide. This is because the contact with the etching solution is larger and the access point to the metal center of the transition metal oxide film is increased.

実施形態において、潜在孔形成材料106の表面部分の除去は、第1エッチングプロセスにおいて実行され、遷移金属酸化物膜104の多孔性領域108の除去は、第2の異なるエッチングプロセスにおいて実行される。別の実施形態において、潜在孔形成材料106の表面部分の除去は、第1エッチングプロセスにおいて実行され、遷移金属酸化物膜104の多孔性領域108の除去は、同一のエッチングプロセスにおいて実行される。実施形態において、潜在孔形成材料106の表面部分の除去、および、遷移金属酸化物膜104の多孔性領域108の除去は、1または複数のプラズマエッチングプロセスを使用して実行される。 In the embodiment, the removal of the surface portion of the latent pore forming material 106 is carried out in the first etching process and the removal of the porous region 108 of the transition metal oxide film 104 is carried out in the second different etching process. In another embodiment, the removal of the surface portion of the latent pore forming material 106 is performed in the first etching process and the removal of the porous region 108 of the transition metal oxide film 104 is performed in the same etching process. In the embodiment, the removal of the surface portion of the latent pore forming material 106 and the removal of the porous region 108 of the transition metal oxide film 104 are performed using one or more plasma etching processes.

第2の例において、図2は、本開示の別の実施形態に係る遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図を示す。 In a second example, FIG. 2 shows a cross-sectional view of the operation in a controlled etching scheme of the transition metal oxide film according to another embodiment of the present disclosure.

図2の(a)部分を参照すると、基板200の上または上方に膜202をドライエッチングする方法は、遷移金属酸化物膜204と、その間の潜在孔形成材料206の層とを交互に形成する段階を含む。実施形態において、図2に図示されるように、潜在孔形成材料206は、遷移金属酸化物膜204内において1または複数の積層平面層として分散される。 Referring to the portion (a) of FIG. 2, the method of dry etching the film 202 on or above the substrate 200 alternately forms the transition metal oxide film 204 and the layer of the latent pore forming material 206 between them. Including stages. In the embodiment, as shown in FIG. 2, the latent pore-forming material 206 is dispersed in the transition metal oxide film 204 as one or more laminated plane layers.

図2の(b)部分を参照すると、遷移金属酸化物膜204の下層を露出するために、潜在孔形成材料206の表面層が除去される。図2の(c)部分を参照すると、遷移金属酸化物膜204の露出された下層は、潜在孔形成材料206の次の層を露出するために除去される。実施形態において、潜在孔形成材料206の次の層は、有効なエッチング停止層であり、遷移金属酸化物膜204の最上層だけの非常に制御された除去を提供する。所望の厚さの膜202が除去されるまで、操作(b)および(c)のプロセスが反復され得ることを理解すべきである。 With reference to the portion (b) of FIG. 2, the surface layer of the latent pore forming material 206 is removed in order to expose the lower layer of the transition metal oxide film 204. With reference to portion (c) of FIG. 2, the exposed lower layer of the transition metal oxide film 204 is removed to expose the next layer of latent pore forming material 206. In an embodiment, the next layer of latent pore forming material 206 is an effective etching stop layer, which provides highly controlled removal of only the top layer of the transition metal oxide film 204. It should be understood that the processes of steps (b) and (c) can be repeated until the film 202 of the desired thickness is removed.

第3の例において、図3は、本開示の別の実施形態に係る遷移金属酸化物膜の制御されたエッチングスキームにおける操作の断面図を示す。 In a third example, FIG. 3 shows a cross-sectional view of the operation in a controlled etching scheme of the transition metal oxide film according to another embodiment of the present disclosure.

図3の(a)部分を参照すると、誘電体層301内または基板300の上に膜302をドライエッチングする方法は、遷移金属酸化物膜304と、その間の潜在孔形成材料306との層を交互に形成する段階を含む(説明を簡単にするために、図3では1つの層306が示されている)。実施形態において、図3に図示されるように、潜在孔形成材料306は、遷移金属酸化物膜304内においてコンフォーマル層として分散される。 With reference to the portion (a) of FIG. 3, the method of dry-etching the film 302 in the dielectric layer 301 or on the substrate 300 is to dry-etch a layer of the transition metal oxide film 304 and the latent pore-forming material 306 between them. Includes alternating steps (for simplicity of explanation, one layer 306 is shown in FIG. 3). In the embodiment, as shown in FIG. 3, the latent pore-forming material 306 is dispersed as a conformal layer in the transition metal oxide film 304.

図3の(b)部分を参照すると、遷移金属酸化物膜304の多孔性上側領域を有効に形成するために、および、凹設された潜在孔形成材料306を形成するために、潜在孔形成材料306の表面部分が除去される。図3の(c)部分を参照すると、凹設された遷移金属酸化物膜304を提供するために、遷移金属酸化物膜304の多孔性上側領域は除去される。所望の厚さの膜302が除去されるまで、操作(b)および(c)のプロセスは反復され得ることを理解すべきである。 With reference to the portion (b) of FIG. 3, latent pore formation is performed in order to effectively form the porous upper region of the transition metal oxide film 304 and to form the recessed latent pore forming material 306. The surface portion of material 306 is removed. Referring to the portion (c) of FIG. 3, the porous upper region of the transition metal oxide film 304 is removed in order to provide the recessed transition metal oxide film 304. It should be understood that the processes of steps (b) and (c) can be repeated until the film 302 of the desired thickness is removed.

本明細書において説明されるエッチングスキームの実装に関して、従来のスケーリング、例えば、14ナノメートルより小さい最小線幅の縮小が継続するにつれて、ナノメートル以下レベルのフィーチャの製造を制御する必要性が必須になることを理解すべきである。膜スタックは現在、多くの適用において、2〜3ナノメートルの厚さに絶えず近づいており、原子層エッチングなどの原子レベルの正確な技法を採用することが必要となっている。特に、半導体処理における遷移金属酸化物の効率的なエッチングおよび除去は、これらの要素のより多くがすべての一過性テクノロジーノードに組み込まれるにつれて、ますます重要になっている。 With respect to the implementation of the etching schemes described herein, the need to control the production of features at nanometer and lower levels becomes mandatory as conventional scaling, eg, reduction of minimum line widths smaller than 14 nanometers, continues. It should be understood that Membrane stacks are now constantly approaching 2-3 nanometer thicknesses in many applications, requiring the adoption of accurate atomic level techniques such as atomic layer etching. In particular, the efficient etching and removal of transition metal oxides in semiconductor processing is becoming increasingly important as more of these elements are incorporated into all transient technology nodes.

遷移金属酸化物膜エッチングの3つの例示的実装は、本開示の実施形態の第1、第2、第3態様として後述されている。3つの例示的な実装は、本明細書に説明されるエッチングアプローチの可能な適用を限定するものでは決してないことを理解すべきである。実装は先進的なトランジスタアーキテクチャを含み得るが、それに限定されるものでは決してない。 Three exemplary implementations of transition metal oxide film etching are described below as first, second, and third aspects of the embodiments of the present disclosure. It should be understood that the three exemplary implementations by no means limit the possible applications of the etching approach described herein. Implementations can include, but are by no means limited to, advanced transistor architectures.

第1の例示的実装において、1または複数の実施形態は、金属線、および、関連する導電ビアを製造するためのアプローチに関連する。1または複数の導電ビアは、定義によれば、前の層の金属パターン上にランディングするために使用される。同様に、リソグラフィ装置に対する制限が緩和されるので、本明細書において説明される実施形態は、より強固なインターコネクト製造スキームを可能にする。そのようなインターコネクト製造スキームは、多数の整合/露出を不要にするために使用でき、そうでなければ従来のアプローチを使用してそのようなフィーチャをパターニングするのに必要な全体のプロセス操作および処理時間を減少させるために使用することができる。他の利点は、収率の改善、または、間違った線の短絡の防止を含み得る。実施形態は、例えば、10nmおよびより小さいテクノロジーノードについて、選択的堆積を通じた「カラーリング」による自己整合、および、その後の自己組織化により、改善されたビア短絡マージンを提供するように実装され得る。 In the first exemplary implementation, one or more embodiments relate to an approach for producing metal wire and associated conductive vias. One or more conductive vias, by definition, are used to land on the metal pattern of the previous layer. Similarly, the embodiments described herein allow for a stronger interconnect manufacturing scheme, as restrictions on lithography equipment are relaxed. Such interconnect manufacturing schemes can be used to eliminate the need for multiple alignments / exposures, otherwise the overall process operations and processing required to pattern such features using traditional approaches. It can be used to reduce time. Other benefits may include improved yields or prevention of incorrect wire short circuits. Embodiments may be implemented to provide improved via short-circuit margins, for example, for 10 nm and smaller technology nodes, by "coloring" self-alignment through selective deposition, and subsequent self-organization. ..

導電線および導電性キャップの着色ハードマスク選択を使用する例示的アプローチにおいて、図4A〜図4Fは、本開示の実施形態に係る、配線工程(BEOL)インターコネクト製造のための自己整合導電ビア形成を伴う方法における様々な操作を表す集積回路層の部分の断面図を示す。 In an exemplary approach using colored hardmask selection of conductive wires and caps, FIGS. 4A-4F show the self-aligned conductive via formation for the back end of line (BEOL) interconnect manufacturing according to the embodiments of the present disclosure. A cross-sectional view of a portion of the integrated circuit layer representing various operations in the accompanying method is shown.

図4Aを参照すると、新しいメタライゼーション層(例えば、BEOL層)を製造するための開始点として、初期構造400が提供される。初期構造400は、基板402の上方に配置される層間誘電(ILD)層404を含む。後述されるように、ILD層は、基板402の上方に形成される下層メタライゼーション層の上方に配置され得る。トレンチは、ILD層404において形成され、1または複数の導電層で充填され、それにより、導電線406(および、いくつかの場合においては、対応する導電ビア408)を提供する。実施形態において、ピッチ分割パターニングプロセスフローを使用して、導電線406のトレンチがILD層404に形成される。下で説明される以下のプロセス操作は、ピッチ分割を最初に含んでも、含まなくてもよいことを理解すべきである。いずれの場合でも、ただし、特にピッチ分割も使用されるとき、実施形態は、従来のリソグラフィ装置の分解能を超えて、金属層のピッチのスケーリングを継続的に変化させることを可能にし得る。 With reference to FIG. 4A, the initial structure 400 is provided as a starting point for manufacturing a new metallization layer (eg, BOOL layer). The initial structure 400 includes an interstitial dielectric (ILD) layer 404 located above the substrate 402. As described below, the ILD layer may be placed above the underlying metallization layer formed above the substrate 402. The trench is formed in the ILD layer 404 and is filled with one or more conductive layers, thereby providing a conductive wire 406 (and, in some cases, a corresponding conductive via 408). In an embodiment, a pitch split patterning process flow is used to form a trench in the conductive wire 406 in the ILD layer 404. It should be understood that the following process operations described below may or may not include pitch splitting first. In either case, however, especially when pitch division is also used, embodiments may allow the pitch scaling of the metal layer to be continuously varied beyond the resolution of conventional lithography equipment.

図4Bを参照すると、任意選択的に、導電線406は、ILD層404の上側表面の下に凹設され、凹設された導電線410の上に凹設領域412を有する凹設された導電線410を提供する。実施形態において、硫酸および過酸化水素に基づくウェットエッチングプロセスなどの選択ウェットエッチングプロセスを使用して、凹設された導電線410を形成するために、導電線406は凹設される。別の実施形態において、選択ドライまたはプラズマエッチングプロセスを使用して、凹設された導電線410を形成するために、導電線406は凹設される。 Referring to FIG. 4B, optionally, the conductive wire 406 is recessed below the upper surface of the ILD layer 404 and has a recessed region 412 above the recessed conductive wire 410. Line 410 is provided. In embodiments, the conductive wire 406 is recessed to form the recessed conductive wire 410 using a selective wet etching process, such as a wet etching process based on sulfuric acid and hydrogen peroxide. In another embodiment, the conductive wire 406 is recessed to form a recessed conductive wire 410 using a selective dry or plasma etching process.

図4Cを参照すると、任意選択的に、凹設された導電線410の上方の凹設領域412に導電性キャップ414が形成される。実施形態において、導電性キャップ414は導電線406の材料より、ILD層404の表面と比較した差異が大きい表面特性を有する材料から構成される。実施形態において、導電線406は、窒化チタンまたは窒化タンタルのバリアライナ内に銅充填材料を含み、導電性キャップ414は、これらに限定されないが、Al、Pt、Ni、Ru、Pd、W、Ti、Ta、Ir、もしくはEr、またはその合金などの金属から構成される。別の実施形態において、Co、または、CoWBなどのCoの合金が使用される。実施形態において、導電線406の少なくとも一部(例えば、銅充填材料)は、電気めっき処理を使用して形成され、導電性キャップ414は、化学気相堆積(CVD)プロセス、原子層堆積(ALD)プロセス、物理気相堆積(PVD)プロセス、電子ビーム蒸着プロセス、電気めっき処理、無電解堆積プロセス、または、スピンオンプロセスを使用して形成される。いずれの場合も、実施形態において、堆積の後、例えば化学機械研磨(CMP)を使用して導電性キャップ414の材料は平坦化され、図4Cに図示されるように、ILD層404の最上面と実質的に同一平面である導電性キャップ414を生じさせる。本明細書に説明されるように、実施形態において、金属キャップ形成は、凹設、充填、CMPプロセスに基づいている。別の実施形態において、キャップ堆積は、(例えば、凹設または非凹設プロファイルのいずれにおいても)選択的堆積を通じて実現される。別の実施形態において、キャップ形成は、選択的堆積を通じて実現される。 With reference to FIG. 4C, a conductive cap 414 is optionally formed in the recessed region 412 above the recessed conductive wire 410. In the embodiment, the conductive cap 414 is made of a material having surface characteristics that are significantly different from the surface of the ILD layer 404 than the material of the conductive wire 406. In embodiments, the conductive wire 406 comprises a copper-filled material in a barrier liner of titanium nitride or tantalum nitride, and the conductive cap 414 is, but is not limited to, Al, Pt, Ni, Ru, Pd, W, Ti, It is composed of a metal such as Ta, Ir, or Er, or an alloy thereof. In another embodiment, Co or an alloy of Co such as CoWB is used. In embodiments, at least a portion of the conductive wire 406 (eg, a copper-filled material) is formed using electroplating, and the conductive cap 414 is a chemical vapor deposition (CVD) process, atomic layer deposition (ALD). ) Process, physical vapor deposition (PVD) process, electron beam deposition process, electroplating, electroless deposition process, or spin-on process. In each case, in both embodiments, after deposition, the material of the conductive cap 414 is flattened, for example using chemical mechanical polishing (CMP), and the top surface of the ILD layer 404, as illustrated in FIG. 4C. Produces a conductive cap 414 that is substantially coplanar with. As described herein, in embodiments, metal cap formation is based on a recessing, filling, CMP process. In another embodiment, cap deposition is achieved through selective deposition (eg, in either concave or non-concave profiles). In another embodiment, cap formation is achieved through selective deposition.

その後の処理段階における凹設された導電線410の金属の保護以外に、導電性キャップ材料は、ハードマスク材料、特に「カラー」ハードマスク材料の選択的堆積も補助し得ることを理解すべきである。例えば、RuおよびWは、コバルトと比較して、改善されたDSAブラシグラフト密度を提供する。更に、自己組織化単分子膜(SAM)を用いたCo上の選択的金属酸化物堆積は、コバルトの酸化傾向に起因して困難であり得る。実施形態において、導電性キャップ414は、後述されるように、パターン複製の促進に加えて、従来のエッチング停止層の代わりに、処理中の気密性、および、信頼性という利点を提供する。 In addition to the metal protection of the recessed conductive wire 410 in the subsequent processing steps, it should be understood that the conductive cap material can also assist in the selective deposition of hardmask materials, especially "color" hardmask materials. be. For example, Ru and W provide improved DSA brush graft densities compared to cobalt. Moreover, selective metal oxide deposition on Co using a self-assembled monolayer (SAM) can be difficult due to the oxidizing tendency of cobalt. In an embodiment, the conductive cap 414 provides the advantages of airtightness during processing and reliability in place of the conventional etching stop layer, in addition to promoting pattern replication, as described below.

図4Dを参照すると、ハードマスク層416は、図4Cの構造上で形成される。ハードマスク層416は第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420を含む。第1ハードマスクコンポーネントは、導電性キャップ414上に形成され、それと整合される。第2ハードマスクコンポーネント420は、ILD層404の露出表面上に形成され、それと整合される。実施形態において、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420を有するハードマスク層416は、自己組織化または選択的堆積アプローチを使用して形成され、最終的に、第1ハードマスクコンポーネント418と第2ハードマスクコンポーネント420とが交互になった2つの異なる領域を形成する。そのような一実施形態において、自己組織化または選択的堆積アプローチは、導電線406の表面を使用することとは対照的に、導電性キャップ414の使用によって強化される。実施形態において、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420の材料は、互いに異なるエッチング選択性を示す。下でより詳細に説明されるように、自己組織化または選択的成長は、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420を、それぞれ誘電体および金属表面と選択的に整合させるために使用できる。 With reference to FIG. 4D, the hard mask layer 416 is formed on the structure of FIG. 4C. The hardmask layer 416 includes a first hardmask component 418 and a second hardmask component 420. The first hardmask component is formed on and aligned with the conductive cap 414. The second hard mask component 420 is formed on and aligned with the exposed surface of the ILD layer 404. In an embodiment, the hard mask layer 416 with the first hard mask component 418 and the second hard mask component 420 is formed using a self-organizing or selective deposition approach and finally the first hard mask component 418. And the second hardmask component 420 alternate to form two different regions. In one such embodiment, the self-assembling or selective deposition approach is enhanced by the use of a conductive cap 414, as opposed to using the surface of the conductive wire 406. In embodiments, the materials of the first hard mask component 418 and the second hard mask component 420 exhibit different etching selectivity from each other. As described in more detail below, self-organization or selective growth is used to selectively align the first hardmask component 418 and the second hardmask component 420 with the dielectric and metal surfaces, respectively. can.

実施形態において、第1ハードマスクコンポーネント418は、中に潜在孔形成材料(点として示す)を有する遷移金属酸化物膜を含む。一実施形態において、遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブおよび酸化タンタルから成る群から選択される遷移金属酸化物材料を含む。一実施形態において、潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む。実施形態において、上述のように、共反応気相堆積を使用して、中に潜在孔形成材料を有する遷移金属酸化物膜が形成される。 In an embodiment, the first hard mask component 418 comprises a transition metal oxide film having a latent pore-forming material (shown as a point) therein. In one embodiment, the transition metal oxide film comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide and tantalum oxide. In one embodiment, the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide. In embodiments, as described above, co-reactive vapor phase deposition is used to form a transition metal oxide film with latent pore-forming material therein.

実施形態において、図4Dに図示されるように、第1ハードマスクコンポーネント418は、複数の導電線410の最上面(例えば、導電性キャップ414)に制限される。別の実施形態(図示せず)において、第1ハードマスクコンポーネント418は、ILD層404の最上面の部分に延在する。 In an embodiment, as illustrated in FIG. 4D, the first hardmask component 418 is limited to the top surfaces of the plurality of conductive wires 410 (eg, conductive caps 414). In another embodiment (not shown), the first hardmask component 418 extends to the top surface portion of the ILD layer 404.

第1の一般的な実施形態において、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420を最終的に形成するべく、自己組織化(DSA)ブロックコポリマー堆積およびポリマー組織化プロセスが実行される。実施形態において、DSAブロックコポリマーは表面上にコーティングされ、アニールされ、ポリマーは第1ブロックおよび第2ブロックに分離される。一実施形態において、第1ポリマーブロックは好ましくは、ILD層404の露出表面に結合する。第2ポリマーブロックは、導電性キャップ414に接着する。実施形態において、第2および第1ブロックポリマーの各々は、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420の材料とそれぞれ順に置き換えられる。そのような一実施形態において、第2および第1ブロックポリマーを第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420の材料とそれぞれ置き換えるために、選択エッチングおよび堆積プロセスが使用される。 In a first general embodiment, a self-assembling (DSA) block copolymer deposition and polymer assembling process is performed to finally form the first hard mask component 418 and the second hard mask component 420. In embodiments, the DSA block copolymer is coated and annealed on the surface and the polymer is separated into first and second blocks. In one embodiment, the first polymer block preferably binds to the exposed surface of the ILD layer 404. The second polymer block adheres to the conductive cap 414. In embodiments, each of the second and first block polymers is sequentially replaced with the materials of the first hard mask component 418 and the second hard mask component 420, respectively. In one such embodiment, selective etching and deposition processes are used to replace the second and first block polymers with the materials of the first hard mask component 418 and the second hard mask component 420, respectively.

第2の一般的な実施形態において、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420を最終的に形成するべく、選択的成長プロセスがDSAアプローチの代わりに用いられる。そのような一実施形態において、第2ハードマスクコンポーネント420の材料は、ILD層404の露出部分の上方に成長する。第1ハードマスクコンポーネント418の第2の異なる材料は、導電性キャップ414の上方に成長する。実施形態において、選択的成長は、第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420の両方の材料について、堆積/エッチング/堆積/エッチングアプローチによって実現され、各材料の複数の層が生じる。そのようなアプローチは、「上部がマッシュルーム」の形態の膜を形成し得る従来の選択的成長技法より好ましいことがあり得る。上部がマッシュルーム型になる膜の成長の傾向は、交互の堆積/エッチング/堆積(dep−etch−dep−etch)アプローチを通じて低減できる。別の実施形態において、膜は金属上に選択的に堆積され、その後、異なる膜がILD上に選択的に堆積され(逆も成立する)、複数回にわたって反復することによって、サンドイッチ様のスタックを形成する。別の実施形態において、両方の材料が、下層基板の各露出領域上で選択的に成長させる反応チャンバにおいて同時に(例えばCVD式プロセスによって)成長する。 In a second general embodiment, a selective growth process is used instead of the DSA approach to finally form the first hardmask component 418 and the second hardmask component 420. In one such embodiment, the material of the second hard mask component 420 grows above the exposed portion of the ILD layer 404. A second different material of the first hardmask component 418 grows above the conductive cap 414. In embodiments, selective growth is achieved by a deposition / etching / deposition / etching approach for both the materials of the first hardmask component 418 and the second hardmask component 420, resulting in multiple layers of each material. Such an approach may be preferred over conventional selective growth techniques that can form a film in the form of "upper mushrooms". The tendency of film growth to become mushroom-shaped at the top can be reduced through an alternating deposition / etching / deposition (dep-etch-dep-etch) approach. In another embodiment, the membranes are selectively deposited on the metal, then different membranes are selectively deposited on the ILD (and vice versa) and repeated multiple times to form a sandwich-like stack. Form. In another embodiment, both materials grow simultaneously (eg, by a CVD process) in a reaction chamber that selectively grows on each exposed area of the underlying substrate.

下でより詳細に説明されるように、実施形態において、図4Dの結果として得られる構造は、図4Dの構造上に後にビア層を製造するとき、ビア短絡マージンの改善を可能にする。一実施形態において、交互になった「カラー」ハードマスクコンポーネントを有する構造を製造することにより、ビアが間違った金属線に短絡するリスクが低減するので、改善された短絡マージンが実現される。一実施形態において、交互になったカラーハードマスクコンポーネントが、交互になったILD層104および下の導電性キャップ414表面と自己整合するので、自己整合が実現される。実施形態において、図示されるように、第1ハードマスクコンポーネント418は、複数の導電線410の導電性キャップ414に制限される。しかしながら、別の実施形態(図示せず)において、第1ハードマスクコンポーネント418は、ILD層404の最上面の部分に延在する。 As described in more detail below, in embodiments, the resulting structure of FIG. 4D allows for improved via short circuit margins when later producing a via layer on top of the structure of FIG. 4D. In one embodiment, manufacturing a structure with alternating "color" hardmask components reduces the risk of vias shorting to the wrong metal wire, thus achieving an improved short circuit margin. In one embodiment, the alternating color hardmask components self-align with the alternating ILD layer 104 and the surface of the underlying conductive cap 414, thus achieving self-alignment. In embodiments, as shown, the first hardmask component 418 is limited to the conductive caps 414 of the plurality of conductive wires 410. However, in another embodiment (not shown), the first hardmask component 418 extends to the top surface portion of the ILD layer 404.

図4Eを参照すると、第2層間誘電(ILD)層422が図4Dの構造の上方に形成される。開口424が第2ILD層422に形成される。実施形態において、開口424は、次のレベルのメタライゼーション層のための導電ビア製造のために選択された位置において形成される。従来のビア位置選択とは対照的に、開口424は、一実施形態において、導電ビアが最終的に形成される対応する導電線406の幅と比較して、比較的緩和した幅を有する。例えば、特定の実施形態において、開口424の幅(W)は、導電線406の約3/4のピッチの寸法を有する。そのように比較的広いビア開口424に対応することにより、開口424を形成するのに使用されるリソグラフィプロセスに対する制限を緩和できる。追加的に、ミスアラインメントの許容誤差も増加し得る。 Referring to FIG. 4E, a second interstitial dielectric (ILD) layer 422 is formed above the structure of FIG. 4D. An opening 424 is formed in the second ILD layer 422. In an embodiment, the opening 424 is formed at a position selected for the production of conductive vias for the next level of metallization layer. In contrast to conventional via position selection, the opening 424 has a relatively relaxed width in one embodiment as compared to the width of the corresponding conductive wire 406 in which the conductive via is finally formed. For example, in certain embodiments, the width (W) of the opening 424 has a pitch dimension of about 3/4 of the conductive wire 406. Corresponding to such a relatively wide via opening 424 can relax restrictions on the lithography process used to form the opening 424. In addition, the misalignment tolerance can be increased.

図4Fは、次の層のビアの製造に続く図4Eの構造を示す。例えば、図1から図3に関連して上で説明されるプロセスなどの選択的遷移金属酸化物エッチングプロセスによって、第1ハードマスクコンポーネント418の1つが除去のために選択される。この場合、第1ハードマスクコンポーネント418のうち露出されたものは、第2ハードマスクコンポーネント420の露出部分に選択的に除去される。 FIG. 4F shows the structure of FIG. 4E following the production of vias in the next layer. For example, one of the first hardmask components 418 is selected for removal by a selective transition metal oxide etching process, such as the process described above in connection with FIGS. 1 to 3. In this case, the exposed first hard mask component 418 is selectively removed by the exposed portion of the second hard mask component 420.

次に、開口424に、および、第1ハードマスクコンポーネント418のうち選択されたものが除去された領域に導電ビア428が形成される。導電ビア428は、凹設された導電線410の導電性キャップ414のうち対応するものと電気的に接触する。実施形態において、導電ビア428は、隣接する、または、近隣の導電性キャップ414の1つ/凹設された導電線410ペアと短絡することなく、凹設された導電線410のうち、導電性キャップ414の対応するものと電気的に接触する。特定の実施形態において、図4Fに図示されるように、導電ビア428の部分は、第2ハードマスクコンポーネント420の1または複数の露出部分に配置される。実施形態において、改善された短絡マージンが実現される。 Conductive vias 428 are then formed in the openings 424 and in areas where selected of the first hardmask components 418 have been removed. The conductive via 428 makes electrical contact with the corresponding conductive cap 414 of the recessed conductive wire 410. In an embodiment, the conductive vias 428 are conductive of the recessed conductive wires 410 without short-circuiting with one of the adjacent or adjacent conductive caps 414 / recessed conductive wire 410 pairs. Electrical contact with the corresponding one on the cap 414. In certain embodiments, as illustrated in FIG. 4F, the portion of the conductive via 428 is located in one or more exposed portions of the second hardmask component 420. In embodiments, improved short circuit margins are achieved.

図4Fを再度参照すると、例示的な説明のための実施形態において、集積回路構造は、基板402の上方の層間誘電(ILD)層404において複数の導電線410を含む。複数の導電線410の各々は、ILD層404の最上面に対して凹設されている。複数の導電性キャップ414は、複数の導電線410の各々の上方の凹設領域における複数の導電線410のうち対応するものの上にある。ハードマスク層426は、複数の導電性キャップ414上、および、ILD層404の最上面上にある。ハードマスク層426は、複数の導電性キャップ414上に、それらと整合された第1ハードマスクコンポーネント418を含む。ハードマスク層426の第2ハードマスクコンポーネント420は、ILD層404の最上面の領域上にあり、それと整合される。第1ハードマスクコンポーネント418および第2ハードマスクコンポーネント420は、互いに組成が異なり、第1ハードマスクコンポーネント418は、中に潜在孔形成材料を有する遷移金属酸化物膜を含む。導電ビア428は、ハードマスク層426の中、かつ、複数の導電線410のうち1つの導電性キャップ414上の開口内にある。導電ビア428の部分は、ハードマスク層426の第2ハードマスクコンポーネント420の部分上にある。 With reference to FIG. 4F again, in an exemplary embodiment, the integrated circuit structure includes a plurality of conductive wires 410 in the interlayer dielectric (ILD) layer 404 above the substrate 402. Each of the plurality of conductive wires 410 is recessed with respect to the uppermost surface of the ILD layer 404. The plurality of conductive caps 414 are on the corresponding ones of the plurality of conductive wires 410 in the recessed region above each of the plurality of conductive wires 410. The hard mask layer 426 is on the plurality of conductive caps 414 and on the top surface of the ILD layer 404. The hard mask layer 426 includes a first hard mask component 418 matched with them on a plurality of conductive caps 414. The second hardmask component 420 of the hardmask layer 426 is on and aligned with the top surface region of the ILD layer 404. The first hard mask component 418 and the second hard mask component 420 have different compositions from each other, and the first hard mask component 418 includes a transition metal oxide film having a latent pore-forming material therein. The conductive via 428 is in the hard mask layer 426 and in the opening on the conductive cap 414 of one of the plurality of conductive wires 410. The portion of the conductive via 428 is on the portion of the second hard mask component 420 of the hard mask layer 426.

実施形態において、図4Fに図示されるように、複数の導電性キャップ414は、ILD層404の最上面と実質的に同一平面である最上面を有する。実施形態において、図4Fに図示されるように、第1ハードマスクコンポーネント418は、第2ハードマスクコンポーネント420の最上面と実質的に同一平面である最上面を有する。実施形態において、集積回路構造は、ハードマスク層426の上方に第2ILD層422を更に含む。導電ビア428は更に、第2ILD層422の開口内にある。そのような一実施形態において、第2ILD層の開口は、複数の導電線410のピッチの約3/4に等しい幅を有する。実施形態において、図4Fに図示されるように、複数の導電線410の1つは、下層の導電ビア構造4108に結合される。そのような一実施形態において、下層の導電ビア構造408は、集積回路構造の下層メタライゼーション層(図示せず)に接続される。 In an embodiment, as illustrated in FIG. 4F, the plurality of conductive caps 414 have an top surface that is substantially coplanar with the top surface of the ILD layer 404. In an embodiment, as illustrated in FIG. 4F, the first hardmask component 418 has an uppermost surface that is substantially coplanar with the uppermost surface of the second hardmask component 420. In an embodiment, the integrated circuit structure further comprises a second ILD layer 422 above the hardmask layer 426. The conductive via 428 is further located within the opening of the second ILD layer 422. In one such embodiment, the opening of the second ILD layer has a width equal to about 3/4 of the pitch of the plurality of conductive wires 410. In an embodiment, as illustrated in FIG. 4F, one of the plurality of conductive wires 410 is coupled to the underlying conductive via structure 4108. In one such embodiment, the lower conductive via structure 408 is connected to a lower metallization layer (not shown) of the integrated circuit structure.

図4Aから図4Fに関連して説明される層および材料は典型的には、集積回路の下層デバイス層など、下層半導体基板または構造上、またはその上方に形成されることを理解すべきである。実施形態において、下層半導体基板は、集積回路を製造するために使用される一般的な加工対象物を表す。半導体基板は、多くの場合、シリコンもしくは別の半導体材料のウェハまたは他の部品を含む。適した半導体基板は、限定されるものではないが、単結晶シリコン、多結晶シリコンおよびシリコンオンインシュレータ(SOI)、ならびに他の半導体材料で形成された同様の基板を含む。半導体基板は、製造段階に応じて、多くの場合、トランジスタ、集積回路等を含む。基板は、半導体材料、金属、誘電体、ドーパント、および、一般に半導体基板に用いられる他の材料も含み得る。更に、図4Fに図示された構造は、より低いレベルの下層インターコネクト層上で製造され得る。 It should be understood that the layers and materials described in relation to FIGS. 4A-4F are typically formed on or above the underlayer semiconductor substrate or structure, such as the underlayer device layer of an integrated circuit. .. In embodiments, the underlayer semiconductor substrate represents a common machined object used to manufacture integrated circuits. Semiconductor substrates often include wafers or other components of silicon or other semiconductor materials. Suitable semiconductor substrates include, but are not limited to, single crystal silicon, polycrystalline silicon and silicon on insulators (SOIs), as well as similar substrates made of other semiconductor materials. Semiconductor substrates often include transistors, integrated circuits, and the like, depending on the manufacturing stage. The substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly used for semiconductor substrates. In addition, the structures illustrated in FIG. 4F can be manufactured on lower levels of lower interconnect layers.

実施形態において、本説明全体で使用されるように、層間誘電体(ILD)材料は、誘電体もしくは絶縁体材料の層から構成され、またはこれを含む。適した誘電体材料の例は、限定されないが、ケイ素酸化物(例えば二酸化ケイ素(SiO))、ケイ素窒化物(例えば窒化ケイ素(Si))、ドーピングケイ素酸化物、フッ化ケイ素酸化物、炭素ドーピングケイ素酸化物、当分野において知られている様々な低誘電率の誘電体材料、およびこれらの組み合わせを含む。層間誘電体材料は、例えば、化学気相堆積(CVD)、物理気相堆積(PVD)のような従来技術、または他の堆積方法によって形成されてよい。 In embodiments, as used throughout this description, the interstitial dielectric (ILD) material is composed of or comprises a layer of dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, silicon oxides (e.g., silicon dioxide (SiO 2)), silicon nitride (eg, silicon nitride (Si 3 N 4)), doped silicon oxide, silicon fluoride oxide Includes materials, carbon-doped silicon oxides, various low dielectric constant dielectric materials known in the art, and combinations thereof. The interlayer dielectric material may be formed by prior art such as chemical vapor deposition (CVD), physical vapor deposition (PVD), or other deposition methods.

実施形態において、本説明全体にわたっても使用されるように、金属線またはインターコネクト線材料(およびビア材料)は、1または複数の金属または他の導電性構造から構成される。一般的な例は、銅とそれを包囲するILD材料との間にバリア層を含んでよく、または含まなくてよい銅線および構造の使用である。本明細書で使用される金属という用語は、合金、スタック、および複数の金属の他の組み合わせを含む。例えば、金属インターコネクト線は、バリア層、異なる金属または合金のスタック等を含んでよい。従って、インターコネクト線は、単一材料層であり得るか、または、導電性ライナ層および充填層を含む複数の層から形成され得る。電気めっき、化学気相堆積または物理気相堆積など、任意の好適な堆積プロセスが、インターコネクト線を形成するために使用され得る。実施形態において、インターコネクト線は、バリア層および導電性充填材料から構成される。一実施形態において、バリア層は、タンタルまたは窒化タンタル層、またはそれらの組み合わせである。一実施形態において、導電性充填材料は、これらに限定されないが、Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au、または、それらの合金などの導電性材料である。また、インターコネクト線は、当分野において、配線、ワイヤ、ライン、金属、金属線、または単に、インターコネクトと呼ばれることがある。 In embodiments, the metal wire or interconnect wire material (and via material) is composed of one or more metals or other conductive structures, as used throughout this description. A common example is the use of copper wire and structures that may or may not include a barrier layer between copper and the ILD material that surrounds it. The term metal as used herein includes alloys, stacks, and other combinations of multiple metals. For example, the metal interconnect wire may include a barrier layer, a stack of different metals or alloys, and the like. Thus, the interconnect wire can be a single material layer or can be formed from multiple layers, including a conductive liner layer and a packed layer. Any suitable deposition process, such as electroplating, chemical vapor deposition or physical vapor deposition, can be used to form the interconnect lines. In embodiments, the interconnect wire is composed of a barrier layer and a conductive filling material. In one embodiment, the barrier layer is a tantalum or tantalum nitride layer, or a combination thereof. In one embodiment, the conductive filling material is not limited to these, but Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au, or alloys thereof. It is a conductive material such as. In addition, the interconnect wire may be referred to in the art as a wiring, a wire, a line, a metal, a metal wire, or simply an interconnect.

パターニングされたフィーチャは、一定ピッチの間隔で一定の幅を有する線、穴、またはトレンチと共に格子状のパターンでパターニングされ得る。例えば、パターンは、ピッチ2分割またはピッチ4分割アプローチによって製造され得る。例において、ブランケット膜(多結晶シリコン膜など)は、例えば、スペーサに基づくクアドラプルパターニング(spacer−based−quadruple−patterning)(SBQP)またはピッチ4分割を伴い得るリソグラフィおよびエッチング処理を使用してパターニングされる。線の格子パターンは、193nm液浸リソグラフィ(i193)、極端紫外線リソグラフィ(EUV)および/または電子ビーム直接書込み(EBDW)リソグラフィ、自己組織化などを含む、多数の方法によって製造され得ることを理解すべきである。他の実施形態において、ピッチおよび幅は一定である必要ない。 The patterned features can be patterned in a grid pattern with lines, holes, or trenches of constant width at constant pitch intervals. For example, the pattern can be manufactured by a pitch 2-split or pitch 4-split approach. In an example, a blanket film (such as a polycrystalline silicon film) is patterned using, for example, spacer-based quadruple patterning (SBQP) or lithography and etching processes that may involve pitch quadrants. NS. Understand that line grid patterns can be manufactured by a number of methods, including 193 nm immersion lithography (i193), extreme ultraviolet lithography (EUV) and / or electron beam direct writing (EBDW) lithography, self-assembly, and the like. Should be. In other embodiments, the pitch and width do not have to be constant.

本開示の第2態様において、1または複数の実施形態は、活性トランジスタゲートのすぐ上にゲートコンタクトビアをランディングするためのアプローチ、および、それから形成される構造に関連する。そのようなアプローチは、接触の目的で、分離したゲート線を延ばす必要性を排除し得る。そのようなアプローチはまた、別個のゲートコンタクト層がゲート線または構造からの信号を伝導する必要性を排除し得る。実施形態において、上のフィーチャの除去は、トレンチコンタクトの中にコンタクト金属を凹設し、プロセスフローにおいて追加の誘電体材料を導入することによって実現される。追加の誘電体材料は、ゲート整合コンタクトプロセス処理スキームにおけるトレンチコンタクト整合に既に使用されているゲート誘電体材料キャップ層とは異なるエッチング特性を有するトレンチコンタクト誘電体キャップ層として含まれる。 In a second aspect of the present disclosure, one or more embodiments relate to an approach for landing a gate contact via just above an active transistor gate, and the structure formed from it. Such an approach can eliminate the need to extend a separate gate line for contact purposes. Such an approach can also eliminate the need for separate gate contact layers to conduct signals from gate lines or structures. In embodiments, removal of the above features is achieved by recessing the contact metal into the trench contacts and introducing additional dielectric material in the process flow. Additional dielectric materials are included as trench contact dielectric cap layers that have different etching properties than the gate dielectric material cap layers already used for trench contact matching in gate matching contact process processing schemes.

例として、図5A〜図5Cは、本開示の実施形態に係る、自己整合ゲートコンタクト製造のためのコンタクトキャップ層に対するゲート電極キャップ層の選択エッチングを示す。 As an example, FIGS. 5A-5C show selective etching of a gate electrode cap layer against a contact cap layer for the manufacture of self-aligned gate contacts according to an embodiment of the present disclosure.

図5Aを参照すると、ゲートスタック502は、基板500上またはその上方に形成される。第1および第2導電性トレンチコンタクト514はそれぞれ、ゲートスタック502の第1および第2面であり、任意の誘電体スペーサ512がその間に形成される。第1ハードマスクコンポーネント510は、ゲートスタック502の最上面上に形成され、それと整合される。第2ハードマスクコンポーネント516は、第1および第2導電性トレンチコンタクト514上に形成され、それと整合される。第1ハードマスクコンポーネント510および第2ハードマスクコンポーネント516は、互いに組成が異なる。実施形態において、第1ハードマスクコンポーネント510は、中に潜在孔形成材料を有する遷移金属酸化物膜を含む。実施形態において、図示されるように、ゲートスタック502は、high‐kゲート誘電体層504、仕事関数ゲート電極層506、および導電性充填層508を含む。 With reference to FIG. 5A, the gate stack 502 is formed on or above the substrate 500. The first and second conductive trench contacts 514 are the first and second surfaces of the gate stack 502, respectively, with any dielectric spacer 512 formed between them. The first hardmask component 510 is formed and aligned with the top surface of the gate stack 502. The second hard mask component 516 is formed on and aligned with the first and second conductive trench contacts 514. The first hard mask component 510 and the second hard mask component 516 have different compositions from each other. In an embodiment, the first hard mask component 510 comprises a transition metal oxide film having a latent pore-forming material therein. In an embodiment, as illustrated, the gate stack 502 includes a high-k gate dielectric layer 504, a work function gate electrode layer 506, and a conductive packing layer 508.

実施形態において、遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブおよび酸化タンタルから成る群から選択される遷移金属酸化物材料を含む。実施形態において、潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む。 In embodiments, the transition metal oxide film comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide and tantalum oxide. In embodiments, the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide.

図5Bを参照すると、第1ハードマスクコンポーネント510は、ゲート電極502上から除去され、ゲート電極502の上方に開口520を形成する。開口520はゲート電極502の部分だけを露出し得るが、示される透視図のページの下および上のゲート電極502の部分は、第1ハードマスクコンポーネント510の残りの未エッチング部分によって覆われたままであることを理解すべきである。実施形態において、第1ハードマスクコンポーネント510は、第2ハードマスクコンポーネント516に選択的に、および、該当する場合、誘電体スペーサ512に選択に除去される。 Referring to FIG. 5B, the first hard mask component 510 is removed from above the gate electrode 502 to form an opening 520 above the gate electrode 502. Aperture 520 may expose only a portion of the gate electrode 502, but the portion of the gate electrode 502 below and above the perspective page shown remains covered by the remaining unetched portion of the first hardmask component 510. You should understand that there is. In embodiments, the first hardmask component 510 is selectively removed from the second hardmask component 516 and, where applicable, the dielectric spacer 512.

図5Cを参照すると、導電ビア522は、第1ハードマスクコンポーネントの中、かつ、ゲートスタック502の部分上の開口520において形成される。実施形態において、図示されるように、導電ビア522の部分は、第2ハードマスクコンポーネント516の部分上にある。 With reference to FIG. 5C, the conductive via 522 is formed in the first hardmask component and at the opening 520 over a portion of the gate stack 502. In an embodiment, as shown, the portion of the conductive via 522 is on the portion of the second hardmask component 516.

本開示の第3態様において、実施形態は、例えば、誘電体キャップ形成のためのゲート誘電体層の凹設に関連する。図6は、本開示の実施形態に係る、ゲート電極処理のために遷移金属酸化物ドライエッチングアプローチを使用する処理スキームにおける様々な操作を示す。 In a third aspect of the present disclosure, embodiments relate, for example, to the recessing of a gate dielectric layer for forming a dielectric cap. FIG. 6 shows various operations in a treatment scheme that uses a transition metal oxide dry etching approach for gate electrode treatment according to an embodiment of the present disclosure.

図6の(a)部分を参照すると、基板604の上方に形成される絶縁または誘電体層602において複数のゲートトレンチ600が形成される。図6の(b)部分を参照すると、遷移金属酸化物ゲート誘電層606は複数のゲートトレンチ600において形成される。実施形態において、遷移金属酸化物ゲート誘電層606は、中に潜在孔形成材料を有する遷移金属酸化物膜を含む。次に、図6の(b)部分にも図示されるように、ゲート電極608が遷移金属酸化物ゲート誘電層606上に形成される。ゲート電極608は、フィールドのレベルまで制御され得る、または、成長が過剰に実行されて、次に、(例えばCMPプロセスによって)改めて平坦化され得、この間、フィールド上に形成される遷移金属酸化物ゲート誘電層606も除去され得ることを理解すべきである。 With reference to the portion (a) of FIG. 6, a plurality of gate trenches 600 are formed in the insulating or dielectric layer 602 formed above the substrate 604. With reference to the portion (b) of FIG. 6, the transition metal oxide gate dielectric layer 606 is formed in the plurality of gate trenches 600. In the embodiment, the transition metal oxide gate dielectric layer 606 includes a transition metal oxide film having a latent pore-forming material therein. Next, as shown in the portion (b) of FIG. 6, the gate electrode 608 is formed on the transition metal oxide gate dielectric layer 606. The gate electrode 608 can be controlled to the level of the field, or growth can be over-performed and then re-flattened (eg by a CMP process), during which time transition metal oxides are formed on the field. It should be understood that the gate dielectric layer 606 can also be removed.

図6の(c)部分を参照すると、ゲート電極608および遷移金属酸化物ゲート誘電層606の部分的な凹設が実行され、凹設ゲート電極610および凹設遷移金属酸化物ゲート誘電層612がそれぞれ提供される。そのような一実施形態において、ゲート電極608は第1に、遷移金属酸化物ゲート誘電層606に選択的に部分的に凹設される。遷移金属酸化物ゲート誘電層608は次に、図1〜図3に関連して上で説明されたような遷移金属酸化物ドライエッチングアプローチを使用して部分的に凹設される。図6の(d)部分を参照すると、誘電体キャップ層620は次に凹設ゲート電極610上および凹設遷移金属酸化物ゲート誘電層612上で形成される。そのような誘電体キャップ層620は、更なる処理を容易にし得、および/または、自己整合コンタクト形成のためなど、異なる導電性フィーチャ間の短絡を阻害するために使用され得る。図5A〜図5Cに関連して説明されるように、誘電体キャップ層620自体は、中に潜在孔形成材料を有する遷移金属酸化物膜として形成され得る。 With reference to the portion (c) of FIG. 6, the gate electrode 608 and the transition metal oxide gate dielectric layer 606 are partially recessed, and the recessed gate electrode 610 and the recessed transition metal oxide gate dielectric layer 612 are formed. Each is provided. In one such embodiment, the gate electrode 608 is first selectively partially recessed in the transition metal oxide gate dielectric layer 606. The transition metal oxide gate dielectric layer 608 is then partially recessed using a transition metal oxide dry etching approach as described above in connection with FIGS. 1-3. With reference to portion (d) of FIG. 6, the dielectric cap layer 620 is then formed on the recessed gate electrode 610 and on the recessed transition metal oxide gate dielectric layer 612. Such a dielectric cap layer 620 can be used to facilitate further processing and / or to prevent short circuits between different conductive features, such as for self-aligned contact formation. As described in connection with FIGS. 5A-5C, the dielectric cap layer 620 itself can be formed as a transition metal oxide film having a latent pore-forming material therein.

本明細書において説明される1または複数の実施形態は、PMOSおよびNMOSデバイス製造のためなどの半導体デバイスの製造に関連する。例えば、半導体デバイスの1または複数のフィーチャは、図1から図3に関連して説明されるような遷移金属酸化物ドライエッチングアプローチを使用して形成される。完成したデバイスの例として、図7Aおよび図7Bはそれぞれ、本開示の実施形態に係る、非プレーナ型半導体デバイスの断面図および(断面図のa‐a'軸に沿った)平面図をそれぞれ示す。後述されるように、遷移金属酸化物ゲート誘電体膜は、本明細書において説明されるように、遷移金属酸化物ドライエッチングアプローチを使用することによって凹設できる。 One or more embodiments described herein relate to the manufacture of semiconductor devices, such as for the manufacture of MIMO and NMOS devices. For example, one or more features of a semiconductor device are formed using a transition metal oxide dry etching approach as described in connection with FIGS. 1 to 3. As an example of the completed device, FIGS. 7A and 7B show a cross-sectional view and a plan view (along the aa'axis of the cross-sectional view) of the non-planar semiconductor device according to the embodiment of the present disclosure, respectively. .. As described below, the transition metal oxide gate dielectric film can be recessed by using a transition metal oxide dry etching approach, as described herein.

図7Aを参照すると、半導体構造またはデバイス700は、基板702から形成された、分離領域706内の非プレーナ型活性領域(例えば、突出フィン部分704およびサブフィン領域705を含むフィン構造)を含む。ゲート線708は、非プレーナ型活性領域の突出部分704の上に、および、分離領域706の部分の上に配置される。示されるように、ゲート線708はゲート電極750およびゲート誘電体層752を含む。一実施形態において、ゲート線708は誘電体キャップ層754も含み得る。ゲートコンタクト714、および、上層ゲートコンタクトビア716は、この透視図からも見られる。ゲートコンタクト714、および、上層ゲートコンタクトビア716と共に、これらはすべて、層間誘電スタックまたは層770に配置される。図7Aの透視図からさらにわかるように、ゲートコンタクト714は、一実施形態において、分離領域706の上に配置されるが、非プレーナ型活性領域の上には配置されない。実施形態において、フィンのパターンは格子パターンである。 With reference to FIG. 7A, the semiconductor structure or device 700 includes a non-planar active region within the separation region 706 formed from the substrate 702 (eg, a fin structure including a protruding fin portion 704 and a sub fin region 705). The gate line 708 is placed on the overhanging portion 704 of the non-planar active region and on the portion of the separation region 706. As shown, the gate wire 708 includes a gate electrode 750 and a gate dielectric layer 752. In one embodiment, the gate wire 708 may also include a dielectric cap layer 754. The gate contact 714 and the upper gate contact via 716 can also be seen from this perspective view. Together with the gate contacts 714 and the upper gate contact vias 716, they are all located on the interlayer dielectric stack or layer 770. As can be further seen from the perspective view of FIG. 7A, in one embodiment the gate contact 714 is located above the separation region 706, but not above the non-planar active region. In an embodiment, the fin pattern is a grid pattern.

実施形態において、図1〜図3に関連して説明されたように、遷移金属酸化物ドライエッチングアプローチを使用して、誘電体層752の少なくとも一部を凹設した後に、誘電体キャップ層754が形成される。ここで、誘電体層752は、中に潜在孔形成材料を有する遷移金属酸化物膜である、または、それを含む。同一または異なる実施形態において、誘電体キャップ層754は、中に潜在孔形成材料を有する遷移金属酸化物膜から形成される。 In an embodiment, as described in connection with FIGS. 1-3, the transition metal oxide dry etching approach is used to recess at least a portion of the dielectric layer 752 and then the dielectric cap layer 754. Is formed. Here, the dielectric layer 752 is or includes a transition metal oxide film having a latent pore-forming material therein. In the same or different embodiments, the dielectric cap layer 754 is formed from a transition metal oxide film having a latent pore-forming material therein.

図7Bを参照すると、ゲート線708は、突出フィン部分704の上に配置されるものとして示される。突出フィン部分704のソースおよびドレイン領域704Aおよび704Bをこの透視図から見ることができる。一実施形態において、ソースおよびドレイン領域704Aおよび704Bは、突出フィン部分704の元の材料のドーピングされた部分である。別の実施形態において、突出フィン部分704の材料は除去され、例えばエピタキシャル成長によって、別の半導体材料に置換される。いずれの場合においても、ソースおよびドレイン領域704Aおよび704Bは、誘電体層706の高さより下に、すなわち、サブフィン領域705内に延在し得る。 With reference to FIG. 7B, the gate line 708 is shown as being located on the protruding fin portion 704. The source and drain regions 704A and 704B of the protruding fin portion 704 can be seen from this perspective view. In one embodiment, the source and drain regions 704A and 704B are the doped portions of the original material of the protruding fin portion 704. In another embodiment, the material of the protruding fin portion 704 is removed and replaced with another semiconductor material, for example by epitaxial growth. In either case, the source and drain regions 704A and 704B can extend below the height of the dielectric layer 706, i.e. within the subfin region 705.

実施形態において、半導体構造またはデバイス700は、限定されないが、フィンFETまたはトライゲートデバイスのような非プレーナ型デバイスである。このような実施形態において、対応する半導体のチャネル領域は、3次元物体から構成されるか、または3次元物体に形成される。このような一実施形態において、ゲート線708のゲート電極スタックは、3次元物体の少なくとも最上面および側壁のペアを囲む。この概念は、ナノワイヤベースのトランジスタなど、デバイス全体のゲートにおよび得る。 In embodiments, the semiconductor structure or device 700 is a non-planar device, such as, but not limited to, a FinFET or trigate device. In such an embodiment, the channel region of the corresponding semiconductor is composed of or formed into a three-dimensional object. In one such embodiment, the gate electrode stack of gate wires 708 surrounds at least a pair of top and side walls of a three-dimensional object. This concept can extend to the gates of the entire device, such as nanowire-based transistors.

基板702は、製造プロセスに耐えることができ、電荷が移動できる半導体材料から構成され得る。実施形態において、本明細書において記載される基板702は、活性領域704を形成すべく、限定されないが、リン、ヒ素、ホウ素、またはこれらの組み合わせなどの電荷キャリアでドーピングされた結晶シリコン、シリコン/ゲルマニウム、またはゲルマニウム層で構成されたバルク基板である。一実施形態において、バルク基板702のシリコン原子の濃度は、97%より高い。別の実施形態において、バルク基板702は、別個の結晶性基板の上に成長させたエピタキシャル層、例えばホウ素をドーピングしたバルクシリコン単結晶基板の上に成長させたシリコンエピタキシャル層から構成される。バルク基板702は、代替的に、III‐V族材料から構成されてもよい。実施形態において、バルク基板702は、限定されるものではないが窒化ガリウム、リン化ガリウム、ヒ化ガリウム、リン化インジウム、アンチモン化インジウム、ヒ化インジウムガリウム、ヒ化アルミニウムガリウム、リン化インジウムガリウム、またはそれらの組み合わせなどのIII−V材料から構成される。一実施形態において、バルク基板702はIII−V材料から構成され、電荷キャリアドーパント不純物原子は、限定されるものではないが炭素、シリコン、ゲルマニウム、酸素、硫黄、セレンまたはテルルなどである。 The substrate 702 can be made of a semiconductor material that can withstand the manufacturing process and can transfer charges. In embodiments, the substrates 702 described herein are crystalline silicon, silicon / silicon, doped with charge carriers such as, but not limited to, phosphorus, arsenic, boron, or a combination thereof to form the active region 704. It is a bulk substrate composed of germanium or a germanium layer. In one embodiment, the concentration of silicon atoms in the bulk substrate 702 is higher than 97%. In another embodiment, the bulk substrate 702 is composed of an epitaxial layer grown on a separate crystalline substrate, eg, a silicon epitaxial layer grown on a boron-doped bulk silicon single crystal substrate. The bulk substrate 702 may optionally be composed of a group III-V material. In embodiments, the bulk substrate 702 is gallium nitride, gallium phosphide, gallium phosphide, indium phosphide, indium antimonide, indium gallium arsenide, aluminum gallium phosphide, indium phosphide, and the like. Or composed of III-V materials such as combinations thereof. In one embodiment, the bulk substrate 702 is composed of a III-V material and the charge carrier dopant impurity atoms are, but are not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium, tellurium and the like.

分離領域706は、最終的に恒久的なゲート構造を部分的に下層バルク基板から電気的に分離するもしくはその分離に寄与する、またはフィン活性領域を分離するなど下層バルク基板内に形成される活性領域を分離するのに好適な材料から構成されてよい。例えば、一実施形態において、分離領域706は、限定されるものではないが二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、または炭素をドーピングした窒化ケイ素などの誘電体材料から構成される。 The separation region 706 is an activity formed in the lower bulk substrate such that the permanent gate structure is finally partially electrically separated from the lower bulk substrate or contributes to the separation, or the fin active region is separated. It may be composed of a material suitable for separating the regions. For example, in one embodiment, the separation region 706 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon nitride, silicon nitride, or carbon-doped silicon nitride.

ゲート線708は、ゲート誘電体層752およびゲート電極層750を含むゲート電極スタックから構成され得る。実施形態において、ゲート電極スタックのゲート電極は、金属ゲートから構成され、ゲート誘電体層は、high‐k材料から構成される。例えば、一実施形態において、ゲート誘電体層は、酸化ハフニウム、酸窒化ハフニウム、ハフニウムシリケート、酸化ランタン、酸化ジルコニウム、ジルコニウムシリケート、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、酸化タンタルスカンジウム鉛、亜鉛ニオブ酸鉛またはそれの組み合わせなどの材料から構成されるが、これに限定されない。さらに、ゲート誘電体層の一部は、基板702のいくらかの最上層から形成された自然酸化物の層を含み得る。実施形態において、ゲート誘電体層は、頂部のhigh‐k部分と、半導体材料の酸化物から構成される下部とから構成される。一実施形態において、ゲート誘電体層は、酸化ハフニウムの上部と、二酸化ケイ素または酸窒化ケイ素の底部とから構成される。 The gate wire 708 may consist of a gate electrode stack that includes a gate dielectric layer 752 and a gate electrode layer 750. In an embodiment, the gate electrode of the gate electrode stack is composed of a metal gate and the gate dielectric layer is composed of a high-k material. For example, in one embodiment, the gate dielectric layer is hafnium oxide, hafnium oxynitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide. , But not limited to, composed of materials such as aluminum oxide, lead tantalum pentoxide, lead zinc niobate or a combination thereof. In addition, some of the gate dielectric layers may include a layer of natural oxide formed from some top layer of substrate 702. In embodiments, the gate dielectric layer is composed of a high-k portion of the top and a bottom composed of oxides of the semiconductor material. In one embodiment, the gate dielectric layer is composed of an upper part of hafnium oxide and a bottom part of silicon dioxide or silicon nitride.

ゲート線またはゲート電極スタックと関連したスペーサは、最終的には、自己整合コンタクトなどの隣接する導電性コンタクトから恒久的なゲート構造を電気的に分離するか、またはこの分離に寄与する好適な材料で構成され得る。例えば、一実施形態において、スペーサは、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素または炭素ドーピング窒化ケイ素などの誘電体材料から構成されるが、これに限定されない。 The spacer associated with the gate wire or gate electrode stack is a suitable material that ultimately electrically separates the permanent gate structure from adjacent conductive contacts, such as self-aligned contacts, or contributes to this separation. Can consist of. For example, in one embodiment, the spacer is composed of, but is not limited to, a dielectric material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride or carbon-doped silicon nitride.

ゲートコンタクト714および上層ゲートコンタクトビア716は、導電性材料から構成され得る。実施形態において、コンタクトまたはビアのうちの1または複数は、金属種から構成される。金属種は、タングステン、ニッケル、またはコバルトなどの純金属であってもよく、あるいは金属間合金または金属−半導体合金(例えばケイ化物材料など)などの合金であってもよい。 The gate contact 714 and the upper gate contact via 716 may be made of a conductive material. In embodiments, one or more of the contacts or vias are composed of metal species. The metal species may be a pure metal such as tungsten, nickel, or cobalt, or an alloy such as an intermetal alloy or a metal-semiconductor alloy (eg, silicic material).

実施形態(不図示)において、構造700の提供は、位置決めバジェットの非常に厳しいリソグラフィ段階を用いることなく、既存のゲートパターンと本質的に完全に整合されたコンタクトパターンを形成することを伴う。そのような一実施形態において、このアプローチにより、コンタクト開口を生成するために、(例えば従来行われるドライエッチングまたはプラズマエッチングに対して)本来的に選択性の高いウェットエッチングを用いることが可能となる。実施形態において、コンタクトパターンは、コンタクトプラグのリソグラフィ工程と組み合わせて、既存のゲートパターンを利用することにより形成される。そのような一実施形態において、そのアプローチにより、コンタクトパターンを生成するために、従来のアプローチで用いられるような、元来ならばクリティカルなリソグラフィ工程を不要とすることが可能となる。実施形態において、トレンチコンタクトグリッドは、別個にパターニングされるのではなく、むしろポリ(ゲート)線の間に形成される。例えば、そのような一実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニング後だが、ゲート格子カット前に形成される。 In an embodiment (not shown), the provision of structure 700 involves forming a contact pattern that is essentially perfectly matched to an existing gate pattern without using the very stringent lithography steps of the positioning budget. In one such embodiment, this approach allows the use of inherently highly selective wet etching (eg, as opposed to conventional dry etching or plasma etching) to generate contact openings. .. In embodiments, the contact pattern is formed by utilizing an existing gate pattern in combination with the contact plug lithography process. In one such embodiment, the approach makes it possible to eliminate the otherwise critical lithography steps used in conventional approaches to generate contact patterns. In embodiments, trench contact grids are not patterned separately, but rather formed between poly (gate) lines. For example, in one such embodiment, the trench contact grid is formed after gate grid patterning but before gate grid cut.

更に、ゲートスタック構造708は、リプレースメントゲートプロセスによって製造され得る。そのようなスキームにおいて、ポリシリコンまたは窒化ケイ素ピラー材料などのダミーゲート材料は除去され、恒久的なゲート電極材料に置き換えられ得る。そのような一実施形態において、恒久的なゲート誘電体層は、前の処理から持ち越されるのではなく、このプロセスにおいても形成される。実施形態において、ダミーゲートは、ドライエッチングまたはウェットエッチングプロセスによって除去される。一実施形態において、ダミーゲートは、多結晶シリコンまたは非晶質シリコンから構成され、SFの使用を含むドライエッチングプロセスを用いて除去される。別の実施形態において、ダミーゲートは、多結晶シリコンまたは非晶質シリコンから構成され、NHOH水溶液または水酸化テトラメチルアンモニウム水溶液の使用を含むウェットエッチングプロセスで除去される。一実施形態において、ダミーゲートは窒化ケイ素から構成され、リン酸水溶液を含むウェットエッチングで除去される。 In addition, the gate stack structure 708 can be manufactured by the replacement gate process. In such schemes, dummy gate materials such as polysilicon or silicon nitride pillar materials can be removed and replaced with permanent gate electrode materials. In one such embodiment, the permanent gate dielectric layer is not carried over from the previous process, but is also formed in this process. In the embodiment, the dummy gate is removed by a dry etching or wet etching process. In one embodiment, the dummy gate is composed of polycrystalline silicon or amorphous silicon and is removed using a dry etching process involving the use of SF 6. In another embodiment, the dummy gate is composed of polycrystalline silicon or amorphous silicon, is removed by wet etching process involving the use of aqueous NH 4 OH solution or tetramethylammonium hydroxide aqueous solution. In one embodiment, the dummy gate is composed of silicon nitride and is removed by wet etching containing an aqueous solution of phosphoric acid.

実施形態において、本明細書で説明された1または複数のアプローチは、構造700に到達すべく、ダミーおよびリプレースメントコンタクトプロセスと組み合わせて、ダミーおよびリプレースメントゲートプロセスを基本的に意図している。そのような一実施形態において、恒久的なゲートスタックの少なくとも一部の高温アニールを可能にすべく、リプレースメントコンタクトプロセスは、リプレースメントゲートプロセスの後に実行される。例えば、そのような特定の実施形態において、恒久的なゲート構造のうち少なくとも一部のアニールは、例えばゲート誘電体層が形成された後に、約600℃より高い温度で実行される。アニールは、恒久的なコンタクトの形成前に実行される。 In embodiments, the one or more approaches described herein are essentially intended to be a dummy and replacement gate process in combination with a dummy and replacement contact process to reach structure 700. In one such embodiment, the replacement contact process is performed after the replacement gate process to allow high temperature annealing of at least a portion of the permanent gate stack. For example, in such particular embodiments, annealing of at least some of the permanent gate structures is performed, for example, at temperatures above about 600 ° C. after the gate dielectric layer has been formed. Annealing is performed prior to the formation of permanent contacts.

図7Aを再度参照すると、半導体構造またはデバイス700の構成は、ゲートコンタクトを分離領域の上に配置する。そのような配置は、レイアウトスペースの非効率な使用と見なされる場合がある。しかしながら、別の実施形態において、半導体デバイスは、活性領域の上に形成されたゲート電極の部分のコンタクトとなるコンタクト構造を有する。概して、ゲートの活性部分の上方、かつトレンチコンタクトビアと同一の層に、ゲートコンタクト構造(ビアなど)を形成する前に(例えば、それを形成することに加えて)、本開示の1または複数の実施形態は最初に、ゲート整合トレンチコンタクトプロセスを使用することを含む。そのようなプロセスは、半導体構造の製造、例えば、集積回路の製造のためのトレンチコンタクト構造を形成すべく、実装され得る。実施形態において、トレンチコンタクトパターンは、既存のゲートパターンと整合するように形成される。対照的に、従来のアプローチは通常、選択的コンタクトエッチングと組み合わせた、リソグラフィコンタクトパターンを既存のゲートパターンに対して厳しく位置決めする追加的なリソグラフィプロセスを伴う。例えば、従来のプロセスは、コンタクトフィーチャを別個にパターニングしつつ、ポリ(ゲート)グリッドをパターニングすることを含む場合がある。 With reference to FIG. 7A again, the semiconductor structure or the configuration of the device 700 places the gate contact over the separation region. Such an arrangement may be considered an inefficient use of layout space. However, in another embodiment, the semiconductor device has a contact structure that serves as a contact for a portion of the gate electrode formed over the active region. Generally, one or more of the present disclosures prior to forming a gate contact structure (eg, vias) above the active portion of the gate and in the same layer as the trench contact vias (eg, in addition to forming it). Embodiments initially include the use of a gate-matched trench contact process. Such a process can be implemented to form a trench contact structure for the manufacture of semiconductor structures, eg, the manufacture of integrated circuits. In embodiments, the trench contact pattern is formed to match the existing gate pattern. In contrast, traditional approaches usually involve an additional lithography process that tightly positions the lithography contact pattern with respect to the existing gate pattern, in combination with selective contact etching. For example, a conventional process may include patterning a poly (gate) grid while patterning contact features separately.

上述のプロセスのすべての態様が、本開示の実施形態の趣旨および範囲に収まるように実践される必要はないことは理解されるべきである。例えば、一実施形態において、ダミーゲートは、ゲートスタックの活性部分の上方にゲートコンタクトを製造する前に、形成される必要は全くない。上述のゲートスタックは、実際は最初に形成されたように恒久的なゲートスタックであり得る。また、本明細書に説明されるプロセスは、1または複数の半導体デバイスを製造するべく使用され得る。半導体デバイスは、トランジスタまたは同様のデバイスであり得る。例えば、実施形態において、半導体デバイスは、ロジックまたはメモリ用の金属酸化物膜半導体(MOS)トランジスタ、またはバイポーラトランジスタである。また、実施形態において、半導体デバイスは、トライゲートデバイス、独立してアクセスされるダブルゲートデバイスまたはフィンFETなどの、3次元アーキテクチャを有する。1または複数の実施形態は、10ナノメートル(10nm)またはより小さいテクノロジーノードで半導体デバイスを製造するのに特に有用であり得る。 It should be understood that all aspects of the process described above need not be practiced to fall within the spirit and scope of the embodiments of the present disclosure. For example, in one embodiment, the dummy gate need not be formed at all prior to making the gate contact above the active portion of the gate stack. The gate stack described above can actually be a permanent gate stack as originally formed. Also, the processes described herein can be used to manufacture one or more semiconductor devices. The semiconductor device can be a transistor or similar device. For example, in embodiments, the semiconductor device is a metal oxide film semiconductor (MOS) transistor for logic or memory, or a bipolar transistor. Also, in embodiments, the semiconductor device has a three-dimensional architecture, such as a tri-gate device, an independently accessed double-gate device or a FinFET. One or more embodiments may be particularly useful for manufacturing semiconductor devices at 10 nanometers (10 nm) or smaller technology nodes.

本開示の実施形態の上述の態様は両方とも基板工程または配線工程の処理技術に適用され得ることを理解すべきである。更に、本明細書において開示される実施形態は、多種多様な異なるタイプの集積回路および/またはマイクロエレクトロニクスデバイスを製造するために使用され得る。そのような集積回路の例は、限定されないが、プロセッサ、チップセットコンポーネント、グラフィックスプロセッサ、デジタル信号プロセッサ、マイクロコントローラ等を含む。他の実施形態においては、半導体メモリが製造され得る。更に、集積回路または他のマイクロエレクトロニクスデバイスは、当分野において知られている多種多様な電子デバイスにおいて使用され得る。例えば、コンピュータシステム(例えば、デスクトップ、ラップトップ、サーバ)、携帯電話、パーソナル電子機器等である。集積回路は、バスおよびシステムの他のコンポーネントと結合され得る。例えば、プロセッサは、1または複数のバスによって、メモリ、チップセット等と結合され得る。プロセッサ、メモリ、およびチップセットの各々は、潜在的に、本明細書で開示されるアプローチを使用し製造され得る。 It should be understood that both of the above aspects of the embodiments of the present disclosure can be applied to processing techniques in the front end of line or back end of line. In addition, the embodiments disclosed herein can be used to manufacture a wide variety of different types of integrated circuits and / or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, microcontrollers, and the like. In other embodiments, semiconductor memories may be manufactured. In addition, integrated circuits or other microelectronic devices can be used in a wide variety of electronic devices known in the art. For example, computer systems (eg desktops, laptops, servers), mobile phones, personal electronic devices and the like. Integrated circuits can be coupled with buses and other components of the system. For example, the processor may be coupled to memory, chipsets, etc. by one or more buses. Each of the processor, memory, and chipset can potentially be manufactured using the approaches disclosed herein.

図8は、本開示の一実装に係るコンピューティングデバイス800を示す。コンピューティングデバイス800は、ボード802を収容する。ボード802は、限定されるものではないが、プロセッサ804および少なくとも1つの通信チップ806を含む多数のコンポーネントを含んでよい。プロセッサ804は、ボード802と物理的かつ電気的と連結される。いくつかの実装において、少なくとも1つの通信チップ806も、ボード802と物理的かつ電気的と連結される。更なる実装において、通信チップ806は、プロセッサ804の一部である。 FIG. 8 shows a computing device 800 according to an implementation of the present disclosure. The computing device 800 houses the board 802. Board 802 may include a number of components, including, but not limited to, a processor 804 and at least one communication chip 806. The processor 804 is physically and electrically connected to the board 802. In some implementations, at least one communication chip 806 is also physically and electrically coupled to the board 802. In a further implementation, the communication chip 806 is part of the processor 804.

適用に応じて、コンピューティングデバイス800は、ボード802に物理的および電気的に結合されても、されなくてもよい他のコンポーネントを含み得る。これらの他のコンポーネントは、限定されるものではないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)等のような)大容量記憶装置を含む。 Depending on the application, the computing device 800 may include other components that may or may not be physically and electrically coupled to the board 802. These other components are, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), flash memory, graphics processor, digital signal processor, crypto processor, chipset, antenna. , Display, touch screen display, touch screen controller, battery, audio codec, video codec, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, speaker, camera, and (hard disk drive, compact disk) Includes mass storage devices (such as (CD), digital multipurpose discs (DVD), etc.).

通信チップ806は、コンピューティングデバイス800との間のデータ伝送のために無線通信を可能にする。「無線」という用語およびその複数の派生語は、非固体媒体を介して変調電磁放射線を用いたデータ通信を行うことが可能な回路、デバイス、システム、方法、技術、通信チャネル等を説明するために用いられてよい。いくつかの実施形態においては関連するデバイスが有線を含まないこともあるだろうが、この用語は、これらのデバイスが有線を全く含まないということを暗示するものではない。通信チップ806は、限定されないが、Wi‐Fi(IEEE802.11ファミリ)、WiMAX(登録商標)(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev‐DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、これらの派生物、並びに3G、4G、5Gおよびそれ以降の世代として指定された任意の他の無線プロトコルを含む多数の無線規格またはプロトコルのいずれかを実装し得る。コンピューティングデバイス800は、複数の通信チップ806を含んでよい。例えば、第1の通信チップ806は、Wi−Fi(登録商標)およびBluetooth(登録商標)のような近距離無線通信専用であってよく、第2の通信チップ806は、GPS、EDGE、GPRS、CDMA、WiMAX(登録商標)、LTE、Ev−DO等のような長距離無線通信専用であってよい。 The communication chip 806 enables wireless communication for data transmission to and from the computing device 800. The term "radio" and its derivatives are used to describe circuits, devices, systems, methods, technologies, communication channels, etc. that are capable of data communication using modulated electromagnetic radiation via non-solid media. May be used for. In some embodiments, the associated device may not include wired, but the term does not imply that these devices do not include wired at all. The communication chip 806 is not limited to Wi-Fi (IEEE802.11 family), WiMAX® (registered trademark) (IEEE802.16 family), IEEE802.20, Long Term Evolution (LTE), Ev-DO, HSPA +, HSDPA +, HSUPA +, LTE, GSM®, GPRS, CDMA, TDMA, DECT, Bluetooth®, derivatives thereof, and any other radio protocol designated as 3G, 4G, 5G and later generations. Any of a number of radio standards or protocols may be implemented, including. The computing device 800 may include a plurality of communication chips 806. For example, the first communication chip 806 may be dedicated to short-range wireless communication such as Wi-Fi® and Bluetooth®, and the second communication chip 806 may be GPS, LTE, GPRS, etc. It may be dedicated to long-range wireless communication such as CDMA, WiMAX®, LTE, Ev-DO and the like.

コンピューティングデバイス800のプロセッサ804は、プロセッサ804内にパッケージングされた集積回路ダイを含む。本開示のいくつかの実装において、プロセッサの集積回路ダイは、開示の実装にしたがって構築された、選択的金属酸化物エッチングを使用して製造された1または複数のフィーチャを含む。「プロセッサ」という用語は、複数のレジスタおよび/またはメモリからの電子データを処理し、当該電子データをレジスタおよび/またはメモリに格納可能な他の電子データに変換する任意のデバイスまたはデバイスの一部を指してよい。 The processor 804 of the computing device 800 includes an integrated circuit die packaged within the processor 804. In some implementations of the present disclosure, the integrated circuit die of the processor comprises one or more features manufactured using selective metal oxide etching constructed according to the implementation of the disclosure. The term "processor" is any device or part of any device that processes electronic data from multiple registers and / or memory and converts the electronic data into other electronic data that can be stored in the registers and / or memory. May point to.

通信チップ806は、通信チップ806内にパッケージングされた集積回路ダイも含む。本開示の実施形態によれば、通信チップの集積回路ダイは、開示の実装にしたがって構築された、選択的金属酸化物エッチングを使用して製造された1または複数のフィーチャを含む。 The communication chip 806 also includes an integrated circuit die packaged within the communication chip 806. According to embodiments of the present disclosure, the integrated circuit die of the communication chip comprises one or more features manufactured using selective metal oxide etching constructed according to the implementation of the disclosure.

更なる実装において、コンピューティングデバイス800内に収容された別のコンポーネントは、開示の実装にしたがって構築された、選択的金属酸化物エッチングを使用して製造された1または複数のフィーチャを含む集積回路ダイを含み得る。 In a further implementation, another component housed within the compute device 800 is an integrated circuit containing one or more features manufactured using selective metal oxide etching built according to the disclosed implementation. May include dies.

様々な実装例において、コンピューティングデバイス800は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメントコントロールユニット、デジタルカメラ、携帯音楽プレーヤ、またはデジタルビデオレコーダであってよい。更なる実装において、コンピューティングデバイス800は、データを処理する任意の他の電子デバイスであり得る。 In various implementation examples, the computing device 800 is a laptop, netbook, notebook, ultrabook, smartphone, tablet, personal digital assistant (PDA), ultramobile PC, mobile phone, desktop computer, server, printer, scanner. , Monitor, set-top box, entertainment control unit, digital camera, portable music player, or digital video recorder. In a further implementation, the computing device 800 can be any other electronic device that processes data.

図9は、本開示の1または複数の実施形態を含むインターポーザ900を示す。インターポーザ900は、第1基板902と第2基板904とのブリッジになるyほうに使用される介在基板である。第1基板902は、例えば集積回路ダイであり得る。第2基板904は、例えば、メモリモジュール、コンピュータマザーボード、または、別の集積回路ダイであり得る。概して、インターポーザ900の目的は、接続をより幅広いピッチに広げること、または接続を異なる接続にリルートすることである。例えば、インターポーザ900は、後で第2基板904と連結可能なボールグリッドアレイ(BGA)906に、集積回路ダイを連結してよい。いくつかの実施形態において、第1および第2基板902/904は、インターポーザ900に対向する側に取り付けられる。他の実施形態において、第1および第2基板902/904は、インターポーザ900と同じ側に取り付けられる。さらなる実施形態において、3つまたはそれより多くの基板は、インターポーザ900によって相互接続される。 FIG. 9 shows an interposer 900 that includes one or more embodiments of the present disclosure. The interposer 900 is an interposer substrate used as a bridge between the first substrate 902 and the second substrate 904. The first substrate 902 can be, for example, an integrated circuit die. The second substrate 904 can be, for example, a memory module, a computer motherboard, or another integrated circuit die. In general, the purpose of the interposer 900 is to extend the connection to a wider pitch or to reroute the connection to a different connection. For example, the interposer 900 may connect an integrated circuit die to a ball grid array (BGA) 906 that can later be connected to a second substrate 904. In some embodiments, the first and second substrates 902/904 are mounted on the side facing the interposer 900. In other embodiments, the first and second substrates 902/904 are mounted on the same side as the interposer 900. In a further embodiment, the three or more substrates are interconnected by an interposer 900.

インターポーザ900は、エポキシ樹脂、ガラス繊維強化エポキシ樹脂、セラミック材料またはポリイミドのようなポリマー材料で形成されてよい。更なる実装において、インターポーザは、シリコン、ゲルマニウム、並びに他のIII‐V族およびIV族材料のような、半導体基板に使用される上述された材料と同一の材料を含み得る、交互に重なる強固または柔軟な材料で形成され得る。 The interposer 900 may be made of a polymeric material such as epoxy resin, fiberglass reinforced epoxy resin, ceramic material or polyimide. In a further implementation, the interposer may contain the same materials as those described above used for semiconductor substrates, such as silicon, germanium, and other group III-V and IV materials, with alternating stiffening or overlapping. It can be made of flexible material.

インターポーザは、複数のスルーシリコンビア(TSV)912を含むが、これに限定されない、複数の金属インターコネクト908および複数のビア910を含んでよい。インターポーザ900は、パッシブおよびアクティブデバイスの両方を含む複数の埋め込みデバイス914をさらに含んでよい。そのようなデバイスには、限定されないが、コンデンサ、デカップリングコンデンサ、抵抗器、インダクタ、ヒューズ、ダイオード、変圧器、センサ、および静電放電(ESD)デバイスが含まれる。無線周波数(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサ、およびMEMSデバイスのようなより複雑なデバイスが、インターポーザ900上にさらに形成されてよい。本開示の実施形態によれば、本明細書に開示される機器またはプロセスは、インターポーザ900の製造において、または、インターポーザ900に含まれるコンポーネントの製造において使用され得る。 The interposer may include a plurality of metal interconnects 908 and a plurality of vias 910, including, but not limited to, a plurality of through silicon vias (TSVs) 912. The interposer 900 may further include a plurality of embedded devices 914, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. More complex devices such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may be further formed on the interposer 900. According to embodiments of the present disclosure, the equipment or processes disclosed herein can be used in the manufacture of the interposer 900 or in the manufacture of the components contained in the interposer 900.

従って、本開示の実施形態は、デバイス製造のための遷移金属酸化物膜の選択エッチング、および、制御された原子層エッチング、ならびに結果として得られるデバイスを含む。 Accordingly, embodiments of the present disclosure include selective etching of transition metal oxide films for device fabrication, and controlled atomic layer etching, as well as the resulting device.

要約において説明されるものを含む、本開示の実施形態の示される実装の上の説明は、網羅的であること、または、開示された厳密な形態に開示を限定することを意図するものではない。本開示の具体的な実装および例は、本明細書において、例示目的で説明されており、当業者であれば理解するように、様々な等価の変形が、本開示の範囲内で可能である。 The above description of the indicated implementation of the embodiments of this disclosure, including those described in the abstract, is not intended to be exhaustive or to limit the disclosure to the exact form disclosed. .. Specific implementations and examples of the present disclosure are set forth herein for illustrative purposes and, as will be appreciated by those skilled in the art, various equivalent modifications are possible within the scope of the present disclosure. ..

これらの修正は、上の詳細な説明を考慮して、本開示に加えられ得る。以下の請求項において使用される用語は、本明細書および請求項に開示される特定の実装例に本開示を限定するものと解釈されるべきではない。むしろ、本開示の範囲は、請求項解釈の確立された方針に従って解釈される以下の請求項によって完全に決定される。 These modifications may be made to this disclosure in light of the detailed description above. The terms used in the following claims should not be construed as limiting this disclosure to the specific implementations disclosed herein and in the claims. Rather, the scope of the present disclosure is entirely determined by the following claims, which are construed in accordance with the established policies of the claims.

例示的実施形態1:膜をドライエッチングする方法は、潜在孔形成材料を中に有する遷移金属酸化物膜を形成する段階を含む。方法はまた、遷移金属酸化物膜の多孔性領域を形成するために、遷移金属酸化物膜の潜在孔形成材料の表面部分を除去する段階を含む。方法はまた、遷移金属酸化物膜の多孔性領域を除去する段階を含む。 Illustrative Embodiment 1: The method of dry etching a film includes a step of forming a transition metal oxide film having a latent pore-forming material inside. The method also comprises removing the surface portion of the potential pore forming material of the transition metal oxide film to form a porous region of the transition metal oxide film. The method also comprises removing the porous region of the transition metal oxide film.

例示的実施形態2:潜在孔形成材料の表面部分を除去する段階は、第1エッチングプロセスにおいて実行され、遷移金属酸化物膜の多孔性領域の除去は、第2の異なるエッチングプロセスにおいて実行される、例示的実施形態1に記載の方法。 Illustrative Embodiment 2: The step of removing the surface portion of the latent pore-forming material is carried out in the first etching process, and the removal of the porous region of the transition metal oxide film is carried out in the second different etching process. , The method according to the exemplary embodiment 1.

例示的実施形態3:潜在孔形成材料の表面部分を除去する段階、および、遷移金属酸化物膜の多孔性領域を除去する段階は、同一のエッチングプロセスにおいて実行される、例示的実施形態1に記載の方法。 Exemplary Embodiment 3: The step of removing the surface portion of the latent pore-forming material and the step of removing the porous region of the transition metal oxide film are carried out in the same etching process, according to the exemplary embodiment 1. The method described.

例示的実施形態4:潜在孔形成材料の表面部分を除去する段階、および、遷移金属酸化物膜の多孔性領域を除去する段階は、1または複数のプラズマエッチングプロセスを使用して実行される、例示的実施形態1、2または3に記載の方法。 Exemplary Embodiment 4: The step of removing the surface portion of the latent pore-forming material and the step of removing the porous region of the transition metal oxide film are performed using one or more plasma etching processes. The method according to the exemplary embodiment 1, 2 or 3.

例示的実施形態5:潜在孔形成材料を中に有する遷移金属酸化物膜を形成する段階は、気相堆積プロセス中に共反応酸化物前駆体を含む、例示的実施形態1、2、3または4に記載の方法。 Illustrative Embodiment 5: The step of forming a transition metal oxide film having a latent pore-forming material in it comprises a co-reactive oxide precursor during the vapor deposition process, exemplary Embodiments 1, 2, 3 or The method according to 4.

例示的実施形態6:遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、例示的実施形態1、2、3、4または5に記載の方法。 Illustrative Embodiment 6: The transition metal oxide film comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. 3, 4 or 5 according to the method.

例示的実施形態7:潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む、例示的実施形態1、2、3、4、5または6に記載の方法。 Illustrative Embodiment 7: The method according to exemplary embodiment 1, 2, 3, 4, 5 or 6, wherein the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide.

例示的実施形態8:潜在孔形成材料は、遷移金属酸化物膜の総体積の10パーセントから25パーセントを含む、例示的実施形態1、2、3、4、5、6または7に記載の方法。 Example 8: The method according to exemplary embodiment 1, 2, 3, 4, 5, 6 or 7, wherein the latent pore-forming material comprises 10 percent to 25 percent of the total volume of the transition metal oxide film. ..

例示的実施形態9:潜在孔形成材料は遷移金属酸化物膜内にランダムに分散される、例示的実施形態1、2、3、4、5、6、7または8に記載の方法。 Example 9: The method according to exemplary embodiment 1, 2, 3, 4, 5, 6, 7 or 8, wherein the latent pore-forming material is randomly dispersed in the transition metal oxide film.

例示的実施形態10:潜在孔形成材料は、遷移金属酸化物膜内の1または複数の積層平面層として分散される、例示的実施形態1、2、3、4、5、6、7または8に記載の方法。 Illustrative Embodiment 10: The latent pore-forming material is dispersed as one or more laminated planar layers in the transition metal oxide film, exemplary Embodiment 1, 2, 3, 4, 5, 6, 7 or 8. The method described in.

例示的実施形態11:潜在孔形成材料は遷移金属酸化物膜内に1または複数のコンフォーマル層として分散される、例示的実施形態1、2、3、4、5、6、7または8に記載の方法。 Illustrative Embodiment 11: In exemplary embodiments 1, 2, 3, 4, 5, 6, 7 or 8, the latent pore-forming material is dispersed as one or more conformal layers in the transition metal oxide film. The method described.

例示的実施形態12:集積回路構造は、基板の上の層間誘電(ILD)層に複数の導電線を含む。ハードマスク層は、複数の導電線上、おyぼい、ILD層の最上面上にある。ハードマスク層は、複数の導電線の最上面上にあり、それに整合される第1ハードマスクコンポーネントと、ILD層の最上面の領域上にあり、それに整合される第2ハードマスクコンポーネントとを含む。第1ハードマスクコンポーネントおよび第2ハードマスクコンポーネントは、互いに組成が異なる。第1ハードマスクコンポーネントは、潜在孔形成材料を中に有する遷移金属酸化物膜を含む。導電ビアは、ハードマスク層内、かつ、複数の導電線のうち1つの部分上の開口にある。 Illustrative Embodiment 12: An integrated circuit structure comprises a plurality of conductive wires in an interstitial dielectric (ILD) layer on a substrate. The hard mask layer is on a plurality of conductive wires, on the top surface of the ILD layer. The hardmask layer includes a first hardmask component that is on the top surface of the plurality of conductive wires and is matched to it, and a second hardmask component that is on the top surface area of the ILD layer and is matched to it. .. The first hard mask component and the second hard mask component have different compositions from each other. The first hard mask component includes a transition metal oxide film having a latent pore-forming material inside. The conductive via is in the hard mask layer and in the opening on one portion of the plurality of conductive wires.

例示的実施形態13:遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、および酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、例示的実施形態12に記載の集積回路構造。 Illustrative Embodiment 13: The transition metal oxide film comprises the transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. Integrated circuit structure.

例示的実施形態14:潜在孔形成材料は、酸化アルミニウム、酸化 ガリウム、酸化スズ、酸化コバルト、酸化ニッケル、および酸化ケイ素から成る群から選択される材料を含む、例示的実施形態12または13に記載の集積回路構造。 Illustrative Embodiment 14: The latent pore-forming material comprises a material selected from the group consisting of aluminum oxide, gallium oxide, tin oxide, cobalt oxide, nickel oxide, and silicon oxide, according to exemplary embodiment 12 or 13. Integrated circuit structure.

例示的実施形態15:第1ハードマスクコンポーネントは、複数の導電線の最上面に制限される、例示的実施形態12、13または14に記載の集積回路構造。 Illustrative Embodiment 15: The integrated circuit structure according to exemplary embodiment 12, 13 or 14, wherein the first hardmask component is restricted to the top surfaces of a plurality of conductive wires.

例示的実施形態16:第1ハードマスクコンポーネントは、ILD層の最上面の部分に延在する、例示的実施形態12、13または14に記載の集積回路構造。 Illustrative Embodiment 16: The integrated circuit structure according to exemplary embodiment 12, 13 or 14, wherein the first hardmask component extends over a portion of the top surface of the ILD layer.

例示的実施形態17:導電ビアの部分は、ハードマスク層の第2ハードマスクコンポーネントの部分上にある、例示的実施形態12、13、14、15または16に記載の集積回路構造。 Illustrative Embodiment 17: The integrated circuit structure according to exemplary embodiment 12, 13, 14, 15 or 16, wherein the portion of the conductive via is on a portion of the second hardmask component of the hardmask layer.

例示的実施形態18:第1ハードマスクコンポーネントは、第2ハードマスクコンポーネントの最上面と実質的に同一平面である最上面を有する、例示的実施形態12、13、14、15、16または17に記載の集積回路構造。 Illustrative Embodiment 18: In exemplary embodiments 12, 13, 14, 15, 16 or 17, the first hardmask component has an uppermost surface that is substantially coplanar with the uppermost surface of the second hardmask component. The integrated circuit structure described.

例示的実施形態19:ハードマスク層の上の第2ILD層を更に含み、導電ビアは更に第2ILD層の開口の中にある、例示的実施形態12、13、14、15、16、17または18に記載の集積回路構造。 Illustrative Embodiment 19: An exemplary embodiment 12, 13, 14, 15, 16, 17 or 18 further comprises a second ILD layer above the hardmask layer and the conductive vias are further within the opening of the second ILD layer. The integrated circuit structure described in.

例示的実施形態20:複数の導電線の1つは、下層導電ビア構造に結合され、下層導電ビア構造は、集積回路構造の下層メタライゼーション層に接続される、例示的実施形態12、13、14、15、16、17、18または19に記載の集積回路構造。 Illustrative Embodiment 20: One of the plurality of conductive wires is coupled to the lower conductive via structure, and the lower conductive via structure is connected to the lower metallization layer of the integrated circuit structure. The integrated circuit structure according to 14, 15, 16, 17, 18 or 19.

例示的実施形態21:集積回路構造は基板の上方にゲートスタックを含む。第1および第2導電性トレンチコンタクトはそれぞれ、ゲートスタックの第1および第2面にある。第1ハードマスクコンポーネントは、ゲートスタックの最上面上にあり、それと整合される。第2ハードマスクコンポーネントは、第1および第2導電性トレンチコンタクト上にあり、かつ整合される。第1および第2ハードマスクコンポーネントは互いに組成が異なる。第1ハードマスクコンポーネントは、潜在孔形成材料の中に有する遷移金属酸化物膜を含む。導電ビアは、第1ハードマスクコンポーネントの中、かつ、ゲートスタックの部分上にある開口内にある。 Exemplary Embodiment 21: The integrated circuit structure includes a gate stack above the substrate. The first and second conductive trench contacts are on the first and second surfaces of the gate stack, respectively. The first hardmask component is on the top surface of the gate stack and is aligned with it. The second hardmask component is on and aligned with the first and second conductive trench contacts. The first and second hardmask components differ from each other in composition. The first hard mask component includes a transition metal oxide film contained in the latent pore-forming material. The conductive vias are in the first hardmask component and in an opening on a portion of the gate stack.

例示的実施形態22:遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、および酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、例示的実施形態21に記載の集積回路構造。 Illustrative Embodiment 22: The transition metal oxide film comprises the transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. Integrated circuit structure.

例示的実施形態23:潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む、例示的実施形態21または22の集積回路構造。 Illustrative Embodiment 23: The integrated circuit structure of exemplary embodiment 21 or 22, wherein the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide.

例示的実施形態24:導電ビアの部分は、第2ハードマスクコンポーネントの部分上にある、例示的実施形態21、22または23に記載の集積回路構造。 Illustrative Embodiment 24: The integrated circuit structure according to exemplary embodiment 21, 22 or 23, wherein the portion of the conductive via is on a portion of the second hardmask component.

Claims (24)

膜をドライエッチングする方法であって、
潜在孔形成材料を中に有する遷移金属酸化物膜を形成する段階と、
前記遷移金属酸化物膜の前記潜在孔形成材料の表面部分を除去して、前記遷移金属酸化物膜の多孔性領域を形成する段階と、
前記遷移金属酸化物膜の前記多孔性領域を除去する段階と
を備える方法。
It is a method of dry etching the film.
At the stage of forming a transition metal oxide film having a latent pore-forming material inside,
A step of removing the surface portion of the latent pore-forming material of the transition metal oxide film to form a porous region of the transition metal oxide film.
A method comprising a step of removing the porous region of the transition metal oxide film.
前記潜在孔形成材料の前記表面部分を前記除去する段階は、第1エッチングプロセスにおいて実行され、前記遷移金属酸化物膜の前記多孔性領域の前記除去することは、第2の異なるエッチングプロセスにおいて実行される、請求項1に記載の方法。 The step of removing the surface portion of the latent pore-forming material is performed in the first etching process, and the removal of the porous region of the transition metal oxide film is performed in a second different etching process. The method according to claim 1. 前記潜在孔形成材料の前記表面部分を前記除去する段階、および、前記遷移金属酸化物膜の前記多孔性領域を前記除去することは、同一のエッチングプロセスにおいて実行される、請求項1に記載の方法。 The step of removing the surface portion of the latent pore-forming material and the removal of the porous region of the transition metal oxide film are carried out in the same etching process, according to claim 1. Method. 前記潜在孔形成材料の前記表面部分を前記除去する段階、および、前記遷移金属酸化物膜の前記多孔性領域を前記除去する段階は、1または複数のプラズマエッチングプロセスを使用して実行される、請求項1から3のいずれか一項に記載の方法。 The step of removing the surface portion of the latent pore-forming material and the step of removing the porous region of the transition metal oxide film are performed using one or more plasma etching processes. The method according to any one of claims 1 to 3. 前記潜在孔形成材料を中に有する前記遷移金属酸化物膜を形成する段階は、気相堆積プロセス中に共反応酸化物前駆体を含む、請求項1から4のいずれか一項に記載の方法。 The method according to any one of claims 1 to 4, wherein the step of forming the transition metal oxide film having the latent pore-forming material therein includes a co-reactive oxide precursor in the gas phase deposition process. .. 前記遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、請求項1から5のいずれか一項に記載の方法。 The transition metal oxide film according to any one of claims 1 to 5, wherein the transition metal oxide film contains a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. Method. 前記潜在孔形成材料は、酸化アルミニウム、酸化 ガリウム、酸化スズ、酸化コバルト、酸化ニッケル、および酸化ケイ素から成る群から選択される材料を含む、請求項6に記載の方法。 The method of claim 6, wherein the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide, gallium oxide, tin oxide, cobalt oxide, nickel oxide, and silicon oxide. 前記潜在孔形成材料は、前記遷移金属酸化物膜の総体積の10パーセントから25パーセントを有する、請求項1から7のいずれか一項に記載の方法。 The method according to any one of claims 1 to 7, wherein the latent pore-forming material has 10% to 25% of the total volume of the transition metal oxide film. 前記潜在孔形成材料は、前記遷移金属酸化物膜の中でランダムに分散される、請求項1から8のいずれか一項に記載の方法。 The method according to any one of claims 1 to 8, wherein the latent pore-forming material is randomly dispersed in the transition metal oxide film. 前記潜在孔形成材料は、前記遷移金属酸化物膜の中の1または複数の積層平面層として分散される、請求項1から9のいずれか一項に記載の方法。 The method according to any one of claims 1 to 9, wherein the latent pore-forming material is dispersed as one or a plurality of laminated plane layers in the transition metal oxide film. 前記潜在孔形成材料は、前記遷移金属酸化物膜の中の1または複数のコンフォーマル層として分散される、請求項1から10のいずれか一項に記載の方法。 The method according to any one of claims 1 to 10, wherein the latent pore-forming material is dispersed as one or a plurality of conformal layers in the transition metal oxide film. 集積回路構造であって、
基板の上方の層間誘電層(ILD層)における複数の導電線と、
前記複数の導電線の上、および、前記ILD層の最上面の上のハードマスク層であって、前記ハードマスク層は、前記複数の導電線の前記最上面の上にある、前記複数の導電線の前記最上面と整合された第1ハードマスクコンポーネントと、前記ILD層の前記最上面の領域の上にある、前記ILD層の前記最上面の領域と整合された第2ハードマスクコンポーネントとを含み、前記第1ハードマスクコンポーネントおよび第2ハードマスクコンポーネントは、互いに組成が異なり、前記第1ハードマスクコンポーネントは、潜在孔形成材料を中に有する遷移金属酸化物膜を含む、ハードマスク層と、
前記ハードマスク層における、前記複数の導電線のうち1つの部分上の開口の中にある導電ビアと
を備える集積回路構造。
It is an integrated circuit structure
Multiple conductive wires in the interlayer dielectric layer (ILD layer) above the substrate,
A hard mask layer on the plurality of conductive wires and on the uppermost surface of the ILD layer, wherein the hard mask layer is on the uppermost surfaces of the plurality of conductive wires. A first hardmask component aligned with the top surface of the line and a second hardmask component above the top surface region of the ILD layer and aligned with the top surface region of the ILD layer. The first hard mask component and the second hard mask component have different compositions from each other, and the first hard mask component includes a hard mask layer including a transition metal oxide film having a latent pore-forming material inside.
An integrated circuit structure including a conductive via in an opening on one portion of the plurality of conductive wires in the hard mask layer.
前記遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、および酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、請求項12に記載の集積回路構造。 The integrated circuit structure according to claim 12, wherein the transition metal oxide film comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. 前記潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む、請求項13に記載の集積回路構造。 13. The integrated circuit structure of claim 13, wherein the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide. 前記第1ハードマスクコンポーネントは、前記複数の導電線の前記最上面に制限される、請求項12から14のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 12 to 14, wherein the first hard mask component is limited to the uppermost surface of the plurality of conductive wires. 前記第1ハードマスクコンポーネントは、前記ILD層の前記最上面の部分に延在する、請求項12から15のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 12 to 15, wherein the first hard mask component extends to the uppermost portion of the ILD layer. 前記導電ビアの部分は、前記ハードマスク層の前記第2ハードマスクコンポーネントの部分上にある、請求項12から16のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 12 to 16, wherein the conductive via portion is located on the portion of the second hard mask component of the hard mask layer. 前記第1ハードマスクコンポーネントは、前記第2ハードマスクコンポーネントの最上面と実質的に同一平面である最上面を有する、請求項12から17のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 12 to 17, wherein the first hard mask component has an uppermost surface that is substantially the same plane as the uppermost surface of the second hard mask component. 前記ハードマスク層の上の第2ILD層を更に含み、前記導電ビアは更に前記第2ILD層の開口の中にある、請求項12から18のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 12 to 18, further comprising a second ILD layer above the hard mask layer, wherein the conductive via is further in an opening of the second ILD layer. 前記複数の導電線の1つは、下層導電ビア構造に結合され、前記下層導電ビア構造は、前記集積回路構造の下層メタライゼーション層に接続される、請求項12から19のいずれか一項に記載の集積回路構造。 13. The integrated circuit structure described. 集積回路構造であって、
基板の上のゲートスタックと、
前記ゲートスタックの第1面にある第1導電性トレンチコンタクト、および、第2面にある第2導電性トレンチコンタクトと、
前記ゲートスタックの最上面の上にある、前記ゲートスタックの前記最上面と整合された第1ハードマスクコンポーネントと、
第1導電性トレンチコンタクトおよび第2導電性トレンチコンタクトの上にあり、前記第1導電性トレンチコンタクトおよび前記第2導電性トレンチコンタクトと整合された第2ハードマスクコンポーネントであって、前記第1ハードマスクコンポーネントおよび前記第2ハードマスクコンポーネントは、互いに組成が異なり、前記第1ハードマスクコンポーネントは、潜在孔形成材料を中に有する遷移金属酸化物膜を含む、第2ハードマスクコンポーネントと、
前記第1ハードマスクコンポーネントにおける、前記ゲートスタックの部分上の開口にある導電ビアと
を備える集積回路構造。
It is an integrated circuit structure
With the gate stack on the board,
A first conductive trench contact on the first surface of the gate stack and a second conductive trench contact on the second surface.
A first hardmask component that is above the top surface of the gate stack and is aligned with the top surface of the gate stack.
A second hardmask component that is above the first conductive trench contact and the second conductive trench contact and is matched with the first conductive trench contact and the second conductive trench contact, the first hard. The mask component and the second hard mask component have different compositions from each other, and the first hard mask component includes a second hard mask component containing a transition metal oxide film having a latent pore-forming material inside.
An integrated circuit structure comprising a conductive via in an opening on a portion of the gate stack in the first hardmask component.
前記遷移金属酸化物膜は、酸化ハフニウム、酸化ジルコニウム、酸化チタン、酸化ニオブ、および酸化タンタルから成る群から選択される遷移金属酸化物材料を含む、請求項21に記載の集積回路構造。 21. The integrated circuit structure of claim 21, wherein the transition metal oxide film comprises a transition metal oxide material selected from the group consisting of hafnium oxide, zirconium oxide, titanium oxide, niobium oxide, and tantalum oxide. 前記潜在孔形成材料は、酸化アルミニウムおよび酸化ケイ素から成る群から選択される材料を含む、請求項22に記載の集積回路構造。 22. The integrated circuit structure of claim 22, wherein the latent pore-forming material comprises a material selected from the group consisting of aluminum oxide and silicon oxide. 前記導電ビアの部分は、前記第2ハードマスクコンポーネントの部分上にある、請求項21から23のいずれか一項に記載の集積回路構造。 The integrated circuit structure according to any one of claims 21 to 23, wherein the conductive via portion is located on the portion of the second hard mask component.
JP2020530527A 2018-03-26 2018-03-26 Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing Active JP7072064B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/024294 WO2019190453A1 (en) 2018-03-26 2018-03-26 Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication

Publications (2)

Publication Number Publication Date
JP2021524149A true JP2021524149A (en) 2021-09-09
JP7072064B2 JP7072064B2 (en) 2022-05-19

Family

ID=68058511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020530527A Active JP7072064B2 (en) 2018-03-26 2018-03-26 Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing

Country Status (3)

Country Link
US (1) US11217456B2 (en)
JP (1) JP7072064B2 (en)
WO (1) WO2019190453A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220134582A (en) * 2020-02-03 2022-10-05 도쿄엘렉트론가부시키가이샤 How to use an ultra-thin etch stop layer in selective atomic layer etching
KR20210137276A (en) 2020-05-07 2021-11-17 삼성전자주식회사 Semiconductor device
US11398388B2 (en) * 2020-09-08 2022-07-26 Applied Materials, Inc. Methods for selective dry etching gallium oxide
US20220102148A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gate spacing in integrated circuit structures

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123850A (en) * 2005-09-27 2007-05-17 Toshiba Corp Semiconductor device and method of manufacturing same
JP2010518644A (en) * 2007-02-14 2010-05-27 本田技研工業株式会社 Fabrication method of spatially dispersed nanostructures controlled in size by atomic layer deposition
JP2012033905A (en) * 2010-07-02 2012-02-16 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method of semiconductor device
JP2013516083A (en) * 2009-12-30 2013-05-09 インテル コーポレイション Self-aligned contact
WO2013080260A1 (en) * 2011-11-30 2013-06-06 パナソニック株式会社 Semiconductor device and display device
JP2018011057A (en) * 2016-07-11 2018-01-18 東京エレクトロン株式会社 Method and apparatus for selective film deposition using periodic processing

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016014A (en) 2008-06-30 2010-01-21 Seiko Epson Corp Method of patterning organic metal film, bonding method, junction structure and method of etching
EP2184798B1 (en) * 2008-11-10 2021-02-17 Samsung Electronics Co., Ltd. Anode active material, anode comprising the anode active material, lithium battery comprising the anode, and method of preparing the anode active material.
US9206523B2 (en) 2012-09-28 2015-12-08 Intel Corporation Nanomachined structures for porous electrochemical capacitors
CN105378897B (en) 2013-08-21 2019-11-05 英特尔公司 The method and structure of the conductive layer of close spacing is contacted with guidance via hole
WO2016100873A1 (en) * 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123850A (en) * 2005-09-27 2007-05-17 Toshiba Corp Semiconductor device and method of manufacturing same
JP2010518644A (en) * 2007-02-14 2010-05-27 本田技研工業株式会社 Fabrication method of spatially dispersed nanostructures controlled in size by atomic layer deposition
JP2013516083A (en) * 2009-12-30 2013-05-09 インテル コーポレイション Self-aligned contact
JP2012033905A (en) * 2010-07-02 2012-02-16 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method of semiconductor device
WO2013080260A1 (en) * 2011-11-30 2013-06-06 パナソニック株式会社 Semiconductor device and display device
JP2018011057A (en) * 2016-07-11 2018-01-18 東京エレクトロン株式会社 Method and apparatus for selective film deposition using periodic processing

Also Published As

Publication number Publication date
US11217456B2 (en) 2022-01-04
US20200395223A1 (en) 2020-12-17
WO2019190453A1 (en) 2019-10-03
JP7072064B2 (en) 2022-05-19

Similar Documents

Publication Publication Date Title
TWI733669B (en) Bottom-up fill (buf) of metal features for semiconductor structures
US11276691B2 (en) Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths
JP7072064B2 (en) Selective etching and controlled atomic layer etching of transition metal oxide films for device manufacturing
TW202015236A (en) Self-aligned gate endcap (sage) architectures with gate-all-around devices
US10886175B2 (en) Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom
TWI567940B (en) Cmos-compatible polycide fuse structure and method of fabricating same
US10930729B2 (en) Fin-based thin film resistor
TW202101722A (en) Self-aligned gate endcap (sage) architecture having local interconnects
US11749733B2 (en) FIN shaping using templates and integrated circuit structures resulting therefrom
JP2020501334A (en) Semiconductor device with fin end stress induced features
CN111564428A (en) Self-aligned local interconnect
EP4109515A1 (en) Gate-all-around integrated circuit structures having uniform threshold voltages and tight gate endcap tolerances
US10784378B2 (en) Ultra-scaled fin pitch having dual gate dielectrics
US20210408258A1 (en) Integrated circuit structures including a titanium silicide material
US11063151B2 (en) Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20200219990A1 (en) Self-aligned gate endcap (sage) architectures with gate-all-around devices above insulator substrates
CN112542443A (en) Self-aligned patterning with colored barriers and structures formed therefrom
TWI833887B (en) Self-aligned gate endcap (sage) architecture having gate contacts
US20230197826A1 (en) Self-aligned gate endcap (sage) architectures with improved cap
TW202301479A (en) Fin to fin trench contact through a metal gate cut
TW202101768A (en) Self-aligned gate endcap (sage) architecture having gate contacts

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220509

R150 Certificate of patent or registration of utility model

Ref document number: 7072064

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150