JP2021519522A - In-line chamber metellologie - Google Patents

In-line chamber metellologie Download PDF

Info

Publication number
JP2021519522A
JP2021519522A JP2020553656A JP2020553656A JP2021519522A JP 2021519522 A JP2021519522 A JP 2021519522A JP 2020553656 A JP2020553656 A JP 2020553656A JP 2020553656 A JP2020553656 A JP 2020553656A JP 2021519522 A JP2021519522 A JP 2021519522A
Authority
JP
Japan
Prior art keywords
substrate
processing chamber
pulse
processing
wavelength
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020553656A
Other languages
Japanese (ja)
Other versions
JP7097458B2 (en
Inventor
アヴィシェク ゴーシュ,
アヴィシェク ゴーシュ,
プレルナ ソンサリア ゴラディア,
プレルナ ソンサリア ゴラディア,
ロバート ジャン ヴィッサー,
ロバート ジャン ヴィッサー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021519522A publication Critical patent/JP2021519522A/en
Priority to JP2022102447A priority Critical patent/JP2022160395A/en
Application granted granted Critical
Publication of JP7097458B2 publication Critical patent/JP7097458B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Abstract

本開示の実施形態は、真空処理を施される基板の検査に関する。一実施形態では、処理チャンバは、電磁放射放出器が処理チャンバ内の基板を照射することを可能とするための第1のビューポートと、検出器が基板から散乱した電磁放射を検出することを可能とするための第2のビューポートと、電磁放射放出器と、検出器と、を含む。【選択図】図4An embodiment of the present disclosure relates to an inspection of a substrate to be evacuated. In one embodiment, the processing chamber has a first view port for allowing the electromagnetic radiation emitter to irradiate the substrate within the processing chamber, and the detector detecting electromagnetic radiation scattered from the substrate. It includes a second view port for enabling, an electromagnetic radiation emitter, and a detector. [Selection diagram] Fig. 4

Description

本開示の実施形態は、概して、減圧処理システムおよび処理技術に関する。より詳細には、本開示の実施形態は、減圧処理システムにおける基板の直接的なインライン監視のための技術に関する。 The embodiments of the present disclosure generally relate to decompression treatment systems and treatment techniques. More specifically, embodiments of the present disclosure relate to techniques for direct in-line monitoring of substrates in decompression processing systems.

半導体基板は、集積回路用のデバイス及び微小デバイスの製造を含む、広範な用途のために処理される。基板を処理するための1つの技術は、基板を減圧下でガスに曝露することと、基板の表面上に誘電材料または導電性金属などの材料を堆積させることを含む。例えば、エピタキシは、基板(例えば、シリコンウエハ)の表面上に、シリコンまたはゲルマニウムから成ることが多い高純度の薄膜を成長させるために使用されうる堆積プロセスである。材料は、処理流体(例えば、前駆体ガスとキャリアガスとの混合物)を、支持体上に配置された基板の表面と平行に、かつ当該表面に亘って流し、処理流体を(例えば、処理流体を高温に加熱することにより)分解し、基板の表面上へと処理流体からの材料を堆積させることによって、クロスフローチャンバ内で堆積されうる。 Semiconductor substrates are processed for a wide range of applications, including the manufacture of devices for integrated circuits and microdevices. One technique for processing a substrate involves exposing the substrate to a gas under reduced pressure and depositing a material such as a dielectric material or a conductive metal on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow high-purity thin films, often made of silicon or germanium, on the surface of a substrate (eg, a silicon wafer). The material allows a processing fluid (eg, a mixture of precursor gas and carrier gas) to flow parallel to and over the surface of the substrate placed on the support and the processing fluid (eg, treatment fluid). Can be deposited in a cross-flow chamber by decomposing (by heating to a high temperature) and depositing material from the processing fluid onto the surface of the substrate.

基板の処理中の様々な時間に、堆積された膜の品質が検査および/または測定されうる。基板を検査および/または測定するための以前から知られている技術は、処理チャンバから基板を取り出し、基板を検査および/または測定するための計器内に、基板を配置することを含む。処理チャンバから基板を取り出すと、結果的に、ガスが処理チャンバに入って、場合によっては、(基板または他の基板の)チャンバ内での処理が続けられる前に、真空ポンプによって処理チャンバを真空状態にする必要がある。 The quality of the deposited membrane can be inspected and / or measured at various times during the processing of the substrate. A previously known technique for inspecting and / or measuring a substrate involves removing the substrate from a processing chamber and placing the substrate in an instrument for inspecting and / or measuring the substrate. Removing the substrate from the processing chamber results in vacuuming the processing chamber with a vacuum pump before gas enters the processing chamber and, in some cases, continues processing in the chamber (of the substrate or other substrate). Need to be in a state.

処理チャンバのスループット、および製造される基板の品質を改善するために、処理システムの高真空環境から基板を取り除くことなく、処理システム内で処理が施される基板を検査および/または測定する手段が必要とされている。 In order to improve the throughput of the processing chamber and the quality of the substrate to be manufactured, there is a means to inspect and / or measure the substrate to be processed in the processing system without removing the substrate from the high vacuum environment of the processing system. is needed.

基板を処理するための装置が提供される。装置は、概して、第1のビューポートおよび第2のビューポートを有する処理チャンバ本体と、処理チャンバ本体と接続された、処理流体を供給するための供給源と、処理チャンバ本体と接続された真空ポンプと、処理チャンバ本体内の基板支持体と、第1のビューポートを通して基板支持体上の基板を照射するよう動作可能な電磁放射放出器と、第2のビューポートを通して、基板から散乱した電磁放射を検出するよう動作可能な検出器と、を備える。 A device for processing the substrate is provided. The device generally includes a processing chamber body having a first viewport and a second viewport, a source connected to the processing chamber body for supplying the processing fluid, and a vacuum connected to the processing chamber body. Electromagnetic radiation scattered from the substrate through the pump, the substrate support in the processing chamber body, the electromagnetic radiation emitter capable of irradiating the substrate on the substrate support through the first viewport, and the second viewport. It comprises a detector that can operate to detect radiation.

基板を処理するためのシステムが提供される。本システムは、概して、
基板の通過を可能にするよう構成された第1のスリット弁開口、及び、基板の通過を可能とするよう構成された第2のスリット弁開口を有する処理チャンバと、
処理チャンバの第1のスリット弁開口を開閉するよう動作可能な第1のスリット弁であって、閉弁時に第1の気密シールを形成するよう動作可能な第1のスリット弁と、
処理チャンバの第2のスリット弁開口を開閉するよう動作可能な第2のスリット弁であって、閉弁時に第2の気密シールを形成するよう動作可能な第2のスリット弁と、
処理チャンバの第2のスリット弁開口と位置合わせされた移送スリット弁開口、ロードロックポート、及び、基板支持体を有するロードロックと、
収容されたプローブを有する機械的アーム部と
を備え、
機械的アーム部は、ロードロックポートを介してロードロックの内部にアクセスするよう動作可能であり、機械的アーム部は、基板支持体上の基板に接近するように、収容されたプローブ内の計器を動かすよう動作可能であり、収容されたプローブは、基板を照射するために電磁放射を放出するよう動作可能な放出器を有し、収容されたプローブは、基板から散乱した電磁放射を検出するよう動作可能な検出器を有する。
A system for processing the substrate is provided. The system is generally
A processing chamber having a first slit valve opening configured to allow passage of the substrate and a second slit valve opening configured to allow passage of the substrate.
A first slit valve that can operate to open and close the first slit valve opening of the processing chamber, and a first slit valve that can operate to form a first airtight seal when the valve is closed.
A second slit valve that can operate to open and close the second slit valve opening of the processing chamber, and a second slit valve that can operate to form a second airtight seal when the valve is closed.
A transfer slit valve opening aligned with a second slit valve opening in the processing chamber, a load lock port, and a load lock with a substrate support.
With a mechanical arm with a housed probe
The mechanical arm can be operated to access the inside of the load lock through the load lock port, and the mechanical arm is an instrument in the contained probe so as to approach the substrate on the substrate support. The contained probe has an ejector that can operate to emit electromagnetic radiation to irradiate the substrate, and the contained probe detects electromagnetic radiation scattered from the substrate. It has a detector that can operate like this.

本開示の態様の上記で列挙された特徴が詳細に理解されうるように、上記で簡単に要約された態様のより具体的な説明が、実施形態を参照することによって行うことが出来、実施形態の幾つかが添付の図面に示される。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面は、本開示の典型的な実施形態のみを例示しており、従って、本開示の範囲を限定すると見なすべきではないことに留意されたい。 A more specific description of the embodiments briefly summarized above can be made by reference to embodiments so that the features listed above in aspects of the present disclosure can be understood in detail. Some of these are shown in the attached drawings. However, as the present disclosure may tolerate other equally valid embodiments, the accompanying drawings illustrate only typical embodiments of the present disclosure and should therefore be considered limiting the scope of the present disclosure. Note that it is not.

本開示の態様に係る減圧処理チャンバの断面図を示す。A cross-sectional view of the decompression chamber according to the aspect of the present disclosure is shown. 本開示の態様に係る減圧処理チャンバの断面図を示す。A cross-sectional view of the decompression chamber according to the aspect of the present disclosure is shown. 本開示の或る特定の態様に係る例示の処理システムを示す。An exemplary processing system according to a particular aspect of the present disclosure is shown. 本開示の態様に係る例示のロードロックの概略的な等角図を示す。A schematic isometric view of an exemplary load lock according to aspects of the present disclosure is shown. 本開示の態様に係る処理チャンバの概略的な等角図を示す。A schematic isometric view of the processing chamber according to the aspect of the present disclosure is shown. 本開示の態様に係る原子層堆積の監視を示す一式のグラフ500である。FIG. 500 is a set of graphs 500 showing monitoring of atomic layer deposition according to aspects of the present disclosure. 本開示の態様に係る、処理中に基板を測定するよう構成された例示の和周波発生(SFG:sum frequency generation)分光監視システムの概略図である。FIG. 6 is a schematic diagram of an exemplary sum frequency generation (SFG) spectroscopic monitoring system configured to measure a substrate during processing according to an aspect of the present disclosure. 本開示の態様に係る例示の基板ハンドリングブレードの概略図である。It is the schematic of the example substrate handling blade which concerns on aspect of this disclosure.

理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態で開示される要素は、具体的な記述がなくとも、他の実施形態で有益に利用できることが企図されている。 For ease of understanding, the same reference numbers were used to point to the same elements that are common to multiple figures, where possible. The elements disclosed in one embodiment are intended to be usefully utilized in other embodiments without specific description.

処理システムの高真空環境から基板を取り除くことなく、処理システム内で処理が施される基板の層の厚さおよび層の均一性を測定し、および/または、基板を検査して欠陥を検出し、および/または、基板の層および層間の界面の化学的な特徴付けを行うための方法および装置が提供される。本方法および本装置は、処理チャンバ内または処理チャンバに接続されたロードロックチャンバ内の基板を測定および/または検査することによって、処理チャンバの真空を破らずに基板の測定および/または検査を可能にする。 Measure the layer thickness and layer uniformity of the substrate being treated in the processing system and / or inspect the substrate to detect defects without removing the substrate from the high vacuum environment of the processing system. , And / or methods and equipment for chemically characterizing the layers of the substrate and the interfaces between the layers are provided. The method and the device can measure and / or inspect the substrate in the processing chamber or in the load lock chamber connected to the processing chamber without breaking the vacuum in the processing chamber. To.

本明細書に開示される一実施形態は、処理システムに接続されたロードロックチャンバである。ロードロックチャンバは、基板上の粒子の属性または当該粒子の存在を検査および/または測定するために使用されうる1つ以上の計器を有する収容されたプローブを備えた、機械的アーム部を有する。基板は、処理チャンバから取り出してロードロック内に移動することが可能であり、そこで、1つ以上の計器が基板を検査および/または測定する。ロードロック内の圧力は、処理システムまたは処理チャンバの圧力と同様のレベルに維持され、処理チャンバの真空を破らずに基板の測定および検査が可能となる。 One embodiment disclosed herein is a load lock chamber connected to a processing system. The load lock chamber has a mechanical arm with a contained probe having one or more instruments that can be used to inspect and / or measure the attributes of particles on the substrate or the presence of such particles. The substrate can be removed from the processing chamber and moved into the load lock, where one or more instruments inspect and / or measure the substrate. The pressure in the load lock is maintained at a level similar to the pressure in the processing system or processing chamber, allowing the substrate to be measured and inspected without breaking the processing chamber vacuum.

他の実施形態では、複数のビューポートが処理チャンバ上に配置される。レーザ、X線放出器、および/または、電磁放射の他の放出器が、処理チャンバ内の第1のビューポートを通じて基板を照射することが可能であり、基板から散乱した放射線は、第2のビューポートを通じて処理チャンバから出て、処理チャンバの外部の計器によって検出、収集、および/または測定されうる。基板は、当該基板が処理チャンバ内にある間に、処理チャンバの真空を破ることなく検査および/または測定されうる。 In another embodiment, a plurality of viewports are arranged on the processing chamber. Lasers, X-ray emitters, and / or other emitters of electromagnetic radiation can irradiate the substrate through a first viewport in the processing chamber, and radiation scattered from the substrate is a second. It can exit the processing chamber through the viewport and be detected, collected, and / or measured by instruments outside the processing chamber. The substrate can be inspected and / or measured without breaking the vacuum in the processing chamber while the substrate is in the processing chamber.

本明細書では、基板から「散乱した」放射線とは、基板から反射され、基板から屈折させられ、照明の結果として基板から発せられ、および/または基板を透過する放射線を指している。 As used herein, radiation "scattered" from a substrate refers to radiation that is reflected from the substrate, refracted from the substrate, emitted from the substrate as a result of illumination, and / or transmitted through the substrate.

半導体基板は、集積回路用のデバイス及び微小デバイスの製造を含む、広範な用途のために処理される。上述のように、基板を処理するための1つの技術は、基板を減圧下でガスに曝露することと、基板の表面上に誘電材料または導電性金属などの材料を堆積させることを含む。例えば、エピタキシは、基板(例えば、シリコンウエハ)の表面上に、シリコンまたは二酸化ケイ素から成ることが多い高純度の薄膜を成長させるために使用されうる堆積プロセスである。材料は、処理流体(例えば、前駆体ガスとキャリアガスとの混合物)を、支持体上に配置された基板の表面と平行に、かつ当該表面に亘って流し、処理流体を(例えば、処理流体を高温に加熱することにより)分解し、基板の表面上へと処理流体からの材料を堆積させることによって、クロスフローチャンバ内で堆積されうる。上記のエピタキシ技術に従って処理された基板が、以下により詳細に記載されるように、処理チャンバ内またはロードロック内で測定および/または検査されうる。 Semiconductor substrates are processed for a wide range of applications, including the manufacture of devices for integrated circuits and microdevices. As mentioned above, one technique for treating a substrate involves exposing the substrate to a gas under reduced pressure and depositing a material such as a dielectric material or a conductive metal on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow high-purity thin films, often made of silicon or silicon dioxide, on the surface of a substrate (eg, a silicon wafer). The material allows a processing fluid (eg, a mixture of precursor gas and carrier gas) to flow parallel to and over the surface of the substrate placed on the support and the processing fluid (eg, treatment fluid). Can be deposited in a cross-flow chamber by decomposing (by heating to a high temperature) and depositing material from the processing fluid onto the surface of the substrate. Substrates processed according to the above epitaxy techniques can be measured and / or inspected in the processing chamber or load lock as described in more detail below.

開示される実施形態は、原子層堆積(ALD:atomic layer deposition)、化学基層堆積(CVD:chemical vapor deposition)、エッチング、プラズマ化学気相堆積(PECVD:plasma−enhanced chemical vapor deposition)、物理的気相堆積(PVD:physical vapor deposition)、誘電体堆積、ポリマー層堆積、および選択除去プロセス(SRP:selective removal process)を含むがこれらに限定されない基板を処理するための技術と共に使用されうる。 The disclosed embodiments include atomic layer deposition (ALD), chemical vapor deposition (CVD), etching, plasma chemical vapor deposition (PECVD), and plasma-enhanced chemical vapor deposition. It can be used with techniques for processing substrates including, but not limited to, phase deposition (PVD), dielectric deposition, polymer layer deposition, and selective removal processes (SRP).

図1Aは、本開示の態様に係る、構成要素が処理のためのポジションに置かれた例示的な処理チャンバ100の概略的な断面図を示している。図示の処理チャンバは、エピタキシャルチャンバである。処理チャンバ100は、1つ以上の基板を処理する(例えば、基板上にエピタキシャル堆積を行う)ために使用され、ここでは、基板108の上面上への材料の堆積が含まれる。処理チャンバ100は、加熱のための放射加熱ランプ102のアレイと、他の構成要素のうち、処理チャンバ100内に配置された基板支持体106(例えばサセプタ)の背面104と、を含む。いくつかの実施形態では、放射加熱ランプのアレイが、下部ドームの下方に示されるアレイに加えて、上部ドーム128の上方に配置される。基板支持体106は、図示のように中央開口を有さないディスク状の基板支持体106であってよく、またはリング状の基板支持体であってよい。 FIG. 1A shows a schematic cross-sectional view of an exemplary processing chamber 100 with components placed in positions for processing according to aspects of the present disclosure. The processing chamber shown is an epitaxial chamber. The processing chamber 100 is used to process one or more substrates (eg, perform epitaxial deposition on the substrate), which includes depositing material on the top surface of the substrate 108. The processing chamber 100 includes an array of radiant heating lamps 102 for heating and, among other components, a back surface 104 of a substrate support 106 (eg, a susceptor) disposed within the processing chamber 100. In some embodiments, an array of radiant heating lamps is placed above the upper dome 128 in addition to the array shown below the lower dome. The substrate support 106 may be a disk-shaped substrate support 106 having no central opening as shown in the figure, or may be a ring-shaped substrate support.

図1Bは、図1Aの線1B−1Bに沿って切り取られた処理チャンバ100の概略的な側面図を示している。ライナアセンブリ163および環状シールド167が、分かり易くするために省略されている。基板支持体は、図1Aに示すようにディスク状の基板支持体106であってよく、または、図1Bに示すように、ランプ102の熱放射への基板の曝露を容易にするために、基板の縁部から基板を支持するリング状の基板支持体107であってよい。 FIG. 1B shows a schematic side view of the processing chamber 100 cut along line 1B-1B of FIG. 1A. Liner assembly 163 and annular shield 167 have been omitted for clarity. The substrate support may be a disk-shaped substrate support 106 as shown in FIG. 1A, or as shown in FIG. 1B to facilitate exposure of the substrate to the thermal radiation of the lamp 102. It may be a ring-shaped substrate support 107 that supports the substrate from the edge of the substrate.

図1Aおよび図1Bを参照すると、基板支持体106又は107は、上方ドーム128と下方ドーム114との間の処理チャンバ100内に位置している。上側ドーム128と、下側ドーム114と、上側ドーム128と下側ドーム114の間に配置されるベースリング136とが、処理チャンバ100の内部領域を画定する。概して、上部ドーム128および下部ドーム114の中央部分は、石英などの光学的に透過性の材料から形成される。処理チャンバ100の内部領域は、大まかに、処理領域156とパージ領域158とに分けられる。 With reference to FIGS. 1A and 1B, the substrate support 106 or 107 is located within the processing chamber 100 between the upper dome 128 and the lower dome 114. An upper dome 128, a lower dome 114, and a base ring 136 located between the upper dome 128 and the lower dome 114 define an internal region of the processing chamber 100. Generally, the central portion of the upper dome 128 and the lower dome 114 is formed from an optically transparent material such as quartz. The internal region of the processing chamber 100 is roughly divided into a processing region 156 and a purge region 158.

基板108(縮尺どおりではない)は、ローディングポート103を通じて処理チャンバ100に運び込まれ、基板支持106上に配置されうる。ローディングポート103は、図1Aでは基板支持体106に見えなくなっているが、図1Bでは見ることができる。 The substrate 108 (not to scale) can be carried into the processing chamber 100 through the loading port 103 and placed on the substrate support 106. The loading port 103 is not visible on the substrate support 106 in FIG. 1A, but can be seen in FIG. 1B.

一実施形態によれば、基板支持体106は、図1Aに示すように、基板支持体106を直接的に支持しうる中央シャフト132によって支持されている。他の実施形態によれば、中央シャフト132は、図1Bに示すように、アーム部134によってディスク状の基板支持体107を支持する。 According to one embodiment, the substrate support 106 is supported by a central shaft 132 that can directly support the substrate support 106, as shown in FIG. 1A. According to another embodiment, the central shaft 132 supports the disk-shaped substrate support 107 by the arm portion 134, as shown in FIG. 1B.

一実施形態によれば、処理チャンバ100は、ランプヘッド145も備え、このランプヘッド145は、ランプ102のアレイを支持し、処理中および/または処理後にランプ102を冷却する。各ランプ102は、各ランプ102に電気を供給する電気分電盤(図示せず)に結合されている。 According to one embodiment, the processing chamber 100 also includes a lamp head 145, which supports an array of lamps 102 and cools the lamps 102 during and / or after processing. Each lamp 102 is coupled to an electric distribution board (not shown) that supplies electricity to each lamp 102.

一実施形態によれば、処理チャンバ100は、処理チャンバ100内および基板108の表面の温度を測定する1つ以上の光高温計118も含む。コントローラ(図示せず)が、配電盤からランプ102への配電を制御する。コントローラはまた、処理チャンバ100内の冷却流体の流れを制御する。コントローラは、配電盤からランプ102への電圧を変化させることによって、および冷却流体の流れを変化させることによって、処理チャンバ内の温度を制御する。 According to one embodiment, the processing chamber 100 also includes one or more photopyrometers 118 that measure the temperature inside the processing chamber 100 and on the surface of the substrate 108. A controller (not shown) controls the distribution of power from the switchboard to the lamp 102. The controller also controls the flow of cooling fluid within the processing chamber 100. The controller controls the temperature in the processing chamber by changing the voltage from the switchboard to the lamp 102 and by changing the flow of the cooling fluid.

リフレクタ122が上部ドーム128の上方に配置されており、基板108および上部ドーム128から発せられた赤外線を反射して処理チャンバ100内に戻す。リフレクタ122は、クランプリング130を用いて上部ドーム128に固定されている。リフレクタ122は、冷却流体源(図示せず)に接続された1つ以上の接続ポート126を有する。接続ポート126はリフレクタ内の1つ以上の通路(図示せず)に接続しており、冷却流体(例えば、水)がリフレクタ122内を循環することを可能とする。 The reflector 122 is arranged above the upper dome 128 and reflects the infrared rays emitted from the substrate 108 and the upper dome 128 and returns them into the processing chamber 100. The reflector 122 is fixed to the upper dome 128 by using a clamp ring 130. The reflector 122 has one or more connection ports 126 connected to a cooling fluid source (not shown). The connection port 126 is connected to one or more passages (not shown) in the reflector, allowing a cooling fluid (eg, water) to circulate in the reflector 122.

一実施形態によれば、処理チャンバ100は、処理流体供給源172に接続された処理流体入口174を含む。処理流体入口174は、処理流体(例えば、トリメチルアルミニウム(TMA)またはシラン(SiH))を、おおまかに基板108の表面全体に導くよう構成される。処理チャンバはまた、処理流体入口174の反対側の処理チャンバ100の側面に位置する処理流体出口178を含む。処理流体出口178は、真空ポンプ180に連結されている。 According to one embodiment, the processing chamber 100 includes a processing fluid inlet 174 connected to a processing fluid supply source 172. The processing fluid inlet 174 is configured to guide the processing fluid (eg, trimethylaluminum (TMA) or silane (SiH 4 )) roughly over the entire surface of the substrate 108. The processing chamber also includes a processing fluid outlet 178 located on the side surface of the processing chamber 100 opposite the processing fluid inlet 174. The processing fluid outlet 178 is connected to the vacuum pump 180.

一実施形態によれば、処理チャンバ100は、ベースリング136の側壁に形成されたパージガス入口164を含む。パージガス源162が、パージガス入口164にパージガスを供給する。処理チャンバ100が環状シールド167を備える場合に、環状シールド167は、処理流体入口174とパージガス入口164との間に配置される。例示の目的で、処理流体入口174、パージガス入口164、および処理流体出口178が示されており、基板108上への材料の均一な堆積を促進するために、その位置、サイズ、流体入口および流体出口の数等が調節されうる。 According to one embodiment, the processing chamber 100 includes a purge gas inlet 164 formed on the side wall of the base ring 136. The purge gas source 162 supplies the purge gas to the purge gas inlet 164. When the processing chamber 100 includes an annular shield 167, the annular shield 167 is arranged between the processing fluid inlet 174 and the purge gas inlet 164. For illustrative purposes, a treatment fluid inlet 174, a purge gas inlet 164, and a treatment fluid outlet 178 are shown, their location, size, fluid inlet and fluid to facilitate uniform deposition of material on substrate 108. The number of exits etc. can be adjusted.

基板支持体は、処理チャンバ100内での基板の処理を可能にするポジションで示されている。中央シャフト132、基板支持体106または107、及びアーム部134は、アクチュエータ(図示せず)によって下降させられうる。複数のリフトピン105が、基板支持体106又は107を貫通している。処理ポジションより下のローディングポジションまで基板支持体を下げることにより、リフトピン105が下部ドーム114に接触し、基板支持体106の穴を通過して、基板支持体106から基板108を持ち上げることが可能となる。次いで、ロボット(図1には図示せず、図2のロボット208を参照)が処理チャンバ100に入って、ローディングポート103を通じて基板108と係合して取り出す。基板108を取り出したロボットまたは他のロボットが、ローディングポート103を通って処理チャンバに入って、未処理の基板を基板支持体106上に配置する。次いで、基板支持体106が、アクチュエータによって処理ポジションまで上げられ、未処理の基板が処理のためのポジションに配置される。 The substrate support is shown in a position that allows processing of the substrate within the processing chamber 100. The central shaft 132, the substrate support 106 or 107, and the arm 134 can be lowered by an actuator (not shown). A plurality of lift pins 105 penetrate the substrate support 106 or 107. By lowering the board support to a loading position below the processing position, the lift pin 105 can come into contact with the lower dome 114, pass through the hole in the board support 106, and lift the board 108 from the board support 106. Become. A robot (not shown in FIG. 1, see robot 208 in FIG. 2) then enters the processing chamber 100 and engages and ejects the substrate 108 through the loading port 103. The robot or other robot from which the substrate 108 has been removed enters the processing chamber through the loading port 103 and places the unprocessed substrate on the substrate support 106. The substrate support 106 is then raised to the processing position by the actuator and the unprocessed substrate is placed in the processing position.

一実施形態によれば、処理チャンバ100内での基板108の処理は、ローディングポート103を通して基板を挿入することと、基板108を基板支持体106または107上に配置することと、基板支持体106または107および基板108を処理ポジションまで上げることと、ランプ102を用いて基板108を加熱することと、基板108全体に処理流体173を流すことと、及び、基板108を回転させることを含む。場合によっては、基板は処理中に上げまたは下げられうる。 According to one embodiment, the processing of the substrate 108 in the processing chamber 100 involves inserting the substrate through the loading port 103, arranging the substrate 108 on the substrate support 106 or 107, and processing the substrate support 106. Alternatively, it includes raising the 107 and the substrate 108 to the processing position, heating the substrate 108 using the lamp 102, flowing the processing fluid 173 through the entire substrate 108, and rotating the substrate 108. In some cases, the substrate can be raised or lowered during processing.

本開示のいくつかの態様によれば、処理チャンバ100内のエピタキシャル処理は、処理チャンバ100内の圧力が大気圧よりも低いように制御することを含む。一実施形態によれば、処理チャンバ100内の圧力は、約10torr〜80torrに下げられる。他の実施形態によれば、処理チャンバ100内の圧力は、約80torr〜300torrに低減される。一実施形態によれば、真空ポンプ180が、処理前及び/又は処理中に処理チャンバ100の圧力を下げるために作動される。 According to some aspects of the present disclosure, the epitaxial treatment in the processing chamber 100 includes controlling the pressure in the processing chamber 100 to be lower than atmospheric pressure. According to one embodiment, the pressure in the processing chamber 100 is reduced to about 10 torr to 80 torr. According to another embodiment, the pressure in the processing chamber 100 is reduced to about 80 torr to 300 torr. According to one embodiment, the vacuum pump 180 is operated to reduce the pressure in the processing chamber 100 before and / or during the processing.

処理流体173は、1つ以上の処理流体入口174から処理チャンバ100内に導入され、1つ以上の処理流体出口178を通って処理チャンバ100から出る。処理流体173は、例えば、熱分解または他の反応によって、基板108上に1つ以上の材料を堆積させる。基板108上に材料を堆積させた後で、反応から流出物(すなわち、排ガス)166、175が形成される。流出物166、175は、処理流体出口178を通って処理チャンバ100を出る。 The processing fluid 173 is introduced into the processing chamber 100 from one or more processing fluid inlets 174 and exits the processing chamber 100 through one or more processing fluid outlets 178. The processing fluid 173 deposits one or more materials on the substrate 108, for example by thermal decomposition or other reaction. After depositing the material on the substrate 108, effluent (ie, exhaust gas) 166,175 is formed from the reaction. The effluent 166 and 175 exit the processing chamber 100 through the processing fluid outlet 178.

基板108の処理が完了すると、処理チャンバ100は、パージガス入口164を通してパージガス165(例えば、水素または窒素)が導入されることによって、処理流体173および流出物166、175がパージされる。パージガス165は、パージガス入口164の代わりに、またはパージガス入口164に加えて、処理流体入口174を通して導入されうる。パージガス165は、処理流体出口178を通って処理チャンバを出る。 When the processing of the substrate 108 is completed, the processing chamber 100 is purged of the processing fluid 173 and the effluent 166 and 175 by introducing the purge gas 165 (for example, hydrogen or nitrogen) through the purge gas inlet 164. The purge gas 165 can be introduced in place of the purge gas inlet 164 or in addition to the purge gas inlet 164 through the processing fluid inlet 174. The purge gas 165 exits the processing chamber through the processing fluid outlet 178.

例示的なインラインチャンバメトロロジー
本開示の実施形態では、基板は、処理チャンバの真空を破ることなく、処理チャンバ内で処理され、検査および/または測定されうる。一実施形態では、ロードロックチャンバが、弁を介して処理チャンバと接続される。ロードロックは、基板を検査および/または測定するために使用されうる1つ以上の計器を有する収容されたプローブを備えた、機械的アーム部を有する。基板は、処理チャンバから取り出され、弁を通過してロードロックの中へと入れることが可能であり、そこで、1つ以上の計器が基板を検査および/または測定する。ロードロック内の圧力は、処理チャンバの圧力と同様のレベルに維持され、または当該レベルまで下げられており、処理チャンバの真空を破ることなく、基板の測定および検査が可能となる。その後、基板は、追加の処理のために処理チャンバに戻されてよく、追加の処理のパラメータ(例えば、温度またはガス流量)は、ロードロックで行われた測定および検査に基づいて決定される。
Illustrative In-line Chamber Metrology In embodiments of the present disclosure, the substrate can be processed, inspected and / or measured within the processing chamber without breaking the vacuum of the processing chamber. In one embodiment, the load lock chamber is connected to the processing chamber via a valve. The load lock has a mechanical arm with a contained probe having one or more instruments that can be used to inspect and / or measure the substrate. The substrate can be removed from the processing chamber and passed through a valve into the load lock, where one or more instruments inspect and / or measure the substrate. The pressure in the load lock is maintained at or reduced to the same level as the pressure in the processing chamber, allowing the substrate to be measured and inspected without breaking the vacuum in the processing chamber. The substrate may then be returned to the processing chamber for additional processing, and additional processing parameters (eg, temperature or gas flow rate) are determined based on measurements and inspections performed at the load lock.

本開示の態様に係るロードロックと共に利用されうる測定技術および検査技術には、共焦点蛍光顕微鏡法および画像化、赤外線、紫外線、および可視線の反射(エリプソメトリを含む)、ラマン散乱、先端増強ラマン散乱、表面プラズモンポラリトン増強ラマン散乱、第2高調波、和周波分光法、原子間力顕微鏡法(AFM:atomic force microscopy)、走査トンネル顕微鏡法(STM:scanning tunneling microscopy)、テラヘルツ波走査またはミリ波走査、および、X線蛍光(XRF:x−ray fluorescence)が含まれる。 Measurement and inspection techniques that can be used with the load lock according to aspects of the present disclosure include confocal fluorescence microscopy and imaging, infrared, ultraviolet, and visible line reflections (including ellipsometry), Raman scattering, and tip enhancement. Raman scattering, surface plasmon polaritone enhanced Raman scattering, second harmonic, sum frequency spectroscopy, atomic force microscopy (AFM), scanning tunneling microscopy (STM), terahertz wave scanning or millimeters. Wave scanning and X-ray fluorescence (XRF) are included.

他の実施形態では、複数のビューポートがプロセスチャンバ上に配置される。レーザ、X線放出器、および/または、電磁放射の他の放出器が、第1のビューポートを通って処理チャンバ内の基板上に照射することが可能であり、基板から散乱した(例えば、反射されまたは屈折させられた)放射線は、第2のビューポートを通って処理チャンバから出て、処理チャンバの外部の計器によって検出、収集、および/または測定されうる。基板は、基板が処理チャンバ内にある間に、処理チャンバの真空を破ることなく検査および/または測定されうる。 In another embodiment, a plurality of viewports are arranged on the process chamber. Lasers, X-ray emitters, and / or other emitters of electromagnetic radiation can illuminate the substrate in the processing chamber through the first view port and scatter from the substrate (eg,). Radiation (reflected or refracted) can exit the processing chamber through a second view port and be detected, collected, and / or measured by an instrument outside the processing chamber. The substrate can be inspected and / or measured without breaking the vacuum in the processing chamber while the substrate is in the processing chamber.

本開示の態様に従って、処理チャンバ上に配置されたビューポートと共に利用されうる測定技術および検査技術には、共焦点蛍光顕微鏡法および画像化、赤外線、紫外線、および可視線の反射(エリプソメトリを含む)、ラマン散乱、第2高調波、和周波分光法、テラヘルツ波走査またはミリ波走査、および、X線蛍光(XRF)が含まれる。 In accordance with aspects of the present disclosure, measurement and inspection techniques that can be used with viewports located on the processing chamber include confocal fluorescence microscopy and imaging, infrared, ultraviolet, and visible radiation reflections (including ellipsometry). ), Raman scattering, second harmonics, confocal spectroscopy, terahertz or millimeter wave scanning, and X-ray fluorescence (XRF).

図2は、本開示の一実施形態に係る例示的な処理システム200を示す上面図である。処理システム200は、ロードロックチャンバ204と、移送チャンバ206と、移送チャンバ206内のハンドリング(例えば、ツールおよび材料ハンドリングまたは基板ハンドリング)ロボット208と、第1のCVD処理チャンバ210と、第2のCVD処理チャンバ212と、制御ステーション214と、ALD処理チャンバ216と、マスクチャンバ218とを含む。第1のCVD処理チャンバ210、第2のCVD処理チャンバ212、ALD処理チャンバ216、および各チャンバに関連するハードウェアは、好ましくは、例えば、アルミニウム、陽極酸化アルミニウム、ニッケルめっきアルミニウム、ステンレス鋼、石英、ならびに、それらの組み合わせおよび合金といった、1つ以上のプロセス適合性材料から形成される。第1のCVD処理チャンバ210、第2のCVD処理チャンバ212、およびALD処理チャンバ216は、コーティングされる基板の形状および他の処理要件によって必要とされるように、円形、矩形、または別の形状であってよい。 FIG. 2 is a top view showing an exemplary processing system 200 according to an embodiment of the present disclosure. The processing system 200 includes a load lock chamber 204, a transfer chamber 206, a handling robot 208 within the transfer chamber 206 (eg, tool and material handling or substrate handling), a first CVD processing chamber 210, and a second CVD. It includes a processing chamber 212, a control station 214, an ALD processing chamber 216, and a mask chamber 218. The first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the hardware associated with each chamber are preferably, for example, aluminum, anodized aluminum, nickel-plated aluminum, stainless steel, quartz. , As well as one or more process compatible materials such as combinations and alloys thereof. The first CVD processing chamber 210, the second CVD processing chamber 212, and the ALD processing chamber 216 have a circular, rectangular, or different shape as required by the shape of the substrate to be coated and other processing requirements. May be.

移送チャンバ206は、ロードロックチャンバ204、第1のCVD処理チャンバ210、第2のCVD処理チャンバ212、ALD処理チャンバ216、およびマスクチャンバ218に隣接する側壁に設けられたスリット弁開口221、223、225、227、229を含む。ハンドリングロボット208は、基板ハンドリングブレード209および/または1つ以上の他のツールを、スリット弁開口221、223、225、227、229のそれぞれを通して、隣接するチャンバ内に挿入することが可能であるよう配置および構成される。すなわち、ハンドリングロボットは、他のチャンバのそれぞれに隣接する移送チャンバ206の壁部にあるスリット弁開口221、223、225、227、229を介して、ロードロックチャンバ204、第1のCVD処理チャンバ210、第2のCVD処理チャンバ212、ALD処理チャンバ216、およびマスクチャンバ218に、ツールを挿入することが可能である。本明細書では「ブレード」とも呼ばれる基板ハンドリングブレードには、本開示の態様によれば、基板監視装置が具備されうる。このようなブレードの一例を、以下で図7を参照して説明する。基板、ツール、または他の物品が隣接するチャンバの1つに挿入されまたは隣接するチャンバの1つから取り除かれるときに、スリット弁開口221、223、225、227、229は、隣接するチャンバの内部へのアクセスを可能にするために、スリット弁220、222、224、226、228によって選択的に開閉される。 The transfer chamber 206 has slit valve openings 221 and 223 provided on the side wall adjacent to the load lock chamber 204, the first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the mask chamber 218. Includes 225, 227, and 229. The handling robot 208 may allow substrate handling blades 209 and / or one or more other tools to be inserted into adjacent chambers through slit valve openings 221 and 223, 225, 227, 229, respectively. Arrangement and composition. That is, the handling robot has a load lock chamber 204, a first CVD processing chamber 210, via slit valve openings 221 and 223, 225, 227, 229 in the walls of the transfer chamber 206 adjacent to each of the other chambers. , The tool can be inserted into the second CVD processing chamber 212, the ALD processing chamber 216, and the mask chamber 218. A substrate handling blade, also referred to herein as a "blade," may be equipped with a substrate monitoring device according to aspects of the present disclosure. An example of such a blade will be described below with reference to FIG. When a substrate, tool, or other article is inserted into or removed from one of the adjacent chambers, the slit valve openings 221, 223, 225, 227, 229 are inside the adjacent chamber. Slit valves 220, 222, 224, 226, 228 selectively open and close to allow access to.

移送チャンバ206、ロードロックチャンバ204、第1のCVD処理チャンバ210、第2のCVD処理チャンバ212、ALD処理チャンバ216、およびマスクチャンバ218は、真空システム(例えば、真空ポンプ)と流体連通する1つ以上の開孔(図示せず)を含む。上記開孔は、種々のチャンバ内のガスの出口を提供する。いくつかの実施形態では、チャンバはそれぞれ、別個の独立した真空システムに接続される。さらに別の実施形態では、チャンバのいくつかは真空システムを共有するが、他のチャンバは別個の独立した真空システムを有する。真空システムは、種々のチャンバを通るガスの流れを調節するために、真空ポンプ(図示せず)およびスロットル弁(図示せず)を含みうる。 The transfer chamber 206, load lock chamber 204, first CVD processing chamber 210, second CVD processing chamber 212, ALD processing chamber 216, and mask chamber 218 are one that communicates fluidly with a vacuum system (eg, a vacuum pump). Includes the above openings (not shown). The holes provide outlets for gas in various chambers. In some embodiments, each chamber is connected to a separate and independent vacuum system. In yet another embodiment, some of the chambers share a vacuum system, while others have a separate and independent vacuum system. The vacuum system may include a vacuum pump (not shown) and a throttle valve (not shown) to regulate the flow of gas through the various chambers.

本開示の態様によれば、第1のCVD処理チャンバ210は、弁215を介してロードロック211と接続されうる。ロードロック211は、基板を検査および/または測定するために使用されうる1つ以上の計器を有する収容されたプローブを備えた、機械的アーム部を有しうる(図3を参照)。基板は、第1のCVD処理チャンバ210から取り出され、弁215を通ってロードロック211内に入り、そこで、1つ以上の計器が基板を検査および/または測定することが可能である。計器は、共焦点蛍光顕微鏡または撮像システム、1つ以上の赤外線レーザ、紫外線レーザ、および/または可視光レーザ、1つ以上の電荷結合素子(CCD:charge−coupled device)検出器、1つ以上のテルル化カドミウム水銀(MCT:mercury cadmium telluride)検出器、1つ以上のヒ化インジウムガリウム(InGaAs:indium gallium arsenide)検出器、先端強化ラマン散乱用の先端を有する機械的プローブ、原子間力顕微鏡プローブ、走査トンネル顕微鏡プローブ、テラヘルツ波またはミリ波トランシーバアンテナ、ならびに、X線放出器およびX線検出器、のうちの1つ以上を含んでよい。機械的アーム部、収容されたプローブ、および計器については、以下で図3を参照しながら、より詳細に説明する。ロードロック211内の圧力を、第1のCVD処理チャンバ210の圧力と同様のレベルに下げまたは当該圧力に維持することが可能であり、第1のCVD処理チャンバ210の真空を破ることなく、基板の測定および検査が可能となる。 According to aspects of the present disclosure, the first CVD processing chamber 210 may be connected to the load lock 211 via a valve 215. The load lock 211 may have a mechanical arm with a contained probe having one or more instruments that can be used to inspect and / or measure the substrate (see FIG. 3). The substrate is removed from the first CVD processing chamber 210 and enters the load lock 211 through valve 215, where one or more instruments can inspect and / or measure the substrate. The instrument is a confocal fluorescence microscope or imaging system, one or more infrared lasers, ultraviolet lasers, and / or visible light lasers, one or more charge-coupled device (CCD) detectors, and one or more. Mercury cadmium telluride (MCT) detector, one or more indium gallium arsenide (InGaAs) detectors, mechanical probe with tip for tip-enhanced Raman scattering, atomic force microscope probe , A scanning tunnel microscope probe, a terahertz wave or millimeter wave transceiver antenna, and one or more of an X-ray emitter and an X-ray detector. The mechanical arm, the contained probe, and the instrument will be described in more detail below with reference to FIG. The pressure in the load lock 211 can be reduced to or maintained at the same level as the pressure in the first CVD processing chamber 210, without breaking the vacuum in the first CVD processing chamber 210. Can be measured and inspected.

同様に、第2のCVD処理チャンバ212は、弁218を介してロードロック213に接続され、ALD処理チャンバ216は、弁219を介してロードロック217と接続されうる。ロードロック213および217の各々は、基板を検査および/または測定するために使用されうる1つ以上の計器を有する、収容されたプローブを有する機械的アーム部を有しうる(図3を参照)。上述のように、基板は、第2のCVD処理チャンバ212の真空を破ることなく、第2のCVD処理チャンバ212から取り除かれて、弁218を通ってロードロック213内に入りうる。また上記のように、基板は、ALD処理チャンバ216の真空を破ることなく、ALD処理チャンバ216から取り出されて、弁219を通ってロードロック217内に入りうる。ロードロック213または217内に入ると、プローブの計器が、第2のCVD処理チャンバ212またはALD処理チャンバ216の真空を破ることなく、基板を測定および/または検査しうる。 Similarly, the second CVD processing chamber 212 may be connected to the load lock 213 via a valve 218 and the ALD processing chamber 216 may be connected to the load lock 217 via a valve 219. Each of the load locks 213 and 217 may have a mechanical arm with a contained probe having one or more instruments that can be used to inspect and / or measure the substrate (see Figure 3). .. As mentioned above, the substrate can be removed from the second CVD processing chamber 212 and into the load lock 213 through the valve 218 without breaking the vacuum of the second CVD processing chamber 212. Further, as described above, the substrate can be taken out of the ALD processing chamber 216 and entered into the load lock 217 through the valve 219 without breaking the vacuum of the ALD processing chamber 216. Once inside the load lock 213 or 217, the probe instrument can measure and / or inspect the substrate without breaking the vacuum in the second CVD processing chamber 212 or ALD processing chamber 216.

図3は、本開示の態様に係る例示的なロードロック300の概略的な等角図を示している。ロードロック300は、図2に示したロードロック211、213、217の一例でありうる。収容されたプローブ304を備えた機械的アーム部302が、ロードロックポート308を介して基板306にアクセスしうる。基板306は、ロードロック内の基板支持体310(例えば、基板支持ブレードまたはペデスタル)上に置かれうる。プローブは、レーザ源または他の放出器から基板へと、電磁放射(例えば、赤外線レーザ光、紫外線レーザ光、可視レーザ光、ミリ波、またはX線)を搬送するための光ファイバケーブルまたは金属ケーブルを含みうる。追加的にまたは代替的に、プローブは、1つ以上のレーザ源、テラヘルツ波またはミリ波トランシーバアンテナ、およびX線放出器を含んでよい。プローブはまた、1つ以上の電荷結合素子(CCD)検出器、テルル化カドミウム水銀(MCT)検出器、ヒ化インジウムガリウム(InGaAs)検出器、先端強化ラマン散乱用の先端を有する機械的プローブ、原子間力顕微鏡プローブ、走査トンネル顕微鏡プローブ、X線検出器、および/または、基板を測定および/または検査するための他の種類の計器を含んでもよい。また、ロードロック300は、ロードロック300からガス(例えば、処理チャンバからロードロックに入りうる処理流体)を抜くための1つ以上のターボ真空ポートを含んでもよい。 FIG. 3 shows a schematic isometric view of an exemplary load lock 300 according to aspects of the present disclosure. The load lock 300 may be an example of the load locks 211, 213, and 217 shown in FIG. A mechanical arm 302 with the contained probe 304 can access the substrate 306 via the load lockport 308. The substrate 306 may be placed on a substrate support 310 (eg, a substrate support blade or pedestal) in a load lock. The probe is a fiber optic or metal cable for carrying electromagnetic radiation (eg, infrared laser light, ultraviolet laser light, visible laser light, millimeter waves, or X-rays) from a laser source or other emitter to the substrate. Can include. Additional or alternative, the probe may include one or more laser sources, a terahertz or millimeter wave transceiver antenna, and an x-ray emitter. The probe also includes one or more charge-coupled device (CCD) detectors, a mercury cadmium tellurized (MCT) detector, an indium gallium arsenide (InGaAs) detector, and a mechanical probe with a tip for tip-enhanced Raman scattering. Atomic force microscope probes, scanning tunneling microscope probes, X-ray detectors, and / or other types of instruments for measuring and / or inspecting substrates may be included. The load lock 300 may also include one or more turbo vacuum ports for draining gas from the load lock 300 (eg, a processing fluid that can enter the load lock from the processing chamber).

機械的アーム部302は、プローブ304を基板に接近させることが可能であるため、近視野検査技術および遠視野検査技術の双方が、ロードロック300内で行われるのに適している。 Since the mechanical arm portion 302 can bring the probe 304 close to the substrate, both the near-field inspection technique and the far-field inspection technique are suitable for being performed in the load lock 300.

本開示の態様によれば、プローブ304は真空に曝露されたときに、限られたガス放出しか行わない材料(例えば、石英)に収容(封入)することが可能であり、プローブの材料(例えば、光ファイバストランド)からのガス放出からの、基板の汚染が防止される。基板に接近しまたは接触していることを必要とする計器(例えば、先端強化ラマン散乱のための機械的プローブ先端、原子間力顕微鏡、または走査トンネル顕微鏡)は、真空に曝露されたときに、限られたガス放出しか行わない材料に収容されなくてよい。代わりに、基板に近接しまたは接触することを必要とする計器は、真空に暴露されたときに限られたガス放出を経験する材料(例えば、鋼)で構築されうる。 According to aspects of the present disclosure, the probe 304 can be housed (encapsulated) in a material (eg, quartz) that releases only limited gas when exposed to vacuum, and the probe material (eg, quartz). , Fiber optic strands) to prevent substrate contamination from outgassing. Instruments that require close contact or contact with the substrate (eg, mechanical probe tips for tip-enhanced Raman scattering, atomic force microscopes, or scanning tunneling microscopes) are exposed to vacuum. It does not have to be housed in a material that emits only limited gas. Instead, instruments that require close proximity to or contact with the substrate can be constructed of materials that experience limited outgassing when exposed to vacuum (eg, steel).

図4は、本開示の態様に係る、複数のビューポート402および404を有する処理チャンバ400(例えば、ALDチャンバ)の概略的な等角図を示している。ビューポートは、電磁放射424および426(例えば、赤外光、紫外光、可視光、X線、および/またはミリ波放射)に対して半透過性の石英または他の材料から作製されうる。第1のビューポート402は、電磁放射による基板406の照射が、大きなグレージング角度(すなわち、基板の上面に対して垂直から測定される角度)で行われることを可能とするよう配置されうる。第2のビューポート404は、検出器430が、大きなグレージング角度と類似した角度で、基板から散乱した電磁放射432を受け取りおよび/または検出することを可能とするよう配置されうる。処理チャンバ400は、図1Aおよび図1Bに示す処理チャンバ100を代表するものでありうる。処理チャンバは、1つ以上の処理流体入口474を介して処理流体供給源472に接続されてよく、真空ポンプ480に接続された処理流体出口478を含んでよい。基板406は、ロードロック内の基板支持体410(例えば、基板支持ブレードまたはペデスタル)上に置かれうる。基板支持体410は、処理チャンバの性能にとって望ましい場合には、加熱されうる。 FIG. 4 shows a schematic isometric view of a processing chamber 400 (eg, an ALD chamber) having a plurality of viewports 402 and 404 according to aspects of the present disclosure. Viewports can be made from quartz or other materials that are translucent to electromagnetic radiation 424 and 426 (eg, infrared, ultraviolet, visible, X-ray, and / or millimeter wave radiation). The first viewport 402 may be arranged to allow irradiation of the substrate 406 by electromagnetic radiation at a large glazing angle (ie, an angle measured perpendicular to the top surface of the substrate). The second viewport 404 may be arranged to allow the detector 430 to receive and / or detect the electromagnetic radiation 432 scattered from the substrate at an angle similar to the large glazing angle. The processing chamber 400 may be representative of the processing chamber 100 shown in FIGS. 1A and 1B. The processing chamber may be connected to the processing fluid supply source 472 via one or more processing fluid inlets 474 and may include a processing fluid outlet 478 connected to a vacuum pump 480. The substrate 406 may be placed on a substrate support 410 (eg, a substrate support blade or pedestal) in the load lock. The substrate support 410 can be heated if desired for the performance of the processing chamber.

電磁放射ビーム424、426の1つ以上のレーザ(例えば、赤外線レーザ、紫外線レーザ、可視光レーザ、若しくはX線レーザ)420、422、または他の放出器が、ビューポート402を通じて基板406を照射しうる。図示のように、レーザは、800ナノメートル(nm)の波長を有するフェムト秒・ピコ秒(fs−ps)パルス可視レーザと、1〜4マイクロメートル(μm)の範囲の波長を有するfs−psパルス中赤外(mid−IR)レーザと、を含みうるが、本開示はこのようには限定されず、他の波長の放出器が使用されうる。レーザまたは他の放出器は、放出器によって放出された電磁放射が一貫した角度で基板を照射するように、ロードロックに取り付けられうる。基板の測定中および検査中に、制御された再現可能なやり方で、放射線に基板の表面に亘ってラスタ走査させるように、レーザおよび他の放出器の取り付けが1つ以上のアクチュエータ(図示せず)を用いて移動されうる。1つ以上のミラー442Aおよび442B、半波長板444Aおよび444B、偏光子446Aおよび446B、ならびにレンズ(例えば、焦点レンズ)448Aおよび448Bは、放射線に基板の表面に亘ってラスタ走査させるように、アクチュエータ(図示せず)によって移動されうる。追加的に又は代替的に、放出器からの電磁放射は、光ファイバケーブル又は他の導管によって方向付けられてよく、ケーブルおよび/または導管は、放射線に基板の表面に亘ってラスタ走査させるために、アクチュエータによって移動される。 One or more lasers of the electromagnetic radiation beams 424 and 426 (eg, infrared lasers, ultraviolet lasers, visible light lasers, or X-ray lasers) 420, 422, or other emitters illuminate substrate 406 through viewport 402. sell. As shown, the lasers are femtosecond and picosecond (fs-ps) pulse visible lasers with wavelengths of 800 nanometers (nm) and fs-ps with wavelengths in the range of 1 to 4 micrometers (μm). A pulsed mid-infrared (mid-IR) laser may be included, but the present disclosure is not so limited and emitters of other wavelengths may be used. A laser or other emitter can be attached to the load lock so that the electromagnetic radiation emitted by the emitter illuminates the substrate at a consistent angle. One or more actuators with laser and other emitter attachments (not shown) to allow radiation to raster scan across the surface of the substrate in a controlled and reproducible manner during substrate measurements and inspections. ) Can be used to move. One or more mirrors 442A and 442B, half-wave plates 444A and 444B, polarizers 446A and 446B, and lenses (eg, focal lenses) 448A and 448B are actuators such that radiation causes raster scanning over the surface of the substrate. Can be moved by (not shown). Additional or alternative, electromagnetic radiation from the emitter may be directed by fiber optic cables or other conduits, which allow the radiation to raster scan over the surface of the substrate. , Moved by the actuator.

基板を照射した結果基板から散乱した(例えば、反射されまたは屈折させられた)電磁放射432は、ビューポート404を介して処理チャンバ400から出うる。1つ以上の開孔450、コリメータ452、偏光子454、ミラー456、フィルタ458、およびレンズ460によって、電磁放射432が、1つ以上の電荷結合素子(CCD)検出器430、テルル化カドミウム水銀(MCT)検出器、ヒ化インジウムガリウム(InGaAs)検出器、分光計、および、基板を測定および/または検査するための他の種類の計器へと方向付けられうる。CCD検出器、MCT検出器、InGaAs検出器、分光計、および他の計器は、ビューポート404を出た電磁放射432を検出および/または測定して、基板に関する測定値および他のデータを決定することが可能である。検出器または他の計器は、基板から散乱した電磁放射が、一貫した角度で測定または検出されるように、ロードロックに取り付けられうる。検出器および他の計器の取り付けは、当該検出器および他の計器が、基板の測定中および検査中に放出器が基板に亘ってラスタ走査させられたことに応じて、基板から散乱した放射線を受け取るよう、1つ以上のアクチュエータ(図示せず)によって移動させられうる。追加的にまたは代替的に、開孔450、コリメータ452、偏光子454、ミラー456、フィルタ458、およびレンズ460は、電磁放射432を検出器および/または計器に向かって方向付けるために、アクチュエータを介して移動させられてよい。 Electromagnetic radiation 432 scattered (eg, reflected or refracted) from the substrate as a result of irradiating the substrate can exit the processing chamber 400 via viewport 404. With one or more perforations 450, collimator 452, spectrometer 454, mirror 456, filter 458, and lens 460, the electromagnetic radiation 432 is one or more charge-coupling element (CCD) detectors 430, tellurized cadmium mercury ( It can be directed to MCT) detectors, indium gallium arsenide (InGaAs) detectors, spectrometers, and other types of instruments for measuring and / or inspecting substrates. CCD detectors, MCT detectors, InGaAs detectors, spectrometers, and other instruments detect and / or measure electromagnetic radiation 432 exiting viewport 404 to determine measurements and other data about the substrate. It is possible. The detector or other instrument may be attached to the load lock so that electromagnetic radiation scattered from the substrate is measured or detected at a consistent angle. Installation of the detector and other instruments allows the detector and other instruments to emit radiation scattered from the substrate in response to the emitter being raster-scanned across the substrate during measurement and inspection of the substrate. It can be moved by one or more actuators (not shown) to receive. Additional or alternative, a hole 450, a collimator 452, a polarizer 454, a mirror 456, a filter 458, and a lens 460 provide an actuator to direct the electromagnetic radiation 432 towards the detector and / or instrument. May be moved through.

基板支持体410は、基板の測定および検査の一部として、処理チャンバ内を移動してよい。例えば、基板支持体410は、ビューポート402を介して入射する1つ以上のビーム424、426が基板の表面に亘って走査(例えば、ラスタ走査)されるように、処理チャンバ400内で基板を動かしうる。追加的に又は代替的に、走査ガルバノミラーが、放出器からのビームを基板の表面に亘って走査するために利用されうる。ガルバノミラーは、処理チャンバ400内に配置されてよく、または処理チャンバ400の外部に位置してよい。 The substrate support 410 may move within the processing chamber as part of the substrate measurement and inspection. For example, the substrate support 410 scans the substrate in the processing chamber 400 such that one or more beams 424 and 426 incident through the viewport 402 are scanned over the surface of the substrate (eg, raster scanning). Can be moved. Additional or alternative, scanning galvanometer mirrors can be used to scan the beam from the emitter over the surface of the substrate. The galvanometer mirror may be located within the processing chamber 400 or may be located outside the processing chamber 400.

図4に示される実施形態は、基板406の上面を走査するビームを示すが、本開示は、このようには限定されない。本開示の態様によれば、基板支持体410は、切り取られた部分を有しまたはビームに対して半透過性であってよく(例えば、プリズム)、ビューポート402および404は、ビームが基板の下面を走査することを可能とするよう配置されてよい。 The embodiment shown in FIG. 4 shows a beam scanning the top surface of substrate 406, but the present disclosure is not limited in this way. According to aspects of the present disclosure, the substrate support 410 may have a cut-out portion or be translucent to the beam (eg, a prism), and viewports 402 and 404 may have the beam of the substrate. It may be arranged to allow scanning the bottom surface.

本開示の態様によれば、第2高調波発生(SHG:second harmonic generation)および和周波発生(SFG:sum frequency generation)分光法が、ALD、CVD、PECVD、PVD、誘電体堆積、ポリマー層堆積、およびSRPを介して堆積される表面といった処理表面を監視するために利用されうる。SFG分光法は、材料の二次分子超分極率を精査し、非中心対称媒体中のどのモードが活性であるかを示す。SFGおよびSHGは、2次非線形光学プロセスであり、ここでは、2つの入射光子が、媒体表面または界面で空間的および時間的に重なり合ったときに、互いに、および表面と相互作用して、上記2つの入射光子の周波数の和の周波数を有する1つの光子を生成する。入射光子が両方とも同じ光源から来る(したがって同じ周波数である)ときは、結果として生じるプロセスが、第2高調波発生(SHG)と呼ばれる。両方の入射光子が異なる周波数であるときには、結果的に生じる光学プロセスは、和周波発生(SFG)と呼ばれる。これらの2次光学プロセスは、光子エネルギーと運動量の保存に従う。光子運動量の保存は、プロセスに高度に方向性を持たせ、従って、SFGまたはSHG光子は、入射光子、または他の非線形光学プロセスからの他の光子から、空間的に分離されうる。2次超分極性が非中心対称媒体においてのみ、例えば界面、表面においてのみ活性であり、または、対称中心を有さない分子についてさえも活性であるため、SFGおよびSHGは、表面感度が高いプロセスでもある(例えば、Nature 337(6207)、519〜525頁、1989年を参照)。例えば、SFG分光法は、以下の図5を参照して説明するように、白金−水素結合に関連する特定の波数の強度を測定することによって、白金上の水素(H)の原子層堆積を監視するために利用されうる。SFG分光法は、AlO結合に関連する特定の波数の強さを測定することによって、シリコン基板上の酸化アルミニウム/酸化ケイ素(AlO/SiO)の原子層堆積を監視するためにも利用されうる(例えば、E.Kessels他著、Journal of Vacuum Science & Technology A35、05C313(2017年)、https://doi.org/10.1116.4993597)で入手可)。 According to aspects of the present disclosure, second harmonic generation (SHG) and sum frequency generation (SFG) spectroscopy can be performed by ALD, CVD, PECVD, PVD, dielectric deposition, polymer layer deposition. , And can be used to monitor treated surfaces such as surfaces deposited via SRP. SFG spectroscopy examines the secondary molecular hyperpolarizability of the material and indicates which mode in the non-centrally symmetric medium is active. SFG and SHG are second-order nonlinear optical processes, where two incident photons interact with each other and with the surface when they overlap spatially and temporally at the surface or interface of the medium, as described in 2 above. Generates one photon with the sum frequency of the frequencies of one incident photon. When both incident photons come from the same light source (and thus have the same frequency), the resulting process is called second harmonic generation (SHG). When both incident photons are at different frequencies, the resulting optical process is called sum frequency generation (SFG). These secondary optical processes follow the conservation of photon energy and momentum. Conservation of photon momentum makes the process highly directional, so SFG or SHG photons can be spatially separated from incident photons, or other photons from other nonlinear optical processes. SFG and SHG are surface-sensitive processes because secondary hyperpolarity is active only in non-center-symmetrical media, such as at interfaces, surfaces, or even for molecules that do not have a center of symmetry. (See, for example, Nature 337 (6207), pp. 519-525, 1989). For example, SFG spectroscopy uses atomic layer deposition of hydrogen (H 2 ) on platinum by measuring the intensity of a particular wavenumber associated with a platinum-hydrogen bond, as described with reference to FIG. 5 below. Can be used to monitor. SFG spectroscopy is also used to monitor aluminum oxide / silicon oxide (AlO x / SiO x ) atomic layer deposition on silicon substrates by measuring the intensity of specific wavenumbers associated with AlO x bonds. (For example, available at E. Kessels et al., Journal of Vacuum Science & Technology A35, 05C313 (2017), https://doi.org/10.1116.4993597).

図5は、異なる流量の水素に白金が曝露され、白金の表面の和周波発生測定が行われるALDプロセスにおける、白金上への水素の原子層堆積の監視を示す曲線を示したグラフ500である。曲線510は、白金を最高流量で水素に曝露した後の一組の波数(cm−1単位で測定)についての一組のSFG強度(s−1単位で測定)を示す。白金を最高流量で水素に曝露した後に、SFG分光法は、点512に示すように、波数2020cm−1の比較的高い強度(すなわち、1.1を超える)を示している。白金をより低い流量で水素に曝露した後に、SFG分光法は、点514に示すように、波数2020cm−1のより低い強度(すなわち、約0.95)を示している。続いてより低い流量での、白金の水素への第3、第4、第5、および第6の曝露のそれぞれの後で、SFG分光法は、点516、518、および520に示すように、波数2020cm−1のさらに低い強度(すなわち、0.90未満)を示す。白金を最低流量で水素に曝露した後で、SFG分光法は、点522に示すように、波数2020cm−1の最低強度(すなわち、0.38)を示している。 FIG. 5 is a graph 500 showing a curve showing monitoring of hydrogen atomic layer deposition on platinum in the ALD process where platinum is exposed to different flows of hydrogen and sum frequency generation measurements are made on the surface of the platinum. .. Curve 510 shows a set of SFG intensities (measured in s-1 units) for a set of wavenumbers (measured in cm-1 units) after exposure of platinum to hydrogen at the highest flow rate. After exposing platinum to hydrogen at the highest flow rate, SFG spectroscopy shows a relatively high intensity (ie, greater than 1.1) with a wavenumber of 2020 cm-1 as shown at point 512. After exposing platinum to hydrogen at a lower flow rate, SFG spectroscopy shows a lower intensity of wavenumber 2020 cm-1 (ie, about 0.95), as shown at point 514. Subsequently, after each of the third, fourth, fifth, and sixth exposures of platinum to hydrogen at lower flow rates, SFG spectroscopy was performed, as shown at points 516, 518, and 520. It shows an even lower intensity (ie, less than 0.90) with a wave number of 2020 cm -1. After exposing platinum to hydrogen at the lowest flow rate, SFG spectroscopy shows the lowest intensity (ie 0.38) with a wavenumber of 2020 cm-1 as shown at point 522.

本開示の態様によれば、SFG分光法の技術は、表面および界面に非常に特化しており、従って、SFG分光法からのデータの解析では、典型的に、測定された信号からバックグラウンド信号を減算する必要がない。 According to aspects of the present disclosure, SFG spectroscopy techniques are highly specialized in surfaces and interfaces, and therefore analysis of data from SFG spectroscopy typically involves a background signal from the measured signal. Does not need to be subtracted.

図6は、本開示の態様に係る、ALD処理中に基板670(例えば、白金)を監視するよう構成された例示的なSFG分光システム600の概略図である(例えば、ACS Catalysis、2014年,4(6)、1964〜1971頁を参照)。例示的なALD処理チャンバ680では、水素が位置682でチャンバ内に流れ込み、さらに基板上を流れ、これにより水素の解離が引き起こされて、基板上に層が形成される。質量分析計(MS:mass spectrometer)が、基板上に堆積した水素の量に関するデータを収集するために、チャンバを出るガスを監視する。加熱ロッド684およびピストン686が、ALDチャンバ内の温度および圧力を制御する。例示的なSFG分光システムでは、可変波長レーザシステム(すなわち、1つ以上の電磁放射放出器)602が、赤外線範囲(すなわち1〜9マイクロメートル、例えば、4〜7マイクロメートルまたは5〜6マイクロメートル)の波長を有するレーザ光604の第1のパルスと、可視範囲(すなわち520〜900ナノメートル、例えば、600〜900ナノメートル、750〜850ナノメートル、または800ナノメートル)の波長を有するレーザ光606の第2のパルスと、を生成する。次いで、レーザ光の第1のパルスが、第1のパルスの周波数を所望の周波数に微調整する種々のフィルタ608を通過する。次いで、第1のパルスは、レンズ610によって照準されて、第1のビューポート652に入射して、処理チャンバの中に入る。第2のパルスは、フィルタ616を通過して、第2のパルスの周波数が微調整される。レンズ620が、第2のパルスを第1のビューポート652を通して処理チャンバ内へと向ける。第1のパルスおよび第2のパルスは、プリズム612を介して照準されて、基板670を照射してもよい。第1のパルスおよび第2のパルスは、それらが基板を照射するときに相互作用して、第2高調波パルス630を生成する。第2高調波パルスは、第2ビューポート654を介して処理チャンバから出るために、プリズム612を介して照準されうる。レンズ640およびフィルタ642が、第2高調波パルスを方向付け、第1のパルスおよび第2のパルスの反射をフィルタで除去することができ、これにより、光電子増倍管632(PMT:photomultiplier tube)が、第2高調波パルスを収集することが可能である。PMTは、第2高調波パルスに関する情報をボックスカー積分器634に供給する。最後に、ボックスカー積分器が、解釈のためにコンピュータ636に信号を供給する。 FIG. 6 is a schematic representation of an exemplary SFG spectroscopic system 600 configured to monitor substrate 670 (eg, platinum) during ALD processing according to aspects of the present disclosure (eg, ACS Catalysis, 2014, 4 (6), pp. 1964-1971). In an exemplary ALD processing chamber 680, hydrogen flows into the chamber at position 682 and further over the substrate, which causes dissociation of hydrogen to form a layer on the substrate. A mass spectrometer (MS) monitors the gas leaving the chamber to collect data on the amount of hydrogen deposited on the substrate. A heating rod 684 and a piston 686 control the temperature and pressure in the ALD chamber. In an exemplary SFG spectroscopic system, a variable wavelength laser system (ie, one or more electromagnetic emission emitters) 602 has an infrared range (ie, 1-9 micrometers, eg, 4-7 micrometers or 5-6 micrometers). ) And the first pulse of the laser beam 604, and the laser beam having a wavelength in the visible range (ie, 520-900 nanometers, eg, 600-900 nanometers, 750-850 nanometers, or 800 nanometers). Generates a second pulse of 606. The first pulse of the laser beam then passes through various filters 608 that fine-tune the frequency of the first pulse to the desired frequency. The first pulse is then aimed by the lens 610 and enters the first viewport 652 and enters the processing chamber. The second pulse passes through the filter 616 and the frequency of the second pulse is fine-tuned. Lens 620 directs a second pulse through the first viewport 652 into the processing chamber. The first pulse and the second pulse may be aimed through the prism 612 to illuminate the substrate 670. The first pulse and the second pulse interact as they illuminate the substrate to produce a second harmonic pulse 630. The second harmonic pulse can be aimed through the prism 612 to exit the processing chamber via the second viewport 654. The lens 640 and filter 642 can direct the second harmonic pulse and filter out the reflections of the first and second pulses, which allows the photomultiplier tube (PMT). However, it is possible to collect the second harmonic pulse. The PMT supplies the boxcar integrator 634 with information about the second harmonic pulse. Finally, the boxcar integrator supplies a signal to the computer 636 for interpretation.

本開示の態様によれば、第1のビューポート652および第2のビューポート654は、フッ化マグネシウム(MgF)またはフッ化カルシウム(CaF)から形成されうる。というのは、これらの材料は、赤外線範囲の波長を有する第1のパルスと、可視範囲の波長を有する第2のパルスと、の両方の透過を可能とするからである。 According to aspects of the present disclosure, the first viewport 652 and the second viewport 654 can be formed from magnesium fluoride (MgF 2 ) or calcium fluoride (CaF 2). This is because these materials allow transmission of both a first pulse having a wavelength in the infrared range and a second pulse having a wavelength in the visible range.

図7は、本開示の態様に係る例示的な基板ハンドリングブレード700の概略図である。例示的な基板ハンドリングブレードは、基板支持ブレード702と、計器支持アーム部704と、を含みうる。計器支持アーム部は、レーザ源706(例えば、1つ以上の電磁放射放出器、レーザ、または、遠隔レーザからレーザ光を搬送する光ファイバケーブルといったレーザ光の他の源)および分光計708を支持しうる。図6に示すように、レーザ源は、異なる波長を有するレーザ光710、712の2つのパルスを送出しうる。図6に示すように、レーザ源は、レーザ光のパルスを基板ハンドリングブレード上の基板に向けるために、1つ以上のミラー、フィルタ、エタロン、およびレンズを含みうる。分光計はまた、第1のパルスおよび第2のパルスの反射720および722を両方とも遮断し、第2高調波パルス724を分光計内の検出器に向けるために、1つ以上の絞り、フィルタ、レンズ、および偏光子を含みうる。 FIG. 7 is a schematic view of an exemplary substrate handling blade 700 according to aspects of the present disclosure. An exemplary substrate handling blade may include a substrate support blade 702 and an instrument support arm portion 704. The instrument support arm supports a laser source 706 (eg, another source of laser light, such as one or more electromagnetic emission emitters, a laser, or a fiber optic cable that carries the laser light from a remote laser) and a spectrometer 708. Can be done. As shown in FIG. 6, the laser source can emit two pulses of laser light 710, 712 having different wavelengths. As shown in FIG. 6, the laser source may include one or more mirrors, filters, etalons, and lenses to direct the pulse of the laser light to the substrate on the substrate handling blade. The spectrometer also blocks both the reflections 720 and 722 of the first and second pulses, and one or more apertures, filters to direct the second harmonic pulse 724 to the detector in the spectrometer. , Lens, and spectrometer.

本開示の態様によれば、計器支持アーム部704および基板ハンドリングブレード702は、処理チャンバ(例えば、図1に示す処理チャンバ100)内へと一緒に移動しうる。追加的にまたは代替的に、計器支持アーム部は、基板ハンドリングブレードが処理チャンバに入るときには、基板ハンドリングブレードとは独立して動きうる(例えば、遠ざかるように回転しうる)。 According to aspects of the present disclosure, the instrument support arm portion 704 and the substrate handling blade 702 can move together into the processing chamber (eg, the processing chamber 100 shown in FIG. 1). Additional or alternative, the instrument support arm may move independently of the board handling blade (eg, rotate away) as the board handling blade enters the processing chamber.

本開示の態様では、レーザ源706および/または分光計708といった計器支持アーム部704上の計器は、基板ハンドリングブレードが移送チャンバ(例えば、図2に示す移送チャンバ206)内にある間に、基板ハンドリングブレードによって支持される基板の監視を行うことが可能であり、処理システム内の真空を破る必要なく、基板の監視および/または検査が可能となる。 In aspects of the present disclosure, the instrument on the instrument support arm 704, such as the laser source 706 and / or the spectrometer 708, is a substrate while the substrate handling blade is in the transfer chamber (eg, transfer chamber 206 shown in FIG. 2). It is possible to monitor the substrate supported by the handling blades, allowing monitoring and / or inspection of the substrate without the need to break the vacuum in the processing system.

本開示の態様によれば、分光計は、相補型金属酸化膜半導体(CMOS:complimentary metal−oxide−semiconductor)分光計、またはフォトニック結晶ファイバ(PCF:photonic crystal fiber)ベースの分光計でありうる。 According to aspects of the present disclosure, the spectrometer can be a complementary metal oxide-semiconductor (CMOS) spectrometer or a photonic crystal fiber (PCF) based spectrometer. ..

前述の検討のより良い理解を提供するために、上記の非限定的な例が提供される。実施例は、特定の実施形態を対象としうるが、実施例は、いかなる特定の点においても本開示を限定するものとして解釈されるべきではない。 To provide a better understanding of the above discussion, the non-limiting example above is provided. Although the examples may be directed to a particular embodiment, the examples should not be construed as limiting the present disclosure in any particular respect.

以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態を考案されうる。本開示の範囲は、以下の特許請求の範囲によって決定される。 Although the above description is intended for embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from the basic scope of the present disclosure. The scope of the present disclosure is determined by the following claims.

Claims (15)

第1のビューポートおよび第2のビューポートを有する処理チャンバ本体と、
前記処理チャンバ本体内の基板支持体と、
前記第1のビューポートを通して、前記基板支持体上の前記基板を照射するよう動作可能な電磁放射放出器と、
前記第2のビューポートを通して、前記基板から散乱した電磁放射を検出するよう動作可能な検出器と
を備える、基板を処理するための装置。
A processing chamber body having a first viewport and a second viewport,
The substrate support in the processing chamber body and
An electromagnetic radiation emitter capable of operating to illuminate the substrate on the substrate support through the first viewport.
A device for processing a substrate, comprising a detector capable of detecting electromagnetic radiation scattered from the substrate through the second viewport.
前記基板支持体は、前記電磁放射放出器からのビームが前記基板の表面上で走査されるように前記基板を動かすよう動作可能である、請求項1に記載の装置。 The apparatus according to claim 1, wherein the substrate support can operate to move the substrate so that a beam from the electromagnetic radiation emitter is scanned over the surface of the substrate. 前記電磁放射放出器からのビームを前記基板の表面上へと方向付けるよう動作可能なガルバノミラーをさらに含む、請求項1に記載の装置。 The device of claim 1, further comprising a galvanometer mirror capable of directing a beam from the electromagnetic radiation emitter onto the surface of the substrate. 前記電磁放射放出器が、第1の波長を有するレーザ光の第1のパルスを生成するよう動作可能な第1のレーザ源と、第2の波長を有するレーザ光の第2のパルスを生成するよう動作可能な第2のレーザ源とを備える、請求項1に記載の装置。 The electromagnetic radiation emitter produces a first laser source capable of operating to generate a first pulse of laser light having a first wavelength and a second pulse of laser light having a second wavelength. The apparatus according to claim 1, further comprising a second laser source that can operate in such a manner. 前記第1の波長は、1マイクロメートル以上4マイクロメートル以下であり、前記第2の波長は、750ナノメートル以上850ナノメートル以下である、請求項4に記載の装置。 The apparatus according to claim 4, wherein the first wavelength is 1 micrometer or more and 4 micrometers or less, and the second wavelength is 750 nanometers or more and 850 nanometers or less. 前記検出器は、前記第1のパルスと、前記第2のパルスと、前記基板との間の相互作用によって生じる和周波発生(SFG:sum frequency generation)パルスの強度を測定するよう動作可能である、請求項4に記載の装置。 The detector can operate to measure the intensity of sum frequency generation (SFG) pulses generated by the interaction of the first pulse, the second pulse, and the substrate. , The apparatus according to claim 4. 基板を処理するためのシステムであって、
前記基板の通過を可能にするよう構成された第1のスリット弁開口、及び、前記基板の通過を可能とするよう構成された第2のスリット弁開口を有する処理チャンバと、
前記処理チャンバの前記第1のスリット弁開口を開閉するよう動作可能な第1のスリット弁であって、閉弁時に第1の気密シールを形成するよう動作可能な第1のスリット弁と、
前記処理チャンバの前記第2のスリット弁開口を開閉するよう動作可能な第2のスリット弁であって、閉弁時に第2の気密シールを形成するよう動作可能な第2のスリット弁と、
前記処理チャンバの前記第2のスリット弁開口と位置合わせされた移送スリット弁開口、ロードロックポート、及び、基板支持体を有するロードロックと、
収容されたプローブを有する機械的アーム部と
を備え、
前記機械的アーム部は、前記ロードロックポートを介して前記ロードロックの内部にアクセスするよう動作可能であり、
前記機械的アーム部は、前記基板支持体上の前記基板に接近するように、前記収容されたプローブ内の計器を動かすよう動作可能であり、
前記収容されたプローブは、前記基板を照射するために電磁放射を放出するよう動作可能な放出器を有し、
前記収容されたプローブは、前記基板から散乱した電磁放射を検出するよう動作可能な検出器を有する、基板を処理するためのシステム。
A system for processing substrates
A processing chamber having a first slit valve opening configured to allow passage of the substrate and a second slit valve opening configured to allow passage of the substrate.
A first slit valve that can operate to open and close the first slit valve opening of the processing chamber, and a first slit valve that can operate to form a first airtight seal when the valve is closed.
A second slit valve that can operate to open and close the second slit valve opening of the processing chamber, and a second slit valve that can operate to form a second airtight seal when the valve is closed.
A transfer slit valve opening aligned with the second slit valve opening of the processing chamber, a load lock port, and a load lock having a substrate support.
With a mechanical arm with a housed probe
The mechanical arm portion can operate to access the inside of the load lock through the load lock port.
The mechanical arm can be operated to move the instrument in the contained probe so as to approach the substrate on the substrate support.
The contained probe has an ejector capable of emitting electromagnetic radiation to irradiate the substrate.
The contained probe is a system for processing a substrate having a detector capable of detecting electromagnetic radiation scattered from the substrate.
基板ハンドリングブレードを有する基板ハンドリングロボットをさらに備え、
前記機械的アーム部は、前記基板ハンドリングロボットと接続され、
前記機械的アーム部は、前記基板ハンドリングブレード上の前記基板と接近するように、前記収容されたプローブ内の前記計器を動かすよう動作可能である、請求項7に記載のシステム。
Further equipped with a board handling robot having a board handling blade,
The mechanical arm portion is connected to the substrate handling robot and is connected to the substrate handling robot.
7. The system of claim 7, wherein the mechanical arm is capable of moving the instrument within the contained probe so as to approach the substrate on the substrate handling blade.
前記放出器は、第1の波長を有するレーザ光の第1のパルスを生成するよう動作可能な第1のレーザ源と、第2の波長を有するレーザ光の第2のパルスを生成するよう動作可能な第2のレーザ源とを備える、請求項7に記載のシステム。 The emitter operates to generate a first pulse of laser light having a first wavelength and a second pulse of laser light having a second wavelength. The system of claim 7, comprising a possible second laser source. 前記第1の波長は、1マイクロメートル以上4マイクロメートル以下であり、前記第2の波長は、750ナノメートル以上850ナノメートル以下である、請求項9に記載のシステム。 The system according to claim 9, wherein the first wavelength is 1 micrometer or more and 4 micrometers or less, and the second wavelength is 750 nanometers or more and 850 nanometers or less. 前記検出器は、前記第1のパルスと、前記第2のパルスと、前記基板支持体上の前記基板との間の相互作用によって生じる和周波発生(SFG:sum frequency generation)パルスの強度を測定するよう動作可能である、請求項9に記載のシステム。 The detector measures the intensity of sum frequency generation (SFG) pulses generated by the interaction of the first pulse, the second pulse, and the substrate on the substrate support. The system according to claim 9, which is capable of operating to do so. 処理システム内で基板を測定するための装置であって、
前記処理システムのロードロックの内部にアクセスするよう動作可能な機械的アーム部と、
前記機械的アーム部上の収容されたプローブと、
前記収容されたプローブ内の放出器であって、前記基板を照射するために電磁放射を放出するよう動作可能な放出器と、
前記収容されたプローブ内の検出器であって、前記基板から散乱した電磁放射を検出するよう動作可能な検出器と
を備え、
前記機械的アーム部は、前記放出器または前記検出器の少なくとも1つを、前記基板に接近するように動かすよう動作可能である、処理システム内で基板を測定するための装置。
A device for measuring substrates in a processing system.
A mechanical arm that can operate to access the inside of the load lock of the processing system,
With the housed probe on the mechanical arm
An ejector in the contained probe that can operate to emit electromagnetic radiation to irradiate the substrate.
A detector in the contained probe, comprising a detector capable of detecting electromagnetic radiation scattered from the substrate.
The mechanical arm is a device for measuring a substrate in a processing system capable of operating at least one of the ejector or the detector to move it closer to the substrate.
前記放出器は、第1の波長を有するレーザ光の第1のパルスを生成するよう動作可能な第1のレーザ源と、第2の波長を有するレーザ光の第2のパルスを生成するよう動作可能な第2のレーザ源とを備える、請求項12に記載の装置。 The emitter operates to generate a first pulse of laser light having a first wavelength and a second pulse of laser light having a second wavelength. 12. The apparatus of claim 12, comprising a possible second laser source. 前記第1の波長は、1マイクロメートル以上4マイクロメートル以下であり、前記第2の波長は、750ナノメートル以上850ナノメートル以下である、請求項13に記載の装置。 13. The apparatus of claim 13, wherein the first wavelength is 1 micrometer or more and 4 micrometers or less, and the second wavelength is 750 nanometers or more and 850 nanometers or less. 前記検出器は、前記第1のパルスと、前記第2のパルスと、前記基板との間の相互作用によって生じる和周波発生(SFG:sum frequency generation)パルスの強度を測定するよう動作可能である、請求項13に記載の装置。 The detector can operate to measure the intensity of sum frequency generation (SFG) pulses generated by the interaction of the first pulse, the second pulse, and the substrate. The device according to claim 13.
JP2020553656A 2018-04-02 2019-03-29 Inline Chamber Meterology Active JP7097458B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022102447A JP2022160395A (en) 2018-04-02 2022-06-27 In-line chamber metrology

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IN201841012373 2018-04-02
IN201841012373 2018-04-02
US201962811202P 2019-02-27 2019-02-27
US62/811,202 2019-02-27
PCT/US2019/024823 WO2019195100A1 (en) 2018-04-02 2019-03-29 Inline chamber metrology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022102447A Division JP2022160395A (en) 2018-04-02 2022-06-27 In-line chamber metrology

Publications (2)

Publication Number Publication Date
JP2021519522A true JP2021519522A (en) 2021-08-10
JP7097458B2 JP7097458B2 (en) 2022-07-07

Family

ID=68100175

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020553656A Active JP7097458B2 (en) 2018-04-02 2019-03-29 Inline Chamber Meterology
JP2022102447A Pending JP2022160395A (en) 2018-04-02 2022-06-27 In-line chamber metrology

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022102447A Pending JP2022160395A (en) 2018-04-02 2022-06-27 In-line chamber metrology

Country Status (7)

Country Link
JP (2) JP7097458B2 (en)
KR (2) KR20220140045A (en)
CN (1) CN112041977A (en)
DE (1) DE112019001752T5 (en)
GB (1) GB2587940B (en)
TW (2) TWI775689B (en)
WO (1) WO2019195100A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115602565B (en) * 2022-11-03 2023-06-23 江苏中芯沃达半导体科技有限公司 Semiconductor in-situ high-resolution visual on-line monitoring device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6258143A (en) * 1985-08-02 1987-03-13 アメリカン テレフォン アンド テレグラフ カムパニー Method of optically detecting defect of semiconductor material
JPH04340404A (en) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> Material processing method and material surface monitoring apparatus
JP2002340672A (en) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol Sum frequency generating spectroscopic device and method therefor
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0706209A3 (en) * 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
KR970053234A (en) * 1995-12-20 1997-07-31 양승택 How to detect doping characteristics of compound semiconductor in real time
JPH09306849A (en) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The Vapor-phase growing apparatus
JPH1019790A (en) * 1996-07-02 1998-01-23 Hitachi Ltd Equipment for inspecting board in vacuum
KR100206940B1 (en) * 1996-09-21 1999-07-01 구본준 Sampling gas leakage checking system of semiconductor wafer component test equipment
US5922179A (en) * 1996-12-20 1999-07-13 Gatan, Inc. Apparatus for etching and coating sample specimens for microscopic analysis
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
JP4067307B2 (en) * 2000-04-27 2008-03-26 株式会社荏原製作所 Rotation holding device
JP2004521323A (en) 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. Method and apparatus for detecting probe-target interactions using surface selective nonlinear optical techniques
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
JP2004087342A (en) * 2002-08-28 2004-03-18 Jeol Ltd Observation device using charged particle beam
KR20060035071A (en) * 2004-10-21 2006-04-26 삼성전자주식회사 Robot for transferring semiconductor substrate
JP2007033743A (en) 2005-07-26 2007-02-08 Seiko Epson Corp Substrate for electronic device, liquid crystal panel, and electronic apparatus
DE102006009460A1 (en) * 2006-03-01 2007-09-06 Infineon Technologies Ag Process device used in production of integrated circuits comprises process chamber, holder within chamber for holding substrate, radiation source, radiation detector and control and evaluation unit
KR102038720B1 (en) * 2011-12-07 2019-10-30 어플라이드 머티어리얼스, 인코포레이티드 Laser reflectometry for substrate processing
KR102609862B1 (en) * 2014-04-17 2023-12-04 펨토매트릭스, 인코포레이티드. Wafer metrology technologies
US10731246B2 (en) * 2014-07-28 2020-08-04 Gatan, Inc. Ion beam sample preparation and coating apparatus and methods
WO2016148855A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6258143A (en) * 1985-08-02 1987-03-13 アメリカン テレフォン アンド テレグラフ カムパニー Method of optically detecting defect of semiconductor material
JPH04340404A (en) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> Material processing method and material surface monitoring apparatus
JP2002340672A (en) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol Sum frequency generating spectroscopic device and method therefor
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD

Also Published As

Publication number Publication date
TWI751412B (en) 2022-01-01
GB202017339D0 (en) 2020-12-16
WO2019195100A1 (en) 2019-10-10
JP7097458B2 (en) 2022-07-07
KR20200128192A (en) 2020-11-11
CN112041977A (en) 2020-12-04
DE112019001752T5 (en) 2020-12-24
TWI775689B (en) 2022-08-21
GB2587940A8 (en) 2023-04-26
KR102454199B1 (en) 2022-10-14
TW202212815A (en) 2022-04-01
JP2022160395A (en) 2022-10-19
KR20220140045A (en) 2022-10-17
GB2587940B (en) 2023-06-14
TW201945724A (en) 2019-12-01
GB2587940A (en) 2021-04-14

Similar Documents

Publication Publication Date Title
US10746531B2 (en) Wear amount measuring apparatus and method, temperature measuring apparatus and method and substrate processing system
US10976242B2 (en) System and method for monitoring atomic absorption during a surface modification process
DK2890828T3 (en) Device and method of making diamond
JP3266677B2 (en) A device that generates a nanomethol pattern on a sample by a gas path and performs optical analysis
US10077508B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
US20150185092A1 (en) Heat-flux measuring method, substrate processing system, and heat-flux measuring member
JP7097458B2 (en) Inline Chamber Meterology
US4812650A (en) Growth rate monitor for molecular beam epitaxy
US9478384B2 (en) Electrode for producing a plasma, plasma chamber having said electrode, and method for analyzing or processing a layer or the plasma in situ
KR20220156072A (en) Temperature calibration by band gap absorption method
US4954713A (en) Device for characterizing semiconductor samples by photoluminescence with high spatial resolution and at low temperature
EP0394462A1 (en) Method of forming a semiconductor thin film and apparatus therefor
CN113471046B (en) Workpiece processing apparatus having plasma processing system and thermal processing system
KR0162934B1 (en) Apparatus and method for manufacturing semiconductor device
WO2022239683A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
US20220389576A1 (en) Film-forming apparatus and method of using film-forming apparatus
WO2023132268A1 (en) Determination method and substrate processing apparatus
US20220154339A1 (en) Thin film deposition apparatus mountable with analysis system
WO2024074964A1 (en) Surface characterization of materials using cathodoluminescence
JP2002116159A (en) X-ray structure analyzer for thin-film process
JPH0714783A (en) Plasma cvd equipment
Tan et al. SiOx, SiNx, SiNxOy Deposited By ICP-CVD System With Optimized Uniformity For Optical Coatings
JP2004047284A (en) Cathode luminescence composite device and measuring method using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220627

R150 Certificate of patent or registration of utility model

Ref document number: 7097458

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150