TWI751412B - Inline chamber metrology - Google Patents

Inline chamber metrology Download PDF

Info

Publication number
TWI751412B
TWI751412B TW108111455A TW108111455A TWI751412B TW I751412 B TWI751412 B TW I751412B TW 108111455 A TW108111455 A TW 108111455A TW 108111455 A TW108111455 A TW 108111455A TW I751412 B TWI751412 B TW I751412B
Authority
TW
Taiwan
Prior art keywords
substrate
processing chamber
processing
chamber
inclusive
Prior art date
Application number
TW108111455A
Other languages
Chinese (zh)
Other versions
TW201945724A (en
Inventor
艾菲雪克 葛許
皮耶納桑薩利亞 葛拉迪亞
羅伯特詹 維瑟爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201945724A publication Critical patent/TW201945724A/en
Application granted granted Critical
Publication of TWI751412B publication Critical patent/TWI751412B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Robotics (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Glass Compositions (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

Embodiments of the present disclosure relate to inspection of substrates undergoing vacuum processing. In one embodiment, a processing chamber includes a first view port to enable an emitter of electromagnetic radiation to illuminate a substrate in the processing chamber, a second view port to enable a detector to detect electromagnetic radiation scattered from the substrate, the electromagnetic radiation emitter, and the detector.

Description

內聯式腔室計量術Inline Chamber Metrology

本揭示案的實施例一般係關於減壓處理系統和處理技術。更具體言之,本揭示案的實施例係關於用於在減壓處理系統中直接內聯式基板監控的技術。Embodiments of the present disclosure generally relate to reduced pressure treatment systems and treatment techniques. More specifically, embodiments of the present disclosure relate to techniques for direct inline substrate monitoring in reduced pressure processing systems.

半導體基板經處理以用於各式各樣的應用,包括積體元件和微型元件的製造。一個用於處理基板的技術包括在減壓下將基板暴露於氣體並使氣體在基板的表面上沉積諸如介電材料或導電金屬的材料。例如,磊晶是可用於在基板(如矽晶圓)的表面上生長薄的高純度層(通常為矽或鍺)的沉積製程。可藉由以下步驟在交錯流腔室中沉積材料:使處理流體(如前驅物氣體和載氣的混合物)平行於位於支撐件上的基板的表面流動並流經整個基板的表面,以及使處理流體分解(如藉由將處理流體加熱到高溫)以將來自處理流體的材料沉積在基板的表面上。Semiconductor substrates are processed for a wide variety of applications, including the manufacture of integrated and micro components. One technique for processing substrates involves exposing the substrate to a gas under reduced pressure and allowing the gas to deposit a material, such as a dielectric material or conductive metal, on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow thin, high-purity layers (usually silicon or germanium) on the surface of a substrate such as a silicon wafer. Materials can be deposited in an interleaved flow chamber by flowing a processing fluid (eg, a mixture of a precursor gas and a carrier gas) parallel to and across the surface of the substrate on the support, and allowing the processing The fluid is decomposed (eg, by heating the processing fluid to a high temperature) to deposit material from the processing fluid on the surface of the substrate.

在處理基板期間的不同時間,可檢查和/或測量沉積膜的品質。先前已知的用於檢查和/或測量基板的技術包含從處理腔室移除基板並將基板放置在用於檢查和/或測量基板的儀器中。從處理腔室移除基板可能導致氣體進入處理腔室,而可能需要在可以繼續(基板的或另一基板的)腔室中的處理之前,藉由真空泵對處理腔室抽空。At various times during processing of the substrate, the quality of the deposited film can be inspected and/or measured. Previously known techniques for inspecting and/or measuring substrates include removing the substrate from a processing chamber and placing the substrate in an apparatus for inspecting and/or measuring the substrate. Removing the substrate from the processing chamber may result in gas entry into the processing chamber, which may require evacuating the processing chamber by a vacuum pump before processing in the chamber (of the substrate or of another substrate) can continue.

為了提高處理腔室的產量和所生產的基板的品質,需要一種偵測和/或測量在處理系統中進行處理的基板的構件(means),而無需從處理系統的高真空環境中移除基板。In order to increase the throughput of processing chambers and the quality of the substrates produced, there is a need for a means of detecting and/or measuring substrates being processed in a processing system without removing the substrates from the high vacuum environment of the processing system .

提供了一種用於處理基板的設備。該設備通常包括處理腔室主體、供應器、真空泵、基板支撐件、電磁輻射發射器及偵測器,處理腔室主體具有第一觀察口和第二觀察口,該供應器用於提供與處理腔室主體連接的處理流體,真空泵與處理腔室主體連接,基板支撐件在處理腔室主體內,電磁輻射發射器可操作以通過第一觀察口照射基板支撐件上的基板,偵測器可操作以偵測從基板通過第二觀察口散射的電磁輻射。An apparatus for processing a substrate is provided. The apparatus typically includes a processing chamber body having a first viewing port and a second viewing port, a supply, a vacuum pump, a substrate support, an electromagnetic radiation emitter, and a detector, the supply being used to provide and communicate with the processing chamber a process fluid connected to the chamber body, a vacuum pump connected to the process chamber body, a substrate support within the process chamber body, an electromagnetic radiation emitter operable to illuminate the substrate on the substrate support through a first viewing port, a detector operable to detect electromagnetic radiation scattered from the substrate through the second viewing port.

提供了一種用於處理基板的系統。該系統通常包括處理腔室、第一狹縫閥、第二狹縫閥、裝載閘及機械臂,該處理腔室具有第一狹縫閥開口和第二狹縫閥開口,該第一狹縫閥開口經配置允許基板通過,該第二狹縫閥開口經配置允許基板通過,該第一狹縫閥可操作以打開和關閉該處理腔室的該第一狹縫閥開口,其中當該第一狹縫閥關閉時,該第一狹縫閥可操作以形成氣密密封,該第二狹縫閥可操作以打開和關閉該處理腔室的該第二狹縫閥開口,其中當該第二狹縫閥關閉時,該第二狹縫閥可操作以形成氣密密封,該裝載閘具有移送狹縫閥開口、裝載閘端口及基板支撐件,該移送狹縫閥開口與該處理腔室的該第二狹縫閥開口對準,該機械臂具有封裝(encased)探針,其中該機械臂可操作以經由該裝載閘端口進出該裝載閘的內部,該機械臂可操作以將該封裝探針內的儀器移動到該基板支撐件上的基板附近,該封裝探針具有發射器,該發射器可操作以發射電磁輻射以照射該基板,及該封裝探針具有偵測器,該偵測器可操作以偵測從該基板散射的電磁輻射。A system for processing a substrate is provided. The system generally includes a processing chamber having a first slit valve opening and a second slit valve opening, the first slit valve, a load gate, and a robotic arm, a first slit valve, a second slit valve The valve opening is configured to allow passage of substrates, the second slit valve opening is configured to allow passage of substrates, the first slit valve is operable to open and close the first slit valve opening of the processing chamber, wherein when the first slit valve opening is configured to allow passage of the substrate When a slit valve is closed, the first slit valve is operable to form a hermetic seal, the second slit valve is operable to open and close the second slit valve opening of the processing chamber, wherein when the first slit valve is closed The second slit valve is operable to form a hermetic seal when the second slit valve is closed, the load gate has a transfer slit valve opening, a load gate port and a substrate support, the transfer slit valve opening and the processing chamber the second slit valve opening is aligned with the robotic arm having an encased probe, wherein the robotic arm is operable to enter and exit the interior of the load gate via the load gate port, the robotic arm is operable to encapsulate the Instrumentation within the probe is moved adjacent to the substrate on the substrate support, the packaged probe has an emitter operable to emit electromagnetic radiation to illuminate the substrate, and the packaged probe has a detector, the detector The detector is operable to detect electromagnetic radiation scattered from the substrate.

本案提供的方法和設備係用於測量在處理系統中進行處理的基板的層厚度和層均勻性和/或檢查基板以偵測缺陷和/或實施基板的層和該等層之間的介面的化學特性,而不需自處理系統的高真空環境移除基板。該方法和設備賦能藉由測量和/或檢查處理腔室內或與處理腔室連接的裝載閘腔室內的基板來測量和/或檢查基板,而不破壞處理腔室的真空。Methods and apparatus are provided herein for measuring layer thickness and layer uniformity of substrates being processed in a processing system and/or inspecting substrates to detect defects and/or implementing layers of substrates and interfaces between those layers. chemical properties without removing the substrate from the high vacuum environment of the processing system. The method and apparatus enable measurement and/or inspection of substrates by measuring and/or inspecting substrates within a processing chamber or within a load lock chamber connected to the processing chamber without breaking the vacuum of the processing chamber.

本案揭露的一個實施例是連接到處理系統的裝載閘腔室。裝載閘腔室具有具封閉探針的機械臂,該探針具有可用於檢查和/或測量基板上的顆粒的屬性或存在的一或更多個儀器。可從處理腔室移除基板並將基板移動到裝載閘中,其中一或更多個儀器檢查和/或測量基板。裝載閘內的壓力保持在與處理系統或處理腔室的壓力相似的程度,賦能在不破壞處理腔室的真空的情況下測量和檢查基板。One embodiment disclosed herein is a load lock chamber connected to a processing system. The load lock chamber has a robotic arm with an enclosed probe with one or more instruments that can be used to inspect and/or measure the properties or presence of particles on the substrate. The substrate can be removed from the processing chamber and moved into a load lock where one or more instruments inspect and/or measure the substrate. The pressure within the load lock is maintained at a similar level to that of the processing system or processing chamber, enabling measurement and inspection of substrates without breaking the vacuum of the processing chamber.

在另一實施例中,複數個觀察口佈置在處理腔室上。雷射、X射線發射器和/或其他電磁輻射發射器可通過處理腔室中的第一觀察口照射基板,且從基板散射的輻射可通過第二觀察口離開處理腔室並由處理腔室外的儀器所偵測、收集和/或測量。當基板在處理腔室內時,可檢查和/或測量基板,而不破壞處理腔室的真空。In another embodiment, a plurality of viewing ports are arranged on the processing chamber. Lasers, X-ray emitters, and/or other emitters of electromagnetic radiation may illuminate the substrate through a first viewing port in the processing chamber, and radiation scattered from the substrate may exit the processing chamber through a second viewing port and exit the processing chamber detected, collected and/or measured by instruments. While the substrate is in the processing chamber, the substrate can be inspected and/or measured without breaking the vacuum of the processing chamber.

如本說明書所用,從基板「散射(scattered)」的輻射是指從基板反射、從基板折射、由於照射而從基板發射和/或透射通過基板的輻射。As used in this specification, radiation "scattered" from a substrate refers to radiation that is reflected from the substrate, refracted from the substrate, emitted from the substrate as a result of illumination, and/or transmitted through the substrate.

半導體基板經處理以用於各式各樣的應用,包括積體元件和微型元件的製造。如上所述,一個用於處理基板的技術包括在減壓下將基板暴露於氣體並使氣體在基板的表面上沉積諸如介電材料或導電金屬的材料。例如,磊晶是可用於在基板(例如,矽晶圓)的表面上生長薄的高純度層(通常為矽或二氧化矽)的沉積製程。可藉由以下步驟在交錯流腔室中沉積材料:使處理流體(如前驅物氣體和載氣的混合物)平行於位於支撐件上的基板的表面流動並流經整個基板的表面,以及使處理流體分解(如藉由將處理流體加熱到高溫)以將來自處理流體的材料沉積在基板的表面上。可在處理腔室內或在裝載閘中測量和/或檢查根據上述磊晶技術處理的基板,如以下更詳盡描述。Semiconductor substrates are processed for a wide variety of applications, including the manufacture of integrated and micro components. As mentioned above, one technique for processing a substrate includes exposing the substrate to a gas under reduced pressure and causing the gas to deposit a material, such as a dielectric material or a conductive metal, on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow thin, high-purity layers (usually silicon or silicon dioxide) on the surface of a substrate (eg, a silicon wafer). Materials can be deposited in an interleaved flow chamber by flowing a processing fluid (eg, a mixture of a precursor gas and a carrier gas) parallel to and across the surface of the substrate on the support, and allowing the processing The fluid is decomposed (eg, by heating the processing fluid to a high temperature) to deposit material from the processing fluid on the surface of the substrate. Substrates processed according to the above-described epitaxial techniques may be measured and/or inspected within a processing chamber or in a load lock, as described in more detail below.

所揭露的實施例可與用於以下處理基板的技術一起使用,包括但不限於原子層沉積(ALD)、化學氣相沉積(CVD)、蝕刻、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、介電質沉積、聚合物層沉積和選擇性去除製程(SRP)。The disclosed embodiments may be used with techniques for processing substrates including, but not limited to, atomic layer deposition (ALD), chemical vapor deposition (CVD), etching, plasma enhanced chemical vapor deposition (PECVD), physical Vapor Deposition (PVD), Dielectric Deposition, Polymer Layer Deposition and Selective Removal Process (SRP).

圖1A繪示根據本揭示案的態樣的示例性處理腔室100的示意性剖視圖,其中部件處於適於處理的位置。所示的處理腔室是磊晶腔室。處理腔室100用於處理(如,在其上實施磊晶沉積)一或更多個基板,其包括在基板108的上表面上沉積材料。處理腔室100包括輻射加熱燈102的陣列,其用於加熱設置在處理腔室100內的基板支撐件106(如基座)的背面104以及其他部件。在一些實施例中,除了所示在下圓頂下方的陣列之外,輻射加熱燈的陣列設置在上圓頂128上方。基板支撐件106可以是盤狀基板支撐件106(如圖所示沒有中心開口),或者可以是環狀基板支撐件。1A depicts a schematic cross-sectional view of an exemplary processing chamber 100 with components in positions suitable for processing, according to aspects of the present disclosure. The processing chamber shown is an epitaxy chamber. Processing chamber 100 is used to process (eg, perform epitaxial deposition thereon) one or more substrates, which includes depositing material on the upper surface of substrate 108 . The processing chamber 100 includes an array of radiant heating lamps 102 for heating the backside 104 and other components of a substrate support 106 (eg, a susceptor) disposed within the processing chamber 100 . In some embodiments, an array of radiant heating lamps is disposed above the upper dome 128 in addition to the array shown below the lower dome. The substrate support 106 may be a disk-shaped substrate support 106 (as shown without a central opening), or may be a ring-shaped substrate support.

圖1B繪示沿圖1A中的線1B-1B所截的處理腔室100的示意性側視圖。為了清楚起見,省略了襯墊組件163和圓形屏蔽件167。基板支撐件可以是如圖1A所示的盤狀基板支撐件106,或者可以是環狀基板支撐件107,其從基板的邊緣支撐基板以利於基板暴露於燈102的熱輻射,如圖1B所示。FIG. 1B shows a schematic side view of processing chamber 100 taken along line 1B-1B in FIG. 1A . Gasket assembly 163 and circular shield 167 are omitted for clarity. The substrate support may be a disk-shaped substrate support 106 as shown in FIG. 1A, or may be a ring-shaped substrate support 107, which supports the substrate from the edge of the substrate to facilitate exposure of the substrate to the thermal radiation of the lamps 102, as shown in FIG. 1B Show.

參考圖1A和1B,基板支撐件106或107位於上圓頂128和下圓頂114之間的處理腔室100內。上圓頂128、下圓頂114和設置在上圓頂128和下圓頂114之間的基環136界定處理腔室100的內部區域。一般而言,上圓頂128和下圓頂114的中心部分由光學透明材料形成,如石英。處理腔室100的內部區域通常分為處理區域156和淨化區域158。Referring to FIGS. 1A and 1B , substrate support 106 or 107 is located within processing chamber 100 between upper dome 128 and lower dome 114 . The upper dome 128 , the lower dome 114 , and the base ring 136 disposed between the upper dome 128 and the lower dome 114 define the interior area of the processing chamber 100 . Generally, the central portions of the upper dome 128 and the lower dome 114 are formed of an optically transparent material, such as quartz. The interior area of the processing chamber 100 is generally divided into a processing area 156 and a purge area 158 .

基板108(未按比例)可以通過裝載端口103進入處理腔室100並且定位在基板支撐件106上。裝載端口103被圖1A中的基板支撐件106所遮擋,但是可以在圖1B中看到。Substrate 108 (not to scale) may enter process chamber 100 through load port 103 and be positioned on substrate support 106 . Load port 103 is obscured by substrate support 106 in FIG. 1A, but can be seen in FIG. 1B.

根據一個實施例,基板支撐件106由中心軸132支撐,中心軸132可直接支撐基板支撐件106,如圖1A所示。根據另一個實施例,中心軸132藉由臂134來支撐盤狀基板支撐件107,如圖1B所示。According to one embodiment, the substrate support 106 is supported by a central shaft 132, which may directly support the substrate support 106, as shown in FIG. 1A. According to another embodiment, the central shaft 132 supports the disk-shaped substrate support 107 by means of the arms 134, as shown in FIG. 1B.

根據一個實施例,處理腔室100亦包括燈頭145,其在處理期間和/或之後支撐燈102的陣列以及冷卻燈102。每個燈102耦接到配電板(未圖示),配電板向每個燈102供電。According to one embodiment, the processing chamber 100 also includes a lamp head 145 that supports the array of lamps 102 and cools the lamps 102 during and/or after processing. Each lamp 102 is coupled to a distribution board (not shown), which supplies power to each lamp 102 .

根據一個實施例,處理腔室100亦包括一或更多個光學高溫計118,光學高溫計118測量處理腔室100內和基板108的表面上的溫度。控制器(未圖示)控制從配電板到燈102的電力分配。控制器亦控制處理腔室100內的冷卻流體的流動。控制器藉由改變從配電板到燈102的電壓以及藉由改變冷卻流體的流率來控制處理腔室內的溫度。According to one embodiment, the processing chamber 100 also includes one or more optical pyrometers 118 that measure the temperature within the processing chamber 100 and on the surface of the substrate 108 . A controller (not shown) controls the distribution of power from the switchboard to the lamps 102 . The controller also controls the flow of cooling fluid within the processing chamber 100 . The controller controls the temperature within the processing chamber by varying the voltage from the switchboard to the lamps 102 and by varying the flow rate of the cooling fluid.

反射器122放置在上圓頂128上方,以將從基板108和上圓頂128輻射的紅外光反射回處理腔室100中。使用夾環130將反射器122固定於上圓頂128。反射器122具有連接到冷卻流體源(未圖示)的一或更多個連接端口126。連接端口126連接到反射器內的一或更多個通道(未圖示),以允許冷卻流體(如水)在反射器122內循環。A reflector 122 is placed over the upper dome 128 to reflect infrared light radiated from the substrate 108 and the upper dome 128 back into the processing chamber 100 . The reflector 122 is secured to the upper dome 128 using a clip ring 130 . The reflector 122 has one or more connection ports 126 that connect to a source of cooling fluid (not shown). The connection port 126 connects to one or more channels (not shown) within the reflector to allow a cooling fluid (eg, water) to circulate within the reflector 122 .

根據一個實施例,處理腔室100包括連接到處理流體供應172的處理流體入口174。處理流體入口174經配置將處理流體(如三甲基鋁(TMA)或矽烷(SiH4))引導大致流經基板108的整個表面。處理腔室還包括處理流體出口178,處理流體出口178位於處理腔室100的與處理流體入口174相對的一側上。處理流體出口178耦接到真空泵180。According to one embodiment, the process chamber 100 includes a process fluid inlet 174 connected to a process fluid supply 172 . The processing fluid inlet 174 is configured to direct a processing fluid, such as trimethylaluminum (TMA) or silane (SiH 4 ), to flow substantially over the entire surface of the substrate 108 . The processing chamber also includes a processing fluid outlet 178 located on the opposite side of the processing chamber 100 from the processing fluid inlet 174 . Process fluid outlet 178 is coupled to vacuum pump 180 .

根據一個實施例,處理腔室100包括在基環136的側壁中形成的淨化氣體入口164。淨化氣體源162將淨化氣體供應到淨化氣體入口164。若處理腔室100包括圓形屏蔽件167,則圓形屏蔽件167設置在處理流體入口174和淨化氣體入口164之間。為了說明的目的,繪示處理流體入口174、淨化氣體入口164和處理流體出口178,且可調整流體入口和出口的位置、尺寸、數量等,以促進材料在基板108上的均勻沉積。According to one embodiment, the processing chamber 100 includes a purge gas inlet 164 formed in the sidewall of the base ring 136 . Purge gas source 162 supplies purge gas to purge gas inlet 164 . If the process chamber 100 includes a circular shield 167 , the circular shield 167 is disposed between the process fluid inlet 174 and the purge gas inlet 164 . Process fluid inlet 174 , purge gas inlet 164 , and process fluid outlet 178 are shown for illustrative purposes, and the location, size, number, etc. of the fluid inlets and outlets can be adjusted to promote uniform deposition of material on substrate 108 .

所示基板支撐件處於允許對處理腔室100中的基板進行處理的位置。可藉由致動器(未圖示)降低中心軸132、基板支撐件106或107以及臂134。複數個升舉銷105穿過基板支撐件106或107。將基板支撐件降低到處理位置下方的裝載位置,此舉允許升舉銷105接觸下圓頂114,穿過基板支撐件106中的孔,以及將基板108自基板支撐件106舉起。隨後,機器人(圖1中未圖示,但見於圖2中的機器人208)進入處理腔室100,以透過裝載端口103接合基板108及移除基板108。已經將基板108移除的機器人或另一機器人通過裝載端口103進入處理腔室,並將未處理的基板放置在基板支撐件106上。隨後藉由致動器將基板支撐件106升高到處理位置,以將未處理的基板放置在用於處理的位置。The substrate support is shown in a position that allows processing of the substrates in the processing chamber 100 . The central shaft 132, the substrate support 106 or 107 and the arm 134 can be lowered by an actuator (not shown). A plurality of lift pins 105 pass through the substrate support 106 or 107 . Lowering the substrate support to the loading position below the processing position allows the lift pins 105 to contact the lower dome 114 , pass through holes in the substrate support 106 , and lift the substrate 108 from the substrate support 106 . Subsequently, a robot (not shown in FIG. 1 , but see robot 208 in FIG. 2 ) enters the processing chamber 100 to engage and remove the substrates 108 through the load port 103 . The robot or another robot that has removed the substrate 108 enters the processing chamber through the load port 103 and places the unprocessed substrate on the substrate support 106 . The substrate support 106 is then raised to the processing position by an actuator to place the unprocessed substrate in position for processing.

根據一個實施例,處理腔室100中的基板108的處理包括以下步驟:通過裝載端口103插入基板,將基板108放置在基板支撐件106或107上,將基板支撐件106或107和基板108升高到處理位置,使用燈102加熱基板108,使處理流體173流過基板108,以及旋轉基板108。在一些情況下,基板也可在處理期間升高或降低。According to one embodiment, the processing of the substrate 108 in the processing chamber 100 includes the steps of inserting the substrate through the load port 103, placing the substrate 108 on the substrate support 106 or 107, lifting the substrate support 106 or 107 and the substrate 108 Up to the processing position, the lamp 102 is used to heat the substrate 108 , the processing fluid 173 is flowed over the substrate 108 , and the substrate 108 is rotated. In some cases, the substrate may also be raised or lowered during processing.

根據本揭示案的一些態樣,處理腔室100中的磊晶處理包括將處理腔室100內的壓力控制為低於大氣壓力。根據一個實施例,處理腔室100內的壓力減小到約10Torr至80Torr之間。根據另一實施例,處理腔室100內的壓力減小到約80Torr至300Torr之間。根據一個實施例,啟動真空泵180以在處理之前和/或在處理期間降低處理腔室100的壓力。According to some aspects of the present disclosure, epitaxial processing in processing chamber 100 includes controlling the pressure within processing chamber 100 below atmospheric pressure. According to one embodiment, the pressure within the processing chamber 100 is reduced to between about 10 Torr and 80 Torr. According to another embodiment, the pressure within the processing chamber 100 is reduced to between about 80 Torr and 300 Torr. According to one embodiment, vacuum pump 180 is activated to reduce the pressure of processing chamber 100 before and/or during processing.

處理流體173從一或更多個處理流體入口174引入處理腔室100中,並通過一或更多個處理流體出口178離開處理腔室100。處理流體173透過例如熱分解或其他反應將一或更多個材料沉積在基板108上。在將材料沉積在基板108上之後,反應形成流出物(即廢氣)166、175。流出物166、175通過處理流體出口178離開處理腔室100。Process fluid 173 is introduced into process chamber 100 from one or more process fluid inlets 174 and exits process chamber 100 through one or more process fluid outlets 178 . The processing fluid 173 deposits one or more materials on the substrate 108 by, for example, thermal decomposition or other reactions. After depositing the material on the substrate 108 , the reaction forms effluents (ie, exhaust gases) 166 , 175 . The effluents 166 , 175 exit the process chamber 100 through the process fluid outlet 178 .

當完成基板108的處理時,藉由通過淨化氣體入口164引入淨化氣體165(如,氫或氮)來清除處理腔室100的處理流體173和流出物166、175。除了淨化氣體入口164之外,或是作為淨化氣體入口164的替代,可通過處理流體入口174引入淨化氣體165。淨化氣體165通過處理流體出口178離開處理腔室。 示例性內聯式腔室計量術When processing of substrate 108 is complete, process chamber 100 is purged of process fluid 173 and effluents 166 , 175 by introducing purge gas 165 (eg, hydrogen or nitrogen) through purge gas inlet 164 . In addition to, or in place of, purge gas inlet 164 , purge gas 165 may be introduced through process fluid inlet 174 . Purge gas 165 exits the process chamber through process fluid outlet 178 . Exemplary Inline Chamber Metrology

在本揭示案的實施例中,可在處理腔室中處理基板以及檢查和/或測量基板,而無須破壞處理腔室的真空。在一個實施例中,裝載閘腔室經由閥而與處理腔室連接。裝載閘具有機械臂,該機械臂具有封裝探針,該封裝探針具有可用於檢查和/或測量基板的一或更多個儀器。可從處理腔室移除基板並將基板移動通過閥到裝載閘中,該裝載閘中的一或更多個儀器檢查和/或測量基板。裝載閘內的壓力保持在或降低到與處理腔室的壓力相似的程度,賦能在不破壞處理腔室的真空的情況下測量和檢查基板。隨後可將基板返回到處理腔室以進行額外的處理,其中基於在裝載閘中發生的測量和檢查來確定額外處理的參數(例如,溫度或氣體流速)。In embodiments of the present disclosure, substrates can be processed and inspected and/or measured in a processing chamber without breaking the vacuum of the processing chamber. In one embodiment, the load lock chamber is connected to the processing chamber via a valve. The load gate has a robotic arm with a packaging probe with one or more instruments that can be used to inspect and/or measure the substrate. The substrate can be removed from the processing chamber and moved through the valve into a load gate where one or more instruments inspect and/or measure the substrate. The pressure within the load lock is maintained at or reduced to a level similar to that of the processing chamber, enabling measurement and inspection of substrates without breaking the vacuum of the processing chamber. The substrate can then be returned to the processing chamber for additional processing, wherein the parameters of the additional processing (eg, temperature or gas flow rate) are determined based on measurements and inspections that take place in the load lock.

根據本揭示案的態樣之可與裝載閘一起使用的測量和檢查技術包括共聚焦螢光顯微鏡和成像;紅外、紫外和可見光輻射的反射,其包括橢圓偏振技術;拉曼散射;針尖增強拉曼散射(TERS);表面電漿偏極子增強拉曼散射;二次諧波;和頻譜;原子力顯微鏡(AFM);掃描隧道顯微鏡(STM);兆赫波或毫米波掃描;和X射線螢光(XRF)。Measurement and inspection techniques that may be used with loading gates according to aspects of the present disclosure include confocal fluorescence microscopy and imaging; reflection of infrared, ultraviolet and visible radiation, including ellipsometry techniques; Raman scattering; Mann scattering (TERS); surface plasmon polarizer-enhanced Raman scattering; second harmonic; and spectrum; atomic force microscopy (AFM); scanning tunneling microscopy (STM); megahertz or millimeter wave scanning; XRF).

在另一個實施例中,複數個觀察口佈置在處理腔室上。雷射、X射線發射器和/或其他電磁輻射發射器可通過第一觀察口照射處理腔室中的基板,且從基板散射的(如反射或折射的)輻射可通過第二觀察口離開處理腔室並由處理腔室外的儀器所偵測、收集和/或測量。當基板在處理腔室內時,可檢查和/或測量基板,而不破壞處理腔室的真空。In another embodiment, a plurality of viewing ports are arranged on the processing chamber. Lasers, X-ray emitters, and/or other electromagnetic radiation emitters may illuminate the substrate in the processing chamber through a first viewing port, and radiation scattered (eg, reflected or refracted) from the substrate may exit the process through a second viewing port chamber and detected, collected and/or measured by instruments outside the processing chamber. While the substrate is in the processing chamber, the substrate can be inspected and/or measured without breaking the vacuum of the processing chamber.

根據本揭示案的態樣之可與處理腔室上佈置的觀察口一起使用的測量和檢查技術包括:共聚焦螢光顯微鏡和成像;紅外、紫外和可見光輻射的反射,其包括橢圓偏振技術;拉曼散射;二次諧波;和頻譜;兆赫波或毫米波掃描;和X射線螢光(XRF)。Measurement and inspection techniques that may be used with viewing ports disposed on processing chambers according to aspects of the present disclosure include: confocal fluorescence microscopy and imaging; reflection of infrared, ultraviolet, and visible radiation, including ellipsometry; Raman Scattering; Second Harmonic; and Spectrum; Megahertz or Millimeter Wave Scanning; and X-ray Fluorescence (XRF).

圖2是圖示根據本揭示案的一個實施例的說明性處理系統200的俯視圖。處理系統200包括裝載閘腔室204、移送腔室206、移送腔室206內的搬運(例如,工具和材料搬運或基板搬運)機器人208、第一CVD處理腔室210、第二CVD處理腔室212、控制站214、ALD處理腔室216和遮罩腔室218。第一CVD處理腔室210、第二CVD處理腔室212、ALD處理腔室216和每個腔室的相關硬體優選地由一或更多個製程兼容材料形成,如鋁、陽極化的氧化鋁、鍍鎳的鋁、不銹鋼及以上各者的組合及其合金。第一CVD處理腔室210、第二CVD處理腔室212與ALD處理腔室216可以是圓形、矩形或如待塗覆的基板的形狀和其他處理要求所需要的其他形狀。FIG. 2 is a top view illustrating an illustrative processing system 200 in accordance with one embodiment of the present disclosure. The processing system 200 includes a load lock chamber 204, a transfer chamber 206, a handling (eg, tool and material handling or substrate handling) robot 208 within the transfer chamber 206, a first CVD processing chamber 210, a second CVD processing chamber 212 , control station 214 , ALD processing chamber 216 and mask chamber 218 . The first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the associated hardware of each chamber are preferably formed from one or more process compatible materials, such as aluminum, anodized oxide Aluminum, nickel-plated aluminum, stainless steel, and combinations of the above and alloys thereof. The first CVD processing chamber 210, the second CVD processing chamber 212, and the ALD processing chamber 216 may be circular, rectangular, or other shapes as required by the shape of the substrate to be coated and other processing requirements.

移送腔室206包括狹縫閥開口221、223、225、227、229,狹縫閥開口221、223、225、227、229在與裝載閘腔室204、第一CVD處理腔室210、第二CVD處理腔室212、ALD處理腔室216及遮罩腔室218相鄰的側壁中。搬運機器人208經定位和配置而能夠通過每個狹縫閥開口221、223、225、227、229插入基板搬運葉片209和/或一或更多個其他工具並進入相鄰的腔室。亦即,搬運機器人可以經由狹縫閥開口221、223、225、227、229將工具插入裝載閘腔室204、第一CVD處理腔室210、第二CVD處理腔室212、ALD處理腔室216和遮罩腔室218中,該等狹縫閥開口221、223、225、227、229在移送腔室206的與其他各腔室相鄰的壁中。根據本揭示案的態樣,基板搬運葉片(在本說明書中也稱為「葉片(blade)」)可配備有基板監控設備。下面參考圖7描述此種葉片的實例。用狹縫閥220、222、224、226、228選擇性地打開和關閉狹縫閥開口221、223、225、227、229,以當基板、工具或其他物品待插入或待從相鄰腔室之一移除時,允許進出相鄰腔室的內部。The transfer chamber 206 includes slit valve openings 221, 223, 225, 227, 229 which are in contact with the load gate chamber 204, the first CVD processing chamber 210, the second CVD processing chamber 212 , ALD processing chamber 216 and mask chamber 218 are in adjacent sidewalls. The handling robot 208 is positioned and configured to insert the substrate handling blade 209 and/or one or more other tools through each slit valve opening 221, 223, 225, 227, 229 and into the adjacent chamber. That is, the handling robot can insert tools into the load lock chamber 204 , the first CVD process chamber 210 , the second CVD process chamber 212 , the ALD process chamber 216 via the slit valve openings 221 , 223 , 225 , 227 , 229 In and mask chamber 218, the slit valve openings 221, 223, 225, 227, 229 are in the walls of transfer chamber 206 adjacent to the other chambers. According to aspects of the present disclosure, a substrate handling blade (also referred to as a "blade" in this specification) may be equipped with a substrate monitoring device. An example of such a blade is described below with reference to FIG. 7 . Slit valve openings 221, 223, 225, 227, 229 are selectively opened and closed with slit valves 220, 222, 224, 226, 228 for when a substrate, tool or other item is to be inserted or to be removed from an adjacent chamber When one is removed, access to the interior of the adjacent chamber is permitted.

移送腔室206、裝載閘腔室204、第一CVD處理腔室210、第二CVD處理腔室212、ALD處理腔室216和遮罩腔室218包括與真空系統(如真空泵)流體連通的一或更多個孔(未圖示)。孔為各個腔室內的氣體提供排出口。在一些實施例中,腔室各自連接到分開且獨立的真空系統。在其他實施例中,該等腔室的部分共用一個真空系統,而其他腔室具有分開且獨立的真空系統。真空系統可包括真空泵(未圖示)和節流閥(未圖示),以調節通過各個腔室的氣流。Transfer chamber 206, load lock chamber 204, first CVD processing chamber 210, second CVD processing chamber 212, ALD processing chamber 216, and mask chamber 218 include a vacuum system in fluid communication with a vacuum system such as a vacuum pump. or more holes (not shown). The holes provide vents for the gases in the various chambers. In some embodiments, the chambers are each connected to separate and independent vacuum systems. In other embodiments, portions of the chambers share one vacuum system, while other chambers have separate and independent vacuum systems. The vacuum system may include a vacuum pump (not shown) and a throttle valve (not shown) to regulate airflow through the various chambers.

根據本揭示案的態樣,第一CVD處理腔室210可經由閥215與裝載閘211連接。裝載閘211可具有機械臂,該機械臂具有封裝探針,該封裝探針具有可用於檢查和/或測量基板的一或更多個儀器(見圖3)。可從第一CMD處理腔室移除基板並將基板通過閥215到裝載閘211中,其中一或更多個儀器檢查和/或測量基板。儀器可包括以下中的一或更多個:共聚焦螢光顯微鏡或成像系統;一或更多個紅外、紫外和/或可見光雷射器;一或更多個電荷耦合元件(CCD)偵測器;一或更多個汞碲化鎘(MCT)偵測器;一或更多個砷化銦鎵(InGaAs)偵測器;用於針尖增強拉曼散射之具有尖端的機械探針;原子力顯微鏡探針;掃描隧道顯微鏡探針;兆赫波或毫米波收發器天線;及X射線發射器和偵測器。參考下面的圖3更詳細地描述機械臂、封裝探針和儀器。裝載閘211內的壓力可降低到或保持在與第一CVD處理腔室210的壓力相似的程度,賦能在不破壞第一CVD處理腔室210的真空的情況下測量和檢查基板。According to aspects of the present disclosure, the first CVD processing chamber 210 may be connected to the load gate 211 via the valve 215 . The load gate 211 may have a robotic arm with a packaging probe with one or more instruments (see FIG. 3 ) that may be used to inspect and/or measure the substrate. The substrate can be removed from the first CMD processing chamber and passed through valve 215 into load gate 211, where one or more instruments inspect and/or measure the substrate. Apparatus may include one or more of the following: confocal fluorescence microscope or imaging system; one or more infrared, ultraviolet and/or visible lasers; one or more charge coupled device (CCD) detection one or more mercury cadmium telluride (MCT) detectors; one or more indium gallium arsenide (InGaAs) detectors; tipped mechanical probes for tip-enhanced Raman scattering; atomic force Microscope probes; scanning tunneling microscope probes; megahertz-wave or millimeter-wave transceiver antennas; and X-ray emitters and detectors. The robotic arm, packaged probe, and instrument are described in more detail with reference to Figure 3 below. The pressure within the load gate 211 can be reduced or maintained to a similar level to that of the first CVD processing chamber 210, enabling measurement and inspection of substrates without breaking the vacuum of the first CVD processing chamber 210.

類似地,第二CVD處理腔室212可經由閥218與裝載閘213連接,以及ALD處理腔室216可經由閥219與裝載閘217連接。裝載閘213和217中的各者可具有機械臂,該機械臂具有封裝探針,該封裝探針具有可用於檢查和/或測量基板的一或更多個儀器(參見圖3)。如上所述,可從第二CVD處理腔室212移除基板以及使基板通過閥218進入裝載閘213中而不會破壞第二CVD處理腔室212的真空。亦如上所述,可從ALD處理腔室216移除基板以及使基板通過閥219進入裝載閘217中而不會破壞ALD處理腔室216的真空。一旦在裝載閘213或217內,探針的儀器即可測量和/或檢查基板,而不會破壞第二CVD處理腔室212或ALD處理腔室216的真空。Similarly, the second CVD process chamber 212 may be connected to the load gate 213 via valve 218 and the ALD process chamber 216 may be connected to the load gate 217 via valve 219 . Each of the load gates 213 and 217 may have a robotic arm with a packaging probe with one or more instruments (see FIG. 3 ) that may be used to inspect and/or measure the substrate. As described above, the substrate can be removed from the second CVD processing chamber 212 and passed through the valve 218 into the load gate 213 without breaking the vacuum of the second CVD processing chamber 212 . As also described above, substrates can be removed from the ALD processing chamber 216 and passed through the valve 219 into the load gate 217 without breaking the vacuum of the ALD processing chamber 216 . Once within the load lock 213 or 217, the probe's instrumentation can measure and/or inspect the substrate without breaking the vacuum of the second CVD process chamber 212 or ALD process chamber 216.

圖3繪示根據本揭示案的態樣的示例性裝載閘300的示意性等距視圖。裝載閘300可以是裝載閘211、213和217的實例,如圖2所示。具有封裝探針304的機械臂302可經由裝載閘端口308使基板306進出。基板306可靜置在裝載閘內的基板支撐件310(如基板支撐葉片或基座)上。探針可包括光纖或金屬纜線,用於將來自雷射源或其他發射器的電磁輻射(如紅外線、紫外線、可見光雷射、毫米波或X射線)傳輸到基板。或者或甚者,探針可包括一或更多個雷射源、兆赫波或毫米波收發器天線及X射線發射器。探針亦可包括一或更多個電荷耦合元件(CCD)偵測器、汞碲化鎘(MCT)偵測器、砷化銦鎵(InGaAs)偵測器、用於針尖增強拉曼散射之具有尖端的機械探針、原子力顯微鏡探針、掃描隧道顯微鏡探針、X射線偵測器和/或用於測量和/或檢查基板之其他類型的儀器。裝載閘300亦可包括一或更多個渦輪真空端口,其用於將氣體(例如,可從處理腔室進入裝載閘的處理流體)從裝載閘300排出。3 depicts a schematic isometric view of an exemplary loading gate 300 according to aspects of the present disclosure. Loading gate 300 may be an example of loading gates 211 , 213 and 217 , as shown in FIG. 2 . The robot arm 302 with the packaging probes 304 can access the substrate 306 via the load gate port 308 . The substrates 306 may rest on substrate supports 310 (eg, substrate support blades or pedestals) within the load gate. Probes may include optical fibers or metal cables for transmitting electromagnetic radiation (such as infrared, ultraviolet, visible laser, millimeter wave, or X-rays) from a laser source or other emitter to the substrate. Alternatively or in addition, the probe may include one or more laser sources, megahertz or millimeter wave transceiver antennas, and X-ray emitters. The probe may also include one or more charge coupled device (CCD) detectors, mercury cadmium telluride (MCT) detectors, indium gallium arsenide (InGaAs) detectors, a detector for tip-enhanced Raman scattering Mechanical probes with tips, atomic force microscope probes, scanning tunneling microscope probes, X-ray detectors and/or other types of instruments for measuring and/or inspecting substrates. The load lock 300 may also include one or more turbine vacuum ports for exhausting gases (eg, process fluids that may enter the load lock from the process chamber) from the load lock 300 .

因為機械臂302能夠使探針304與基板緊密接近,所以近場和遠場檢查技術都適合在裝載閘300內實施。Because the robotic arm 302 can bring the probes 304 in close proximity to the substrate, both near-field and far-field inspection techniques are suitable for implementation within the load gate 300 .

根據本揭示案的態樣,探針304可被封裝在材料(如石英)中,當該材料暴露於真空時經歷有限的釋氣,以防止來自探針的材料(如光纖束)的釋氣污染基板。需要緊密接觸或接觸基板的儀器(如用於針尖增強拉曼散射的機械探針尖端、原子力顯微鏡或掃描隧道顯微鏡)不可被封裝在當暴露於真空經歷有限釋氣的材料中。相反地,需要緊密接觸或接觸基板的儀器可由當暴露於真空時經歷有限釋氣的材料(如鋼)構成。According to aspects of the present disclosure, the probe 304 may be encapsulated in a material (eg, quartz) that experiences limited outgassing when exposed to a vacuum to prevent outgassing from the probe's material (eg, a fiber optic bundle) contaminate the substrate. Instruments that require intimate contact or contact with the substrate (such as mechanical probe tips for tip-enhanced Raman scattering, atomic force microscopy, or scanning tunneling microscopy) cannot be encapsulated in materials that experience limited outgassing when exposed to vacuum. Conversely, instruments that require intimate contact or contact with the substrate may be constructed of materials such as steel that experience limited outgassing when exposed to vacuum.

圖4繪示根據本揭示案的態樣的具有複數個觀察口402和404的處理腔室400(如ALD腔室)的示意性等距視圖。觀察口可由石英或對電磁輻射424和426(例如,紅外光、紫外光、可見光、X射線和/或毫米波輻射)半透明的其他材料製成。第一觀察口402可經定位以允許藉由以大的掠射角(即,從垂直於基板的上表面測量的角度)發生電磁輻射來照射基板406。第二觀察口404可經定位以允許偵測器430以與該大的掠射角相似的角度接收和/或偵測從基板散射的電磁輻射432。處理腔室400可代表圖1A和1B中所示的處理腔室100。處理腔室可經由一或更多個處理流體入口474與處理流體供應472連接,且處理腔室可包括連接到真空泵480的處理流體出口478。基板406可靜置在裝載閘內的基板支撐件410(如基板支撐葉片或基座)上。若需要在處理腔室實施操作,可加熱基板支撐件410。4 illustrates a schematic isometric view of a processing chamber 400 (eg, an ALD chamber) having a plurality of viewing ports 402 and 404 in accordance with aspects of the present disclosure. The viewing port may be made of quartz or other materials that are translucent to electromagnetic radiation 424 and 426 (eg, infrared, ultraviolet, visible, X-ray, and/or millimeter wave radiation). The first viewing port 402 can be positioned to allow the substrate 406 to be illuminated by electromagnetic radiation occurring at a large glancing angle (ie, an angle measured normal to the upper surface of the substrate). The second viewing port 404 can be positioned to allow the detector 430 to receive and/or detect electromagnetic radiation 432 scattered from the substrate at an angle similar to the large glancing angle. Process chamber 400 may be representative of process chamber 100 shown in FIGS. 1A and 1B . The processing chamber may be connected to a processing fluid supply 472 via one or more processing fluid inlets 474 , and the processing chamber may include a processing fluid outlet 478 connected to a vacuum pump 480 . The substrates 406 may rest on substrate supports 410 (eg, substrate support blades or pedestals) within the load gate. The substrate support 410 may be heated if necessary to perform operations in the processing chamber.

一或更多個雷射(例如,紅外光、紫外光、可見光譜或X射線雷射)420、422或電磁輻射束424、426的其他發射器可通過觀察端口402照射基板406。如圖所示,雷射可包括具有800奈米(nm)波長的飛秒皮秒(fs-ps)脈衝可見光雷射以及具有1-4微米(μm)的範圍內波長的fs-ps脈衝中紅外光(mid-IR)雷射,但是本揭示案不限於此,且可使用其他波長的發射器。雷射或其他發射器可安裝於裝載閘,使得發射器所發射的電磁輻射以一致的角度照射基板。雷射和其他發射器的安裝件可與一或更多個致動器(未圖示)一起移動,以在測量和檢查基板期間以受控的、可再現的方式使輻射在基板的表面上光柵掃描(raster)。可用致動器(未圖示)移動一或更多個鏡442A和442B、半波板444A和444B、偏振器446A和446B及透鏡(如聚焦透鏡)448A和448B,以使輻射在基板的表面上光柵掃描。或者或甚者,來自發射器的電磁輻射可由光纖纜線或其他導管引導,其中纜線和/或導管由致動器移動以使輻射在基板的表面上光柵掃描。One or more lasers (eg, infrared, ultraviolet, visible spectrum, or X-ray lasers) 420 , 422 or other emitters of electromagnetic radiation beams 424 , 426 may illuminate substrate 406 through viewing port 402 . As shown, the lasers may include femtosecond picosecond (fs-ps) pulsed visible light lasers with wavelengths of 800 nanometers (nm) and fs-ps pulses with wavelengths in the range of 1-4 micrometers (μm). Infrared light (mid-IR) laser, but the present disclosure is not so limited and other wavelengths of emitters may be used. A laser or other emitter can be mounted to the load gate so that the electromagnetic radiation emitted by the emitter strikes the substrate at a consistent angle. Mounts of lasers and other emitters can be moved with one or more actuators (not shown) to cause radiation on the surface of the substrate in a controlled, reproducible manner during measurement and inspection of the substrate Raster scan (raster). One or more mirrors 442A and 442B, half-wave plates 444A and 444B, polarizers 446A and 446B, and lenses (eg, focusing lenses) 448A and 448B may be moved by actuators (not shown) to direct radiation at the surface of the substrate raster scan. Alternatively or even further, the electromagnetic radiation from the transmitter may be directed by fiber optic cables or other conduits, where the cables and/or conduits are moved by actuators to raster the radiation across the surface of the substrate.

由於基板的照射而從基板散射(如反射或折射)的電磁輻射432可經由觀察口404離開處理腔室400。一或更多個孔450、準直器452、偏振器454、鏡456、濾波器458和透鏡460可將電磁輻射432引導到一或更多個電荷耦合元件(CCD)偵測器430、碲化汞鎘(MCT)偵測器、砷化銦鎵(InGaAs)偵測器、光譜儀以及用於測量和/或檢查基板的其他類型的儀器。CCD偵測器、MCT偵測器、InGaAs偵測器、光譜儀和其他儀器可偵測和/或測量離開觀察口404的電磁輻射432,以確定關於基板的測量和其他資料。偵測器或其他儀器可安裝於裝載閘,使得以一致的角度測量或偵測從基板散射的電磁輻射。偵測器和其他儀器的安裝件可與一或更多個致動器(未圖示)一起移動,以使偵測器和其他儀器接收從基板散射的輻射,以響應在基板的測量和檢查期間正在基板上光閘掃描的發射器。或者或甚者,孔450、準直器452、偏振器454、鏡456、濾波器458和透鏡460可經由致動器移動以將電磁輻射432引導到偵測器和/或儀器。Electromagnetic radiation 432 that is scattered (eg, reflected or refracted) from the substrate due to illumination by the substrate may exit the processing chamber 400 via the viewing port 404 . One or more apertures 450, collimators 452, polarizers 454, mirrors 456, filters 458, and lenses 460 may direct electromagnetic radiation 432 to one or more charge coupled element (CCD) detectors 430, tellurium Mercury Cadmium (MCT) detectors, Indium Gallium Arsenide (InGaAs) detectors, spectrometers, and other types of instruments for measuring and/or inspecting substrates. CCD detectors, MCT detectors, InGaAs detectors, spectrometers, and other instruments may detect and/or measure electromagnetic radiation 432 exiting viewing port 404 to determine measurements and other information about the substrate. A detector or other instrument can be mounted to the load gate so that electromagnetic radiation scattered from the substrate is measured or detected at a consistent angle. Mounts for detectors and other instruments can be moved with one or more actuators (not shown) so that the detectors and other instruments receive radiation scattered from the substrate in response to measurements and inspections at the substrate The emitter during shutter scanning on the substrate. Alternatively or even further, aperture 450, collimator 452, polarizer 454, mirror 456, filter 458, and lens 460 may be moved via actuators to direct electromagnetic radiation 432 to detectors and/or instruments.

基板支撐件410可在處理腔室內移動,作為測量和檢查基板的一部分。例如,基板支撐件410可在處理腔室400內移動基板,使得經由觀察口402進入的一或更多個光束424、426在基板的表面上掃描(如,光柵掃描)。或者或甚者,掃描電流鏡可用於掃描來自發射器的遍佈整個基板的表面的光束。電流鏡可放置在處理腔室400內或位於處理腔室400的外部。The substrate support 410 is movable within the processing chamber as part of measuring and inspecting the substrate. For example, the substrate support 410 may move the substrate within the processing chamber 400 such that the one or more beams 424, 426 entering via the viewing port 402 scan (eg, raster scan) the surface of the substrate. Alternatively or even further, a scanning galvano mirror can be used to scan the beam from the emitter over the entire surface of the substrate. The current mirror may be placed within the processing chamber 400 or external to the processing chamber 400 .

儘管圖4中所示的實施例顯示掃描基板406的上表面的光束,但是本揭示案不限於此。根據本揭示案的態樣,基板支撐件410可具有切除部分或者對於光束是半透明的(例如,稜鏡),且觀察口402和404可經佈置以允許光束掃描基板的下表面。Although the embodiment shown in FIG. 4 shows the light beam scanning the upper surface of the substrate 406, the present disclosure is not so limited. According to aspects of the present disclosure, substrate support 410 may have a cut-out portion or be translucent to the light beam (eg, iris), and viewing ports 402 and 404 may be arranged to allow the light beam to scan the lower surface of the substrate.

根據本揭示案的態樣,二次諧波產生(SHG)及和頻產生(SFG)光譜可用於監控經處理過的表面,如經由ALD、CVD、PECVD、PVD、介電質沉積、聚合物沉積和SRP的表面。SFG光譜探測材料的二階分子超極化率,其指示非中心對稱介質中的哪些模式是有活性的(active)。SFG和SHG是二階非線性光學流程,其中2個入射光子在介質表面或介面處在空間和時間上重疊時彼此相互作用且與表面相互作用以產生1個光子,其頻率為2個入射光子的頻率之和。當兩個入射光子來自相同的源(且因此頻率相同)時,所得到的流程稱為二次諧波產生(SHG)。當兩個入射光子具有不同的頻率時,所得到的光學流程稱為和頻產生(SFG)。該等二階光學流程遵循光子能量和動量的守恆。光子動量的守恆使得該等流程具有高度的方向性,且因此SFG或SHG光子可以與入射光子或來自其他非線性光學流程的其他光子在空間上分離。SFG和SHG也是高度表面敏感的流程,因為二階超極化率僅在非中心對稱介質中有活性,例如在介面、表面處或甚至不具有對稱中心的分子中(參見例如Nature 337(6207): pp. 519-525, 1989)。例如,SFG光譜可用於藉由測量與鉑-氫鍵相關的特定波數的強度來監控鉑上的氫(H2 )原子層沉積,如下面參考圖5所示。藉由測量與AlOx 鍵相關的特定波數的強度,SFG光譜還可用於監控矽基板上氧化鋁/氧化矽(AlOx /SiOx )的原子層沉積(例如,參見可在https://doi.org/10.1116.4993597取得的由E. Kessels等人所發表的Journal of Vacuum Science & Technology A 35, 05C313 (2017))。According to aspects of the present disclosure, second harmonic generation (SHG) and sum frequency generation (SFG) spectroscopy can be used to monitor treated surfaces, such as via ALD, CVD, PECVD, PVD, dielectric deposition, polymer Surface of deposition and SRP. SFG spectroscopy probes the material's second-order molecular hyperpolarizability, which indicates which modes are active in a non-centrosymmetric medium. SFG and SHG are second-order nonlinear optical processes in which 2 incident photons interact with each other and with the surface when overlapping spatially and temporally at a medium surface or interface to produce 1 photon with a frequency of the 2 incident photons sum of frequencies. When two incident photons come from the same source (and therefore the same frequency), the resulting process is called second harmonic generation (SHG). When two incident photons have different frequencies, the resulting optical process is called sum-frequency generation (SFG). These second-order optical processes obey the conservation of photon energy and momentum. The conservation of photon momentum makes these processes highly directional, and thus SFG or SHG photons can be spatially separated from incident photons or other photons from other nonlinear optical processes. SFG and SHG are also highly surface-sensitive processes, since second-order hyperpolarizability is only active in non-centrosymmetric media, such as at interfaces, surfaces, or even in molecules that do not possess a center of symmetry (see, e.g., Nature 337(6207): pp. 519-525, 1989). For example, SFG spectroscopy can be used to monitor hydrogen (H 2 ) atomic layer deposition on platinum by measuring the intensity of specific wavenumbers associated with platinum-hydrogen bonding, as shown below with reference to FIG. 5 . SFG spectroscopy can also be used to monitor the atomic layer deposition of aluminum oxide/silicon oxide (AlO x /SiO x ) on silicon substrates by measuring the intensity of specific wavenumbers associated with AlO x bonds (see, for example, available at https:// Journal of Vacuum Science & Technology A 35, 05C313 (2017) by E. Kessels et al. at doi.org/10.1116.4993597).

圖5是曲線圖500,其繪示在ALD製程中監控鉑上的氫原子層沉積,其中鉑暴露於不同的氫氣流速且對鉑的表面進行和頻產生測量。曲線510表示在鉑暴露於最高流速的氫之後針對一組波數(以cm-1 為單位測量)的一組SFG強度(以s-1 為單位測量)。在將鉑暴露於最高流速的氫之後,SFG光譜顯示2020cm-1 波數的相對高強度(即,大於1.1),如點512所示。在將鉑暴露於較低流速的氫之後,SFG光譜顯示2020cm-1 波數的較低強度(即,約0.95),如點514所示。在鉑暴露於連續降低流速的第三、第四、第五和第六次氫之後,SFG光譜顯示2020cm-1 波數的甚至更低的強度(即,小於0.90),如點516、518和520所示。在將鉑暴露於最低流速的氫之後,SFG光譜顯示2020cm-1 波數的最低強度(即0.38),如點522所示。FIG. 5 is a graph 500 depicting monitoring of atomic layer deposition of hydrogen on platinum during an ALD process where the platinum was exposed to different hydrogen gas flow rates and sum-frequency generation measurements were made on the surface of the platinum. Curve 510 represents a set of SFG intensities (measured in s −1 ) for a set of wavenumbers (measured in cm −1 ) after exposure of platinum to the highest flow rate of hydrogen. After exposing platinum to hydrogen at the highest flow rate, the SFG spectrum shows a relatively high intensity (ie, greater than 1.1) at the 2020 cm −1 wavenumber, as indicated by point 512 . After exposing platinum to hydrogen at a lower flow rate, the SFG spectrum shows a lower intensity (ie, about 0.95) at the 2020 cm −1 wavenumber, as indicated by point 514 . After platinum exposure to the third, fourth, fifth, and sixth successively decreasing flow rates of hydrogen, the SFG spectrum shows an even lower intensity (ie, less than 0.90) at the 2020 cm-1 wavenumber, as points 516, 518 and 520 shown. After exposing platinum to hydrogen at the lowest flow rate, the SFG spectrum shows the lowest intensity (ie, 0.38) at the 2020 cm-1 wavenumber, as indicated by point 522.

根據本揭示案的態樣,SFG光譜技術非常針對表面和介面,因此來自SFG光譜的資料分析通常不需要從測量信號中減去背景訊號。According to aspects of the present disclosure, SFG spectroscopy techniques are very specific to surfaces and interfaces, so data analysis from SFG spectroscopy generally does not require background signal subtraction from the measurement signal.

圖6是根據本揭示案的態樣的示例性SFG光譜系統600的示意圖,該示例性SFG光譜系統600經配置(例如,參見ACS Catalysis, 2014, 4 (6), pp. 1964-1971)在ALD處理期間監控基板670(如鉑)。在示例性ALD處理腔室680中,氫流入在位置682處的腔室內的基板上方,其催化氫離解並在基板上形成一層。質譜儀(MS)監控離開腔室的氣體以收集沉積在基板上的氫氣量的資料。加熱桿684和活塞686控制ALD腔室中的溫度和壓力。在示例性SFG光譜系統中,可調諧雷射系統(即,一或更多個電磁輻射發射器)602產生具有紅外光範圍(即,1至9微米,如4至7微米、或5至6微米)內的波長之第一雷射光脈衝604以及具有可見光範圍(即,520至900奈米,如600至900奈米、750至850奈米、或800奈米)內的波長之第二雷射光脈衝606。隨後,第一雷射光脈衝通過各個濾波器608,濾波器608將第一脈衝的頻率微調到所需頻率。隨後,第一脈衝由透鏡610瞄準,以進入第一觀察口652至處理腔室中。第二脈衝通過濾波器616以微調第二脈衝的頻率。透鏡620通過第一觀察口652將第二脈衝瞄準到處理腔室中。第一脈衝和第二脈衝也可經由稜鏡612瞄準以照射基板670。當第一脈衝和第二脈衝照射基板時,第一脈衝和第二脈衝相互作用,產生二次諧波脈衝630。二次諧波脈衝可經由稜鏡612瞄準,以經由第二觀察口654離開處理腔室。透鏡640和濾波器642可瞄準二次諧波脈衝並濾除第一脈衝和第二脈衝的反射,使得光電倍增管(PMT)632可以收集二次諧波脈衝。PMT將關於二次諧波脈衝的資訊提供給箱車型(boxcar)積分器634。最後,箱車型積分器向電腦636提供訊號以用於解譯(interpretation)。6 is a schematic diagram of an exemplary SFG spectroscopic system 600 configured (eg, see ACS Catalysis, 2014, 4(6), pp. 1964-1971) in accordance with aspects of the present disclosure. The substrate 670 (eg, platinum) is monitored during the ALD process. In the exemplary ALD processing chamber 680, hydrogen flows over the substrate within the chamber at location 682, which catalyzes the dissociation of hydrogen and forms a layer on the substrate. A mass spectrometer (MS) monitors the gas leaving the chamber to collect information on the amount of hydrogen deposited on the substrate. Heated rod 684 and piston 686 control the temperature and pressure in the ALD chamber. In an exemplary SFG spectroscopy system, a tunable laser system (ie, one or more electromagnetic radiation emitters) 602 produces light having an infrared range (ie, 1 to 9 microns, such as 4 to 7 microns, or 5 to 6 microns) A first laser light pulse 604 having a wavelength in the visible range (ie, 520 to 900 nanometers, such as 600 to 900 nanometers, 750 to 850 nanometers, or 800 nanometers) A light pulse 606 is emitted. Subsequently, the first laser light pulse passes through each filter 608, which fine-tunes the frequency of the first pulse to the desired frequency. Subsequently, the first pulse is aimed by the lens 610 to enter the first viewing port 652 into the processing chamber. The second pulse is passed through filter 616 to fine-tune the frequency of the second pulse. The lens 620 targets the second pulse through the first viewing port 652 into the processing chamber. The first pulse and the second pulse can also be aimed via the lens 612 to irradiate the substrate 670 . When the first and second pulses irradiate the substrate, the first and second pulses interact to produce second harmonic pulses 630 . The second harmonic pulse can be aimed via the lens 612 to exit the processing chamber via the second viewing port 654. Lens 640 and filter 642 can target the second harmonic pulse and filter out reflections of the first and second pulses so that a photomultiplier tube (PMT) 632 can collect the second harmonic pulse. The PMT provides information about the second harmonic pulse to the boxcar integrator 634 . Finally, the box car integrator provides signals to computer 636 for interpretation.

根據本揭示案的態樣,第一觀察口652和第二觀察口654可以由氟化鎂(MgF2 )或氟化鈣(CaF2 )形成,因為該等材料允許具有紅外光範圍內的波長之第一脈衝和具有可見光範圍內的波長之第二脈衝兩者皆通過。According to aspects of the present disclosure, the first viewing port 652 and the second viewing port 654 may be formed of magnesium fluoride (MgF 2 ) or calcium fluoride (CaF 2 ), as these materials allow wavelengths in the infrared range Both the first pulse and the second pulse with wavelengths in the visible range pass.

圖7是根據本揭示案的態樣的示例性基板搬運葉片700的示意圖。示例性基板搬運葉片可包括基板支撐葉片702和儀器支撐臂704。儀器支撐臂可支撐雷射源706(如一或更多個電磁輻射發射器、雷射器或其他雷射光源,例如傳輸來自遠端雷射器的雷射光的光纖纜線)和光譜儀708。如圖6所示,雷射源可輸送具有不同波長的兩個雷射光脈衝710、712。如圖6所示,雷射源可包括一或更多個鏡、濾波器、標準量具和透鏡,以將雷射光脈衝瞄準基板搬運葉片上的基板。光譜儀還可包括一或更多個光圈、濾波器、透鏡和偏振器,以阻擋第一脈衝和第二脈衝的反射720和722,並將二次諧波脈衝724瞄準光譜儀內的偵測器。7 is a schematic diagram of an exemplary substrate handling blade 700 according to an aspect of the present disclosure. Exemplary substrate handling blades may include substrate support blades 702 and instrument support arms 704 . The instrument support arm may support the laser source 706 (eg, one or more electromagnetic radiation emitters, lasers, or other laser light sources, such as fiber optic cables that transmit laser light from a remote laser) and the spectrometer 708 . As shown in Figure 6, the laser source may deliver two laser light pulses 710, 712 having different wavelengths. As shown in Figure 6, the laser source may include one or more mirrors, filters, gauges, and lenses to target laser light pulses at the substrate on the substrate handling blade. The spectrometer may also include one or more apertures, filters, lenses, and polarizers to block reflections 720 and 722 of the first and second pulses and to aim the second harmonic pulse 724 at a detector within the spectrometer.

根據本揭示案的態樣,儀器支撐臂704和基板搬運葉片702可一起移動到處理腔室(例如,如圖1所示的處理腔室100)中。或者或甚者,當基板搬運葉片進入處理腔室時,儀器支撐臂可獨立於基板搬運葉片移動(如,旋轉遠離(rotated away))。According to aspects of the present disclosure, the instrument support arm 704 and the substrate handling blade 702 may be moved together into a processing chamber (eg, the processing chamber 100 shown in FIG. 1 ). Alternatively or even further, the instrument support arm may be moved (eg, rotated away) independently of the substrate handling blade as the substrate handling blade enters the processing chamber.

在本揭示案的態樣中,儀器支撐臂704上的儀器(如雷射源706和/或光譜儀708)可在基板搬運葉片在移送腔室(如圖2所示的移送腔室206)中時實施由基板搬運葉片支撐的基板的監控,以允許在不破壞處理系統中的真空情況下監控和/或檢查基板。In aspects of the present disclosure, instruments (eg, laser source 706 and/or spectrometer 708 ) on instrument support arm 704 may be in a transfer chamber (eg, transfer chamber 206 shown in FIG. 2 ) while the substrate handling blade is in the transfer chamber Monitoring of the substrates supported by the substrate handling blades is implemented to allow monitoring and/or inspection of the substrates without breaking the vacuum in the processing system.

根據本揭示案的態樣,光譜儀可以是互補金屬氧化物半導體(CMOS)光譜儀或基於光子晶體光纖(PCF)的光譜儀。According to aspects of the present disclosure, the spectrometer may be a complementary metal oxide semiconductor (CMOS) spectrometer or a photonic crystal fiber (PCF) based spectrometer.

為了更好地理解前述論述,提供了上述非限制性實例。儘管該等實例可針對特定實施例,但是該等實例不應視為將本揭示案限制在任何特定態樣中。The above non-limiting examples are provided for a better understanding of the foregoing discussion. Although these examples may be directed to particular embodiments, these examples should not be construed as limiting the disclosure to any particular aspect.

儘管前面所述係針對本揭示案的實施例,但在不背離本揭示案的基本範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。Although the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from the essential scope of the present disclosure, the scope of which is to be determined by the scope of the following patent applications define.

100‧‧‧處理腔室 102‧‧‧燈 103‧‧‧裝載端口 104‧‧‧背面 105‧‧‧升舉銷 106‧‧‧基板支撐件 107‧‧‧基板支撐件 108‧‧‧基板 114‧‧‧下圓頂 118‧‧‧光學高溫計 122‧‧‧反射器 126‧‧‧連接端口 128‧‧‧上圓頂 130‧‧‧夾環 132‧‧‧中心軸 134‧‧‧臂 136‧‧‧基環 145‧‧‧燈頭 156‧‧‧處理區域 158‧‧‧淨化區域 162‧‧‧淨化氣體源 163‧‧‧襯墊組件 164‧‧‧淨化氣體入口 165‧‧‧淨化氣體 166‧‧‧流出物 167‧‧‧圓形屏蔽件 172‧‧‧處理流體供應 173‧‧‧處理流體 174‧‧‧處理流體入口 175‧‧‧流出物 178‧‧‧處理流體出口 180‧‧‧真空泵 200‧‧‧處理系統 204‧‧‧裝載閘腔室 206‧‧‧移送腔室 208‧‧‧搬運機器人 209‧‧‧基板搬運葉片 210‧‧‧第一CVD處理腔室 211‧‧‧裝載閘 212‧‧‧第二CVD處理腔室 213‧‧‧裝載閘 214‧‧‧處理站 215‧‧‧閥 216‧‧‧ALD處理腔室 217‧‧‧裝載閘 218‧‧‧遮罩腔室 219‧‧‧閥 220、222、224、226、228‧‧‧狹縫閥 221、223、225、227、229‧‧‧狹縫閥開口 300‧‧‧裝載閘 302‧‧‧機械臂 304‧‧‧封裝探針 306‧‧‧基板 308‧‧‧裝載閘端口 310‧‧‧基板支撐件 400‧‧‧處理腔室 402‧‧‧第一觀察口 404‧‧‧第二觀察口 406‧‧‧基板 410‧‧‧基板支撐件 420‧‧‧雷射 422‧‧‧雷射 424‧‧‧電磁輻射束 426‧‧‧電磁輻射束 430‧‧‧偵測器 432‧‧‧電磁輻射 442‧‧‧鏡 444‧‧‧半波板 446‧‧‧偏振器 448‧‧‧透鏡 450‧‧‧孔 452‧‧‧準直器 454‧‧‧偏振器 456‧‧‧鏡 458‧‧‧濾波器 460‧‧‧透鏡 472‧‧‧處理流體供應 474‧‧‧處理流體入口 478‧‧‧處理流體出口 480‧‧‧真空泵 500‧‧‧圖表 510‧‧‧曲線 512‧‧‧點 514‧‧‧點 516‧‧‧點 518‧‧‧點 520‧‧‧點 600‧‧‧和頻產生光譜系統 602‧‧‧調諧雷射系統 604‧‧‧第一雷射光脈衝 606‧‧‧第二雷射光脈衝 608‧‧‧濾波器 610‧‧‧透鏡 612‧‧‧稜鏡 616‧‧‧濾波器 620‧‧‧透鏡 630‧‧‧二次諧波脈衝 632‧‧‧光電倍增管(PMT) 634‧‧‧箱車型積分器 636‧‧‧電腦 640‧‧‧透鏡 642‧‧‧濾波器 652‧‧‧第一觀察口 654‧‧‧第二觀察口 670‧‧‧基板 680‧‧‧處理腔室 682‧‧‧位置 684‧‧‧加熱桿 686‧‧‧活塞 700‧‧‧基板搬運葉片 702‧‧‧基板支撐葉片 704‧‧‧儀器支撐臂 706‧‧‧雷射源 708‧‧‧光譜儀 710‧‧‧雷射光脈衝 712‧‧‧雷射光脈衝 720‧‧‧反射 722‧‧‧反射 724‧‧‧二次諧波脈衝100‧‧‧Processing chamber 102‧‧‧Lights 103‧‧‧Load port 104‧‧‧Back 105‧‧‧Lifting pin 106‧‧‧Substrate support 107‧‧‧Substrate support 108‧‧‧Substrate 114‧‧‧Lower Dome 118‧‧‧Optical Pyrometer 122‧‧‧Reflectors 126‧‧‧Connecting Ports 128‧‧‧Upper Dome 130‧‧‧Clamp 132‧‧‧Central axis 134‧‧‧arm 136‧‧‧Base ring 145‧‧‧Lamp 156‧‧‧Processing area 158‧‧‧Purification area 162‧‧‧Purge gas source 163‧‧‧Gasket components 164‧‧‧Purge gas inlet 165‧‧‧Purge gas 166‧‧‧Effluent 167‧‧‧Circular shield 172‧‧‧Processing fluid supplies 173‧‧‧Processing fluids 174‧‧‧Process fluid inlet 175‧‧‧Effluent 178‧‧‧Process fluid outlet 180‧‧‧Vacuum Pump 200‧‧‧Processing system 204‧‧‧Load lock chamber 206‧‧‧Transfer chamber 208‧‧‧Transportation robot 209‧‧‧Substrate handling blade 210‧‧‧First CVD processing chamber 211‧‧‧Loading lock 212‧‧‧Second CVD processing chamber 213‧‧‧Loading lock 214‧‧‧Processing Station 215‧‧‧valve 216‧‧‧ALD processing chamber 217‧‧‧Loading lock 218‧‧‧mask chamber 219‧‧‧valve 220, 222, 224, 226, 228‧‧‧Slit valve 221, 223, 225, 227, 229‧‧‧Slit valve opening 300‧‧‧Loading lock 302‧‧‧Robot Arm 304‧‧‧Packaging probe 306‧‧‧Substrate 308‧‧‧Load Gate Port 310‧‧‧Substrate support 400‧‧‧Processing chamber 402‧‧‧First Observation Port 404‧‧‧Second Observation Port 406‧‧‧Substrate 410‧‧‧Substrate support 420‧‧‧Laser 422‧‧‧Laser 424‧‧‧Electromagnetic radiation beam 426‧‧‧Electromagnetic radiation beam 430‧‧‧Detector 432‧‧‧Electromagnetic radiation 442‧‧‧Mirror 444‧‧‧Half-wave plate 446‧‧‧Polarizers 448‧‧‧Lens 450‧‧‧hole 452‧‧‧Collimators 454‧‧‧Polarizers 456‧‧‧Mirror 458‧‧‧Filter 460‧‧‧Lens 472‧‧‧Processing fluid supplies 474‧‧‧Process fluid inlet 478‧‧‧Process fluid outlet 480‧‧‧Vacuum Pump 500‧‧‧ Chart 510‧‧‧Curve 512‧‧‧points 514‧‧‧points 516‧‧‧points 518‧‧‧points 520‧‧‧points 600‧‧‧Summer Frequency Generation Spectrum System 602‧‧‧Tune Laser System 604‧‧‧First laser light pulse 606‧‧‧Second laser light pulse 608‧‧‧Filter 610‧‧‧Lens 612‧‧‧Jinghan 616‧‧‧Filter 620‧‧‧Lens 630‧‧‧Second harmonic pulse 632‧‧‧Photomultiplier Tube (PMT) 634‧‧‧Box type integrator 636‧‧‧Computers 640‧‧‧Lens 642‧‧‧Filter 652‧‧‧First Observation Port 654‧‧‧Second Observation Port 670‧‧‧Substrate 680‧‧‧Processing chamber 682‧‧‧Location 684‧‧‧Heating Rod 686‧‧‧Piston 700‧‧‧Substrate handling blades 702‧‧‧Substrate support blade 704‧‧‧Instrument support arm 706‧‧‧Laser Source 708‧‧‧Spectrometer 710‧‧‧Laser Light Pulse 712‧‧‧Laser Light Pulse 720‧‧‧Reflection 722‧‧‧Reflection 724‧‧‧Second harmonic pulse

本揭示案之特徵已簡要概述於前,並在以下有更詳盡之論述,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式僅繪示了本揭示案的典型實施例,而由於本揭示案可允許其他等效之實施例,因此所附圖式並不會視為本揭示案範圍之限制。The features of the present disclosure have been briefly outlined above and are discussed in greater detail below, which can be understood by reference to the embodiments of the present disclosure depicted in the accompanying drawings. It is to be noted, however, that the appended drawings depict only typical embodiments of the present disclosure and are not to be considered as disclosed, since the present disclosure may admit to other equivalent embodiments Scope limitation.

圖1A和1B繪示根據本揭示案的態樣的減壓處理腔室的剖視圖。1A and 1B illustrate cross-sectional views of a reduced pressure processing chamber according to aspects of the present disclosure.

圖2繪示根據本揭示案的某些態樣的示例性處理系統。2 illustrates an exemplary processing system in accordance with certain aspects of the present disclosure.

圖3繪示根據本揭示案的態樣的示例性裝載閘的示意性等距視圖。3 depicts a schematic isometric view of an exemplary loading gate in accordance with aspects of the present disclosure.

圖4繪示根據本揭示案的態樣的處理腔室的示意性等距視圖。4 illustrates a schematic isometric view of a processing chamber in accordance with aspects of the present disclosure.

圖5是根據本揭示案的態樣的原子層沉積的監控的一組圖500。5 is a set of graphs 500 of monitoring of atomic layer deposition in accordance with aspects of the present disclosure.

圖6是根據本揭示案的態樣的示例性和頻產生(SFG)光譜監控系統的示意圖,該系統經配置在處理期間測量基板。6 is a schematic diagram of an exemplary sum-frequency generation (SFG) spectral monitoring system configured to measure a substrate during processing, according to aspects of the present disclosure.

圖7是根據本揭示案的態樣的示例性基板搬運(handling)葉片的示意圖。7 is a schematic diagram of an exemplary substrate handling blade according to an aspect of the present disclosure.

為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是在一個實施例中所揭露的元件可以有利地用於在沒有特定敘述其他實施例。To facilitate understanding, where possible, the same numerals have been used to refer to the same elements in the figures. It is contemplated that elements disclosed in one embodiment may be used to advantage on other embodiments without the specific recitation.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic storage information (please note in the order of storage institution, date and number) none

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign deposit information (please mark in the order of deposit country, institution, date and number) none

400‧‧‧處理腔室 400‧‧‧Processing chamber

402‧‧‧第一觀察口 402‧‧‧First Observation Port

404‧‧‧第二觀察口 404‧‧‧Second Observation Port

406‧‧‧基板 406‧‧‧Substrate

410‧‧‧基板支撐件 410‧‧‧Substrate support

420‧‧‧雷射 420‧‧‧Laser

422‧‧‧雷射 422‧‧‧Laser

424‧‧‧電磁輻射束 424‧‧‧Electromagnetic radiation beam

426‧‧‧電磁輻射束 426‧‧‧Electromagnetic radiation beam

430‧‧‧偵測器 430‧‧‧Detector

432‧‧‧電磁輻射 432‧‧‧Electromagnetic radiation

442‧‧‧鏡 442‧‧‧Mirror

444‧‧‧半波板 444‧‧‧Half-wave plate

446‧‧‧偏振器 446‧‧‧Polarizers

448‧‧‧透鏡 448‧‧‧Lens

450‧‧‧孔 450‧‧‧hole

452‧‧‧準直器 452‧‧‧Collimators

454‧‧‧偏振器 454‧‧‧Polarizers

456‧‧‧鏡 456‧‧‧Mirror

458‧‧‧濾波器 458‧‧‧Filter

460‧‧‧透鏡 460‧‧‧Lens

472‧‧‧處理流體供應 472‧‧‧Processing fluid supplies

474‧‧‧處理流體入口 474‧‧‧Process fluid inlet

478‧‧‧處理流體出口 478‧‧‧Process fluid outlet

480‧‧‧真空泵 480‧‧‧Vacuum Pump

Claims (6)

一種用於處理一基板之設備,包括:一處理腔室主體,該處理腔室主體具有一第一觀察口和一第二觀察口;一基板支撐件,該基板支撐件在該處理腔室主體內;一電磁輻射發射器,該電磁輻射發射器經安裝於一第一裝載閘及可操作以通過該第一觀察口照射該基板支撐件上的該基板;一偵測器,該偵測器經安裝於一第二裝載閘及可操作以偵測從該基板通過該第二觀察口散射的電磁輻射;其中該電磁輻射發射器包括一第一雷射源和一第二雷射源,該第一雷射源可操作以產生具有一第一波長的一第一雷射光脈衝,該第二雷射源可操作以產生具有一第二波長的一第二雷射光脈衝;其中,該偵測器可操作用於測量由該第一脈衝、該第二脈衝和該基板之間的一相互作用引起的一和頻產生(SFG)脈衝的一強度。 An apparatus for processing a substrate, comprising: a processing chamber main body having a first viewing port and a second viewing port; a substrate support member positioned in the processing chamber main body in vivo; an electromagnetic radiation emitter mounted on a first load gate and operable to illuminate the substrate on the substrate support through the first viewing port; a detector, the detector mounted on a second load gate and operable to detect electromagnetic radiation scattered from the substrate through the second viewing port; wherein the electromagnetic radiation emitter includes a first laser source and a second laser source, the the first laser source is operable to generate a first laser light pulse having a first wavelength, the second laser source is operable to generate a second laser light pulse having a second wavelength; wherein the detecting The device is operable to measure an intensity of a sum frequency generation (SFG) pulse caused by an interaction between the first pulse, the second pulse and the substrate. 如請求項1所述之設備,其中該基板支撐件可操作以移動該基板,以使來自該電磁輻射發射器的一光束在該基板的一表面上方被掃描。 The apparatus of claim 1, wherein the substrate support is operable to move the substrate such that a beam from the electromagnetic radiation emitter is scanned over a surface of the substrate. 如請求項1所述之設備,進一步包括:一電流鏡(galvano mirror),該電流鏡可操作以將來自該電磁輻射發射器的一光束引導到該基板的一表面上。 The apparatus of claim 1, further comprising: a galvano mirror operable to direct a light beam from the electromagnetic radiation emitter onto a surface of the substrate. 如請求項1所述之設備,其中:該第一波長介於1微米(含)至9微米(含)之間;及該第二波長介於520奈米(含)至900奈米(含)之間。 The apparatus of claim 1, wherein: the first wavelength is between 1 micrometer (inclusive) and 9 micrometers (inclusive); and the second wavelength is between 520 nanometers (inclusive) and 900 nanometers (inclusive) )between. 如請求項1所述之設備,其中:該第一波長介於4微米(含)至7微米(含)之間;及該第二波長介於600奈米(含)至900奈米(含)之間。 The apparatus of claim 1, wherein: the first wavelength is between 4 micrometers (inclusive) and 7 micrometers (inclusive); and the second wavelength is between 600 nanometers (inclusive) and 900 nanometers (inclusive) )between. 如請求項1所述之設備,其中:該第一波長介於1微米(含)至4微米(含)之間;及該第二波長介於750奈米(含)至850奈米(含)之間。 The apparatus of claim 1, wherein: the first wavelength is between 1 micrometer (inclusive) and 4 micrometers (inclusive); and the second wavelength is between 750 nanometers (inclusive) and 850 nanometers (inclusive) )between.
TW108111455A 2018-04-02 2019-04-01 Inline chamber metrology TWI751412B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
IN201841012373 2018-04-02
IN201841012373 2018-04-02
US201962811202P 2019-02-27 2019-02-27
US62/811,202 2019-02-27

Publications (2)

Publication Number Publication Date
TW201945724A TW201945724A (en) 2019-12-01
TWI751412B true TWI751412B (en) 2022-01-01

Family

ID=68100175

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108111455A TWI751412B (en) 2018-04-02 2019-04-01 Inline chamber metrology
TW110144955A TWI775689B (en) 2018-04-02 2019-04-01 Inline chamber metrology

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110144955A TWI775689B (en) 2018-04-02 2019-04-01 Inline chamber metrology

Country Status (7)

Country Link
JP (2) JP7097458B2 (en)
KR (2) KR102454199B1 (en)
CN (1) CN112041977A (en)
DE (1) DE112019001752T5 (en)
GB (1) GB2587940B (en)
TW (2) TWI751412B (en)
WO (1) WO2019195100A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220326080A1 (en) * 2019-06-03 2022-10-13 The General Hospital Corporation Systems and methods for stimulated brillouin microscopy
CN113588682B (en) * 2021-07-20 2024-07-05 浙江大学 Large-range high-precision rapid defect detection system for 3D parts
CN115602565B (en) * 2022-11-03 2023-06-23 江苏中芯沃达半导体科技有限公司 Semiconductor in-situ high-resolution visual on-line monitoring device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
TW201705327A (en) * 2015-03-19 2017-02-01 應用材料股份有限公司 Method and apparatus for reducing radiation induced change in semiconductor structures

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652757A (en) * 1985-08-02 1987-03-24 At&T Technologies, Inc. Method and apparatus for optically determining defects in a semiconductor material
JPH0816607B2 (en) * 1990-10-30 1996-02-21 インターナショナル・ビジネス・マシーンズ・コーポレイション Thin film processing control method
JP2916321B2 (en) * 1992-03-19 1999-07-05 三井金属鉱業株式会社 Method for detecting internal defects in multilayer semiconductor substrate, etc.
JPH05315266A (en) * 1992-05-12 1993-11-26 Nec Yamagata Ltd Cvd growing apparatus
EP0706209A3 (en) * 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
KR970053234A (en) * 1995-12-20 1997-07-31 양승택 How to detect doping characteristics of compound semiconductor in real time
JPH09306849A (en) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The Vapor-phase growing apparatus
JPH1019790A (en) * 1996-07-02 1998-01-23 Hitachi Ltd Equipment for inspecting board in vacuum
KR100206940B1 (en) * 1996-09-21 1999-07-01 구본준 Sampling gas leakage checking system of semiconductor wafer component test equipment
US5922179A (en) * 1996-12-20 1999-07-13 Gatan, Inc. Apparatus for etching and coating sample specimens for microscopic analysis
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
JP2004521323A (en) * 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. Method and apparatus for detecting probe-target interactions using surface selective nonlinear optical techniques
JP4955863B2 (en) * 2001-05-22 2012-06-20 財団法人神奈川科学技術アカデミー Sum frequency generation spectroscopic apparatus and method
JP3885007B2 (en) 2002-07-31 2007-02-21 株式会社日立製作所 Semiconductor manufacturing method, plasma processing method and apparatus
JP2004087342A (en) * 2002-08-28 2004-03-18 Jeol Ltd Observation device using charged particle beam
KR20060035071A (en) * 2004-10-21 2006-04-26 삼성전자주식회사 Robot for transferring semiconductor substrate
JP2007033743A (en) * 2005-07-26 2007-02-08 Seiko Epson Corp Substrate for electronic device, liquid crystal panel, and electronic apparatus
DE102006009460A1 (en) * 2006-03-01 2007-09-06 Infineon Technologies Ag Process device used in production of integrated circuits comprises process chamber, holder within chamber for holding substrate, radiation source, radiation detector and control and evaluation unit
KR20100106608A (en) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 Closed loop mocvd deposition control
JP2012103071A (en) 2010-11-09 2012-05-31 Nagoya Univ Evaluation method and evaluation device of organic ferroelectric material and method for manufacturing electronic device
CN103959442B (en) * 2011-12-07 2017-09-15 应用材料公司 Laser reflection instrument for processing substrate
EP3132467A4 (en) * 2014-04-17 2017-11-01 Femtometrix, Inc. Wafer metrology technologies
US10731246B2 (en) * 2014-07-28 2020-08-04 Gatan, Inc. Ion beam sample preparation and coating apparatus and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
TW201705327A (en) * 2015-03-19 2017-02-01 應用材料股份有限公司 Method and apparatus for reducing radiation induced change in semiconductor structures

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Vandalon, Vincent & Kessels, E.W.M.M.. (2017), "Revisiting the growth mechanism of atomic layer deposition of Al2O3: A vibrational sum-frequency generation study". Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films. 35. 10.1116/1.4993597. *

Also Published As

Publication number Publication date
GB2587940A8 (en) 2023-04-26
GB2587940B (en) 2023-06-14
JP7498225B2 (en) 2024-06-11
TWI775689B (en) 2022-08-21
TW201945724A (en) 2019-12-01
JP2022160395A (en) 2022-10-19
KR20220140045A (en) 2022-10-17
WO2019195100A1 (en) 2019-10-10
JP7097458B2 (en) 2022-07-07
GB202017339D0 (en) 2020-12-16
JP2021519522A (en) 2021-08-10
KR20200128192A (en) 2020-11-11
DE112019001752T5 (en) 2020-12-24
GB2587940A (en) 2021-04-14
KR102454199B1 (en) 2022-10-14
TW202212815A (en) 2022-04-01
CN112041977A (en) 2020-12-04

Similar Documents

Publication Publication Date Title
JP7498225B2 (en) In-line chamber metrology
US10746531B2 (en) Wear amount measuring apparatus and method, temperature measuring apparatus and method and substrate processing system
KR101203592B1 (en) Advanced process sensing and control using near infrared spectral reflectometry
TWI649821B (en) Apparatus and method for low temperature measurement in a wafer processing system
DK2890828T3 (en) Device and method of making diamond
US10077508B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
US20180364156A1 (en) System and method for monitoring atomic absorption during a surface modification process
US9459159B2 (en) Heat-flux measuring method, substrate processing system, and heat-flux measuring member
TW202225646A (en) Temperature calibration with band gap absorption method
CN108400099B (en) Device and method for measuring longitudinal temperature field of film in nitride epitaxial growth process
TW202309357A (en) In situ film growth sensor assembly, apparatus, and methods
TW202309320A (en) In-situ film growth rate monitoring apparatus, systems, and methods for substrate processing
US20220154339A1 (en) Thin film deposition apparatus mountable with analysis system
WO2022239683A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
WO2023132268A1 (en) Determination method and substrate processing apparatus
US20240241042A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
CA3159158A1 (en) Film forming apparatus and film forming apparatus usage
JP2023100573A (en) Determination method and substrate processing device