JP2021136064A - Inductively coupled antenna and plasma processing device - Google Patents

Inductively coupled antenna and plasma processing device Download PDF

Info

Publication number
JP2021136064A
JP2021136064A JP2020028705A JP2020028705A JP2021136064A JP 2021136064 A JP2021136064 A JP 2021136064A JP 2020028705 A JP2020028705 A JP 2020028705A JP 2020028705 A JP2020028705 A JP 2020028705A JP 2021136064 A JP2021136064 A JP 2021136064A
Authority
JP
Japan
Prior art keywords
antenna
antenna wire
inductively coupled
corner portion
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020028705A
Other languages
Japanese (ja)
Other versions
JP7403347B2 (en
Inventor
均 齊藤
Hitoshi Saito
均 齊藤
利洋 東条
Toshihiro Tojo
利洋 東条
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020028705A priority Critical patent/JP7403347B2/en
Priority to TW110104611A priority patent/TW202137324A/en
Priority to CN202110179866.3A priority patent/CN113299531B/en
Priority to KR1020210019118A priority patent/KR102570370B1/en
Publication of JP2021136064A publication Critical patent/JP2021136064A/en
Application granted granted Critical
Publication of JP7403347B2 publication Critical patent/JP7403347B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/26Supports; Mounting means by structural association with other equipment or articles with electric discharge tube
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

To provide an inductively coupled antenna and a plasma processing device that improve the uniformity of plasma density.SOLUTION: A rectangular frame-shaped inductively coupled antenna in which an induced electric field that generates plasma is formed in a processing container that plasma-treats a rectangular substrate placed on a mounting surface of a mounting table and that has a facing surface facing the mounting surface, includes: a flat surface portion in which four antenna wires are wound by shifting their positions by 90° on the facing surface; and a vertical winding portion that is wound vertically while forming a bottom flat portion that shares the facing surface, around a winding axis that is parallel to the facing surface and intersects the corner portion of the rectangular frame at the end of each of the antenna wires.SELECTED DRAWING: Figure 3

Description

本開示は、誘導結合アンテナ及びプラズマ処理装置に関する。 The present disclosure relates to an inductively coupled antenna and a plasma processing apparatus.

特許文献1には、複数のアンテナ線を、同一平面内において、辺の中央部の巻数よりも角部の巻数が多くなるように巻回して全体が渦巻状になるように構成されるアンテナユニットが開示されている。 Patent Document 1 describes an antenna unit configured by winding a plurality of antenna wires in the same plane so that the number of turns at the corners is larger than the number of turns at the center of the sides so that the whole becomes a spiral. Is disclosed.

特開2012−59762号公報Japanese Unexamined Patent Publication No. 2012-59762

本開示は、プラズマ密度の均一性を向上させる誘導結合アンテナ及びプラズマ処理装置を提供する。 The present disclosure provides inductively coupled antennas and plasma processing devices that improve the uniformity of plasma density.

本開示の一態様による誘導結合アンテナは、載置台の載置面に載置された矩形基板をプラズマ処理する処理容器内に、前記プラズマを生成する誘導電界を形成し、前記載置面に対向する対向面を有する矩形枠状の誘導結合アンテナであって、前記対向面において4つのアンテナ線を90°ずつ位置をずらして巻回される平面部と、前記アンテナ線のそれぞれの末端において、前記対向面に平行で且つ前記矩形枠の角部と交差する巻回軸の周りに、前記対向面を共有する底部平面部を形成しながら縦巻きに巻回する縦巻き部と、を有する。 The inductively coupled antenna according to one aspect of the present disclosure forms an inductive electric field that generates the plasma in a processing container that plasma-treats a rectangular substrate mounted on the mounting surface of the mounting table, and faces the mounting surface described above. A rectangular frame-shaped inductively coupled antenna having facing surfaces, wherein four antenna wires are wound by shifting their positions by 90 ° on the facing surfaces, and at each end of the antenna wires, the above-mentioned Around a winding shaft parallel to the facing surface and intersecting the corner portion of the rectangular frame, there is a vertical winding portion that winds vertically while forming a bottom flat portion sharing the facing surface.

本開示によれば、プラズマ密度の均一性を向上させる誘導結合アンテナ及びプラズマ処理装置を提供することができる。 According to the present disclosure, it is possible to provide an inductively coupled antenna and a plasma processing apparatus that improve the uniformity of plasma density.

第1の実施形態に係る基板処理装置の一例を示す縦断面図。The vertical sectional view which shows an example of the substrate processing apparatus which concerns on 1st Embodiment. 金属窓及び高周波アンテナの配置の一例を示す平面図。The plan view which shows an example of the arrangement of a metal window and a high frequency antenna. 中間アンテナの一例の平面図。Top view of an example of an intermediate antenna. 中間アンテナの一例の斜視図。A perspective view of an example of an intermediate antenna. 中間アンテナの他の一例の平面図。Top view of another example of the intermediate antenna. 中間アンテナの他の一例の斜視図。Perspective view of another example of the intermediate antenna. 中間アンテナの他の一例の正面図。Front view of another example of the intermediate antenna. 中間アンテナの他の一例の平面図。Top view of another example of the intermediate antenna. 中間アンテナの他の一例の斜視図。Perspective view of another example of the intermediate antenna. 中間アンテナの他の一例の平面図。Top view of another example of the intermediate antenna.

以下、本開示の実施形態に係るガス供給方法及び基板処理装置(誘導結合プラズマ装置)10について、添付の図面を参照しながら説明する。尚、本明細書及び図面において、実質的に同一の構成要素については、同一の符号を付することにより重複した説明を省く場合がある。 Hereinafter, the gas supply method and the substrate processing apparatus (inductively coupled plasma apparatus) 10 according to the embodiment of the present disclosure will be described with reference to the accompanying drawings. In the present specification and the drawings, substantially the same components may be designated by the same reference numerals to omit duplicate explanations.

[第1の実施形態に係る基板処理装置]
図1を参照して、本開示の第1の実施形態に係る基板処理装置10について説明する。ここで、図1は、第1の実施形態に係る基板処理装置10の一例を示す縦断面図である。
[Substrate processing apparatus according to the first embodiment]
The substrate processing apparatus 10 according to the first embodiment of the present disclosure will be described with reference to FIG. Here, FIG. 1 is a vertical cross-sectional view showing an example of the substrate processing apparatus 10 according to the first embodiment.

図1に示す基板処理装置10は、フラットパネルディスプレイ(Flat Panel Display、以下、「FPD」という)用の平面視矩形の基板G(以下、単に「基板」という)に対して、各種の基板処理方法を実行する誘導結合型プラズマ(Inductive Coupled Plasma: ICP)処理装置である。基板Gの材料としては、主にガラスが用いられ、用途によっては透明の合成樹脂などが用いられることもある。ここで、基板処理には、エッチング処理や、CVD(Chemical Vapor Deposition)法を用いた成膜処理等が含まれる。FPDとしては、液晶ディスプレイ(Liquid Crystal Display: LCD)やエレクトロルミネセンス(Electro Luminescence: EL)、プラズマディスプレイパネル(Plasma Display Panel;PDP)等が例示される。基板Gは、その表面に回路がパターニングされる形態の他、支持基板も含まれる。また、FPD用基板の平面寸法は世代の推移と共に大規模化しており、基板処理装置10によって処理される基板Gの平面寸法は、例えば、第6世代の1500mm×1800mm程度の寸法から、第10.5世代の3000mm×3400mm程度の寸法までを少なくとも含む。また、基板Gの厚みは0.2mm乃至数mm程度である。 The substrate processing apparatus 10 shown in FIG. 1 processes various substrates on a rectangular substrate G (hereinafter, simply referred to as “substrate”) for a flat panel display (hereinafter, referred to as “FPD”). An Inductive Coupled Plasma (ICP) processor that implements the method. As the material of the substrate G, glass is mainly used, and depending on the application, a transparent synthetic resin or the like may be used. Here, the substrate treatment includes an etching treatment, a film formation treatment using a CVD (Chemical Vapor Deposition) method, and the like. Examples of the FPD include a liquid crystal display (LCD), electro luminescence (EL), and a plasma display panel (PDP). The substrate G includes a support substrate as well as a form in which a circuit is patterned on the surface thereof. Further, the plane dimensions of the FPD substrate have been increasing in scale with the transition of generations, and the plane dimensions of the substrate G processed by the substrate processing apparatus 10 are, for example, from the dimensions of about 1500 mm × 1800 mm of the 6th generation to the 10th. Includes at least dimensions up to about 3000 mm x 3400 mm for the 5th generation. The thickness of the substrate G is about 0.2 mm to several mm.

図1に示す基板処理装置10は、直方体状の箱型の処理容器20と、処理容器20内に配設されて基板Gが載置される平面視矩形の外形の基板載置台70と、制御部90とを有する。尚、処理容器は、円筒状の箱型や楕円筒状の箱型などの形状であってもよく、この形態では、基板載置台も円形もしくは楕円形となり、基板載置台に載置される基板も円形等になる。 The substrate processing apparatus 10 shown in FIG. 1 is controlled by a rectangular parallelepiped box-shaped processing container 20, a substrate mounting table 70 having a rectangular outer shape in a plan view, which is arranged in the processing container 20 and on which the substrate G is placed. It has a part 90 and. The processing container may have a cylindrical box shape or an elliptical tubular box shape. In this form, the substrate mounting table is also circular or elliptical, and the substrate is mounted on the substrate mounting table. Is also circular.

処理容器20は、金属窓50により上下2つの空間に区画されており、上方空間であるアンテナ室Aは上チャンバー13により形成され、下方空間である処理領域S(処理室)は下チャンバー17により形成される。処理容器20において、上チャンバー13と下チャンバー17の境界となる位置には矩形環状の支持枠14が処理容器20の内側に突設するようにして配設されており、支持枠14に金属窓50が取り付けられている。 The processing container 20 is divided into two upper and lower spaces by a metal window 50. The antenna chamber A, which is the upper space, is formed by the upper chamber 13, and the processing region S (processing chamber), which is the lower space, is formed by the lower chamber 17. It is formed. In the processing container 20, a rectangular annular support frame 14 is arranged so as to project inside the processing container 20 at a position at the boundary between the upper chamber 13 and the lower chamber 17, and a metal window is provided on the support frame 14. 50 is attached.

アンテナ室Aを形成する上チャンバー13は、側壁11と天板12とにより形成され、全体としてアルミニウムやアルミニウム合金等の金属により形成される。 The upper chamber 13 forming the antenna chamber A is formed by the side wall 11 and the top plate 12, and is formed of a metal such as aluminum or an aluminum alloy as a whole.

処理領域Sを内部に有する下チャンバー17は、側壁15と底板16とにより形成され、全体としてアルミニウムやアルミニウム合金等の金属により形成される。また、側壁15は、接地線21により接地されている。 The lower chamber 17 having the processing region S inside is formed by the side wall 15 and the bottom plate 16, and is formed of a metal such as aluminum or an aluminum alloy as a whole. Further, the side wall 15 is grounded by the ground wire 21.

さらに、支持枠14は、導電性のアルミニウムやアルミニウム合金等の金属により形成されており、金属枠と称することもできる。 Further, the support frame 14 is formed of a metal such as conductive aluminum or an aluminum alloy, and can also be referred to as a metal frame.

下チャンバー17の側壁15の上端には、矩形環状(無端状)のシール溝22が形成されており、シール溝22にOリング等のシール部材23が嵌め込まれ、シール部材23を支持枠14の当接面が保持することにより、下チャンバー17と支持枠14とのシール構造が形成される。 A rectangular annular (endless) seal groove 22 is formed at the upper end of the side wall 15 of the lower chamber 17, and a seal member 23 such as an O-ring is fitted into the seal groove 22 to support the seal member 23 on the support frame 14. By holding the contact surface, a sealing structure between the lower chamber 17 and the support frame 14 is formed.

下チャンバー17の側壁15には、下チャンバー17に対して基板Gを搬出入するための搬出入口18が開設されており、搬出入口18はゲートバルブ24により開閉自在に構成されている。下チャンバー17には搬送機構を内包する搬送室(いずれも図示せず)が隣接しており、ゲートバルブ24を開閉制御し、搬送機構にて搬出入口18を介して基板Gの搬出入が行われる。 On the side wall 15 of the lower chamber 17, a carry-in / out port 18 for carrying in / out the substrate G to / from the lower chamber 17 is provided, and the carry-in / out port 18 is configured to be openable and closable by a gate valve 24. A transport chamber (not shown) containing a transport mechanism is adjacent to the lower chamber 17, and the gate valve 24 is controlled to open and close, and the transport mechanism carries in and out the substrate G via the carry-in / out port 18. Be told.

また、下チャンバー17の有する底板16には複数の排気口19が開設されており、各排気口19にはガス排気管25が接続され、ガス排気管25は開閉弁26を介して排気装置27に接続されている。ガス排気管25、開閉弁26及び排気装置27により、ガス排気部28が形成される。排気装置27はターボ分子ポンプ等の真空ポンプを有し、プロセス中に下チャンバー17内を所定の真空度まで真空引き自在に構成されている。尚、下チャンバー17の適所には圧力計(図示せず)が設置されており、圧力計によるモニター情報が制御部90に送信されるようになっている。 Further, a plurality of exhaust ports 19 are opened in the bottom plate 16 of the lower chamber 17, a gas exhaust pipe 25 is connected to each exhaust port 19, and the gas exhaust pipe 25 is connected to the exhaust device 27 via an on-off valve 26. It is connected to the. The gas exhaust section 28 is formed by the gas exhaust pipe 25, the on-off valve 26, and the exhaust device 27. The exhaust device 27 has a vacuum pump such as a turbo molecular pump, and is configured to evacuate the inside of the lower chamber 17 to a predetermined degree of vacuum during the process. A pressure gauge (not shown) is installed at an appropriate position in the lower chamber 17, and monitor information from the pressure gauge is transmitted to the control unit 90.

基板載置台70は、基材73と、基材73の上面73aに形成されている静電チャック76とを有する。 The substrate mounting table 70 has a base material 73 and an electrostatic chuck 76 formed on the upper surface 73a of the base material 73.

基材73は、平面視形状は矩形であり、基板載置台70に載置されるFPDと同程度の平面寸法を有する。例えば、基材73は、載置される基板Gと同程度の平面寸法を有し、長辺の長さは1800mm乃至3400mm程度であり、短辺の長さは1500mm乃至3000mm程度の寸法に設定できる。この平面寸法に対して、基材73の厚みは、例えば50mm乃至100mm程度となり得る。 The base material 73 has a rectangular shape in a plan view, and has a plane dimension similar to that of the FPD mounted on the substrate mounting table 70. For example, the base material 73 has a plane dimension similar to that of the substrate G on which it is placed, the length of the long side is set to about 1800 mm to 3400 mm, and the length of the short side is set to about 1500 mm to 3000 mm. can. With respect to this plane dimension, the thickness of the base material 73 can be, for example, about 50 mm to 100 mm.

基材73には、矩形平面の全領域をカバーするように蛇行した温調媒体流路72aが設けられており、ステンレス鋼やアルミニウム、アルミニウム合金等から形成される。また、基材73が、図示例のように単一の部材でなく、上方基材と下方基材の二部材の積層体から形成されてもよい。その際、温調媒体流路72aは、下方基材に設けられてもよく、上方基材に設けられてもよい。 The base material 73 is provided with a meandering temperature control medium flow path 72a so as to cover the entire region of a rectangular plane, and is formed of stainless steel, aluminum, an aluminum alloy, or the like. Further, the base material 73 may be formed from a laminate of two members, an upper base material and a lower base material, instead of a single member as shown in the illustrated example. At that time, the temperature control medium flow path 72a may be provided on the lower base material or the upper base material.

下チャンバー17の底板16の上には、絶縁材料により形成されて内側に段部を有する箱型の台座78が固定されており、台座78の段部の上に基板載置台70が載置される。 A box-shaped pedestal 78 formed of an insulating material and having a step portion inside is fixed on the bottom plate 16 of the lower chamber 17, and a substrate mounting base 70 is placed on the step portion of the pedestal 78. NS.

基材73の上面には、基板Gが直接載置される静電チャック76が形成されている。静電チャック76は、アルミナ等のセラミックスを溶射して形成される誘電体被膜であるセラミックス層74と、セラミックス層74の内部に埋設されて静電吸着機能を有する導電層75(電極)とを有する。 An electrostatic chuck 76 on which the substrate G is directly mounted is formed on the upper surface of the base material 73. The electrostatic chuck 76 comprises a ceramic layer 74, which is a dielectric film formed by spraying ceramics such as alumina, and a conductive layer 75 (electrode) embedded inside the ceramic layer 74 and having an electrostatic adsorption function. Have.

導電層75は、給電線84を介して直流電源85に接続されている。制御部90により、給電線84に介在するスイッチ(図示せず)がオンされると、直流電源85から導電層75に直流電圧が印加されることによりクーロン力が発生する。このクーロン力により、基板Gが静電チャック76の上面に静電吸着され、基材73の上面に載置された状態で保持される。 The conductive layer 75 is connected to the DC power supply 85 via a feeder line 84. When a switch (not shown) interposed in the feeder line 84 is turned on by the control unit 90, a Coulomb force is generated by applying a DC voltage from the DC power supply 85 to the conductive layer 75. Due to this Coulomb force, the substrate G is electrostatically attracted to the upper surface of the electrostatic chuck 76, and is held in a state of being placed on the upper surface of the base material 73.

基板載置台70を構成する基材73には、矩形平面の全領域をカバーするように蛇行した温調媒体流路72aが設けられている。温調媒体流路72aの両端には、温調媒体流路72aに対して温調媒体が供給される送り配管72bと、温調媒体流路72aを流通して昇温または降温された温調媒体が排出される戻り配管72cとが連通している。 The base material 73 constituting the substrate mounting table 70 is provided with a meandering temperature control medium flow path 72a so as to cover the entire region of the rectangular plane. At both ends of the temperature control medium flow path 72a, a feed pipe 72b for supplying the temperature control medium to the temperature control medium flow path 72a and a temperature control in which the temperature is raised or lowered by flowing through the temperature control medium flow path 72a. It communicates with the return pipe 72c from which the medium is discharged.

図1に示すように、送り配管72bと戻り配管72cにはそれぞれ、送り流路87と戻り流路88が連通しており、送り流路87と戻り流路88は温調手段、例えば、チラー86に連通している。チラー86は、温調媒体の温度や吐出流量を制御する本体部と、温調媒体を圧送するポンプとを有する(いずれも図示せず)。温調媒体としては、例えば、冷媒が適用され、この冷媒には、ガルデン(登録商標)やフロリナート(登録商標)等が適用される。送り流路87、戻り流路88及びチラー86により、温度制御装置89が構成される。 As shown in FIG. 1, the feed pipe 72b and the return pipe 72c communicate with each other, and the feed flow path 87 and the return flow path 88 communicate with each other. It communicates with 86. The chiller 86 has a main body that controls the temperature and discharge flow rate of the temperature control medium, and a pump that pumps the temperature control medium (neither is shown). As the temperature control medium, for example, a refrigerant is applied, and Galden (registered trademark), Fluorinert (registered trademark), or the like is applied to this refrigerant. The temperature control device 89 is composed of the feed flow path 87, the return flow path 88, and the chiller 86.

基材73には熱電対等の温度センサが配設されており、温度センサによるモニター情報は、制御部90に随時送信される。そして、送信されたモニター情報に基づいて、基材73及び基板Gの温調制御が制御部90により実行される。より具体的には、制御部90により、チラー86から送り流路87に供給される温調媒体の温度や流量が調整される。そして、温度調整や流量調整が行われた温調媒体が温調媒体流路72aに循環されることにより、基板載置台70の温調制御が実行される。尚、熱電対等の温度センサは、例えば静電チャック76に配設されてもよい。 A temperature sensor such as a thermoelectric pair is arranged on the base material 73, and the monitor information by the temperature sensor is transmitted to the control unit 90 at any time. Then, the control unit 90 executes temperature control control of the base material 73 and the base material G based on the transmitted monitor information. More specifically, the control unit 90 adjusts the temperature and flow rate of the temperature control medium supplied from the chiller 86 to the feed flow path 87. Then, the temperature control medium whose temperature is adjusted and the flow rate is adjusted is circulated in the temperature control medium flow path 72a, so that the temperature control of the substrate mounting table 70 is executed. The temperature sensor such as a thermoelectric pair may be arranged on the electrostatic chuck 76, for example.

静電チャック76及び基材73の外周と、台座78の上面とにより段部が形成され、この段部には、矩形枠状のフォーカスリング79が載置されている。段部にフォーカスリング79が設置された状態において、フォーカスリング79の上面の方が静電チャック76の上面よりも低くなるよう設定されている。フォーカスリング79は、アルミナ等のセラミックスもしくは石英等から形成される。 A step portion is formed by the outer periphery of the electrostatic chuck 76 and the base material 73 and the upper surface of the pedestal 78, and a rectangular frame-shaped focus ring 79 is placed on the step portion. When the focus ring 79 is installed on the step portion, the upper surface of the focus ring 79 is set to be lower than the upper surface of the electrostatic chuck 76. The focus ring 79 is formed of ceramics such as alumina or quartz.

基材73の下面には、給電部材80が接続されている。給電部材80の下端には給電線81が接続されており、給電線81はインピーダンス整合を行う整合器82を介してバイアス電源である高周波電源83に接続されている。基板載置台70に対して高周波電源83から例えば3.2MHzの高周波電力が印加されることにより、RFバイアスを発生させ、以下で説明するプラズマ発生用のソース源である高周波電源59にて生成されたイオンを基板Gに引き付けることができる。従って、プラズマエッチング処理においては、エッチングレートとエッチング選択比を共に高めることが可能になる。このように、基板載置台70は、基板Gを載置しRFバイアスを発生させるバイアス電極を形成する。この時、チャンバー内部の接地電位となる部位がバイアス電極の対向電極として機能し、高周波電力のリターン回路を構成する。尚、金属窓50を高周波電力のリターン回路の一部として構成してもよい。 A power feeding member 80 is connected to the lower surface of the base material 73. A feeder line 81 is connected to the lower end of the feeder member 80, and the feeder line 81 is connected to a high frequency power supply 83 which is a bias power supply via a matching box 82 that performs impedance matching. RF bias is generated by applying high-frequency power of, for example, 3.2 MHz from the high-frequency power supply 83 to the substrate mount 70, and the high-frequency power supply 59, which is the source source for plasma generation described below, generates RF bias. Ions can be attracted to the substrate G. Therefore, in the plasma etching process, both the etching rate and the etching selectivity can be increased. In this way, the substrate mounting table 70 forms a bias electrode on which the substrate G is placed and an RF bias is generated. At this time, the portion of the chamber that becomes the ground potential functions as the counter electrode of the bias electrode, and constitutes a high-frequency power return circuit. The metal window 50 may be configured as a part of a high frequency power return circuit.

金属窓50は、複数の分割金属窓57により形成される。金属窓50を形成する分割金属窓57の数(図1には断面方向に6個が示されている)は、12個、24個等、多様な個数が設定できる。 The metal window 50 is formed by a plurality of divided metal windows 57. The number of the divided metal windows 57 forming the metal window 50 (six are shown in the cross-sectional direction in FIG. 1) can be set to various numbers such as 12 and 24.

それぞれの分割金属窓57は、絶縁部材56により、支持枠14や隣接する分割金属窓57と絶縁されている。ここで、絶縁部材56は、PTFE(Polytetrafluoroethylene)等のフッ素樹脂により形成される。 Each of the divided metal windows 57 is insulated from the support frame 14 and the adjacent divided metal windows 57 by the insulating member 56. Here, the insulating member 56 is formed of a fluororesin such as PTFE (Polytetrafluoroethylene).

分割金属窓57は、導体プレート30と、シャワープレート40とを有する。導体プレート30とシャワープレート40はいずれも、非磁性で導電性を有し、さらに耐食性を有する金属もしくは耐食性の表面加工が施された金属である、アルミニウムやアルミニウム合金、ステンレス鋼等により形成されている。耐食性を有する表面加工は、例えば、陽極酸化処理やセラミックス溶射などである。また、処理領域Sに臨むシャワープレート40の下面には、陽極酸化処理やセラミックス溶射による耐プラズマコーティングが施されていてもよい。導体プレート30は接地線(図示せず)を介して接地されていてもよい。シャワープレート40と導体プレート30とは、相互に導通するように接合されている。 The split metal window 57 has a conductor plate 30 and a shower plate 40. Both the conductor plate 30 and the shower plate 40 are made of aluminum, an aluminum alloy, stainless steel, or the like, which are non-magnetic, conductive, and corrosion-resistant metals or metals with a corrosion-resistant surface treatment. There is. Surface processing having corrosion resistance includes, for example, anodizing treatment and ceramic spraying. Further, the lower surface of the shower plate 40 facing the treatment area S may be subjected to plasma resistance coating by anodizing treatment or ceramic spraying. The conductor plate 30 may be grounded via a ground wire (not shown). The shower plate 40 and the conductor plate 30 are joined so as to be electrically conductive with each other.

図1に示すように、それぞれの分割金属窓57の上方には、絶縁部材により形成されるスペーサ(図示せず)が配設され、該スペーサにより導体プレート30から離間して高周波アンテナ54が配設されている。高周波アンテナ54は、銅等の良導電性の金属から形成されるアンテナ線を、環状もしくは渦巻き状に巻装することにより形成される。例えば、環状のアンテナ線を多重に配設してもよい。 As shown in FIG. 1, a spacer (not shown) formed of an insulating member is disposed above each of the divided metal windows 57, and the high frequency antenna 54 is arranged separated from the conductor plate 30 by the spacer. It is installed. The high-frequency antenna 54 is formed by winding an antenna wire formed of a good conductive metal such as copper in an annular shape or a spiral shape. For example, a plurality of annular antenna wires may be arranged.

また、高周波アンテナ54には、上チャンバー13の上方に延設する給電部材57aが接続されており、給電部材57aの上端には給電線57bが接続され、給電線57bはインピーダンス整合を行う整合器58を介して高周波電源59に接続されている。高周波アンテナ54に対して高周波電源59から例えば13.56MHzの高周波電力が印加されることにより、分割金属窓57に誘導電流が誘起され、分割金属窓57に誘起された誘導電流により、下チャンバー17内に誘導電界が形成される。この誘導電界により、シャワープレート40から処理領域Sに供給された処理ガスがプラズマ化されて誘導結合型プラズマが生成され、プラズマ中のイオンが基板Gに提供される。尚、各分割金属窓57が固有の高周波アンテナを有し、各高周波アンテナに対して個別に高周波電力が印加される制御が実行されてもよい。 Further, a feeding member 57a extending above the upper chamber 13 is connected to the high frequency antenna 54, a feeding line 57b is connected to the upper end of the feeding member 57a, and the feeding line 57b is a matching device that performs impedance matching. It is connected to the high frequency power supply 59 via 58. An induced current is induced in the split metal window 57 by applying a high frequency power of, for example, 13.56 MHz from the high frequency power supply 59 to the high frequency antenna 54, and the induced current induced in the split metal window 57 causes the lower chamber 17 to be induced. An induced electric field is formed inside. By this induced electric field, the processing gas supplied from the shower plate 40 to the processing region S is turned into plasma to generate inductively coupled plasma, and the ions in the plasma are provided to the substrate G. It should be noted that each divided metal window 57 may have its own high-frequency antenna, and control may be executed in which high-frequency power is individually applied to each high-frequency antenna.

高周波電源59はプラズマ発生用のソース源であり、基板載置台70に接続されている高周波電源83は、発生したイオンを引き付けて運動エネルギを付与するバイアス源となる。このように、イオンソース源には誘導結合を利用してプラズマを生成し、別電源であるバイアス源を基板載置台70に接続してイオンエネルギの制御を行うことより、プラズマの生成とイオンエネルギの制御が独立して行われ、プロセスの自由度を高めることができる。高周波電源59から出力される高周波電力の周波数は、0.1乃至500MHzの範囲内で設定されるのが好ましい。 The high-frequency power source 59 is a source source for plasma generation, and the high-frequency power source 83 connected to the substrate mounting table 70 is a bias source that attracts generated ions and imparts kinetic energy. In this way, plasma is generated from the ion source source using inductive coupling, and a bias source, which is a separate power source, is connected to the substrate mounting table 70 to control the ion energy, thereby generating plasma and ion energy. Is controlled independently, and the degree of freedom of the process can be increased. The frequency of the high frequency power output from the high frequency power supply 59 is preferably set in the range of 0.1 to 500 MHz.

金属窓50は、複数の分割金属窓57により形成され、各分割金属窓57は複数本のサスペンダ(図示せず)により、上チャンバー13の天板12から吊り下げられている。プラズマの生成に寄与する高周波アンテナ54は分割金属窓57の上面に配設されていることから、高周波アンテナ54は分割金属窓57を介して天板12から吊り下げられている。 The metal window 50 is formed by a plurality of divided metal windows 57, and each divided metal window 57 is suspended from the top plate 12 of the upper chamber 13 by a plurality of suspenders (not shown). Since the high-frequency antenna 54 that contributes to the generation of plasma is arranged on the upper surface of the split metal window 57, the high-frequency antenna 54 is suspended from the top plate 12 via the split metal window 57.

導体プレート30を形成する導体プレート本体31の下面には、ガス拡散溝32が形成されている。尚、ガス拡散溝は、シャワープレートの上面に開設されてもよい。また、ガス拡散溝を構成する形状には、長尺状に形成された凹部形状のみならず、面状に形成された凹部形状も含む。 A gas diffusion groove 32 is formed on the lower surface of the conductor plate main body 31 forming the conductor plate 30. The gas diffusion groove may be provided on the upper surface of the shower plate. Further, the shape forming the gas diffusion groove includes not only a concave shape formed in a long shape but also a concave shape formed in a planar shape.

シャワープレート40を形成するシャワープレート本体41には、シャワープレート本体41を貫通して導体プレート30のガス拡散溝32と処理領域Sとに連通する、複数のガス吐出孔42が開設されている。 The shower plate main body 41 forming the shower plate 40 is provided with a plurality of gas discharge holes 42 that penetrate the shower plate main body 41 and communicate with the gas diffusion groove 32 of the conductor plate 30 and the processing region S.

図1に示すように、それぞれの分割金属窓57の有するガス導入管55は、アンテナ室A内で一箇所に纏められ、上方に延びるガス導入管55は上チャンバー13の天板12に開設されている供給口12aを気密に貫通する。そして、ガス導入管55は、気密に結合されたガス供給管61を介して処理ガス供給源64に接続されている。 As shown in FIG. 1, the gas introduction pipe 55 included in each of the divided metal windows 57 is gathered in one place in the antenna chamber A, and the gas introduction pipe 55 extending upward is opened on the top plate 12 of the upper chamber 13. It penetrates the supply port 12a airtightly. The gas introduction pipe 55 is connected to the processing gas supply source 64 via an airtightly coupled gas supply pipe 61.

ガス供給管61の途中位置には開閉バルブ62とマスフローコントローラのような流量制御器63が介在している。ガス供給管61、開閉バルブ62、流量制御器63及び処理ガス供給源64により、ガス供給装置60が形成される。尚、処理領域S内の複数の領域にガスを供給するため、ガス供給管61は途中で分岐しており、各分岐管には開閉バルブと流量制御器、及び処理ガス種に応じた処理ガス供給源が連通している(図示せず)。 An on-off valve 62 and a flow rate controller 63 such as a mass flow controller are interposed at an intermediate position of the gas supply pipe 61. The gas supply device 60 is formed by the gas supply pipe 61, the on-off valve 62, the flow rate controller 63, and the processing gas supply source 64. In order to supply gas to a plurality of regions in the processing region S, the gas supply pipe 61 is branched in the middle, and each branch pipe has an on-off valve, a flow rate controller, and a processing gas according to the processing gas type. The sources are in communication (not shown).

プラズマ処理においては、ガス供給装置60から供給される処理ガスがガス供給管61及びガス導入管55を介して、各分割金属窓57の有する導体プレート30のガス拡散溝32に供給される。そして、各ガス拡散溝32から各シャワープレート40のガス吐出孔42を介して、処理領域Sに吐出される。 In the plasma treatment, the processing gas supplied from the gas supply device 60 is supplied to the gas diffusion groove 32 of the conductor plate 30 of each of the divided metal windows 57 via the gas supply pipe 61 and the gas introduction pipe 55. Then, the gas is discharged from each gas diffusion groove 32 to the processing region S through the gas discharge hole 42 of each shower plate 40.

さらに、各分割金属窓57が固有の高周波アンテナを有し、各高周波アンテナに対して個別に高周波電力が印加される制御が実行されてもよい。 Further, each divided metal window 57 may have a unique high-frequency antenna, and control may be performed in which high-frequency power is individually applied to each high-frequency antenna.

制御部90は、基板処理装置10の各構成部、例えば、チラー86や、高周波電源59,83、ガス供給装置60、圧力計から送信されるモニター情報に基づくガス排気部28等の動作を制御する。制御部90は、CPU(Central Processing Unit)、ROM(Read Only Memory)及びRAM(Random Access Memory)を有する。CPUは、RAMやROMの記憶領域に格納されたレシピに従い、所定の処理を実行する。レシピには、プロセス条件に対する基板処理装置10の制御情報が設定されている。制御情報には、例えば、ガス流量や処理容器20内の圧力、処理容器20内の温度や基材73の温度、プロセス時間等が含まれる。 The control unit 90 controls the operation of each component of the substrate processing device 10, for example, the chiller 86, the high-frequency power supplies 59 and 83, the gas supply device 60, and the gas exhaust unit 28 based on the monitor information transmitted from the pressure gauge. do. The control unit 90 has a CPU (Central Processing Unit), a ROM (Read Only Memory), and a RAM (Random Access Memory). The CPU executes a predetermined process according to a recipe stored in a storage area of RAM or ROM. In the recipe, control information of the substrate processing apparatus 10 for the process conditions is set. The control information includes, for example, the gas flow rate, the pressure in the processing container 20, the temperature in the processing container 20, the temperature of the base material 73, the process time, and the like.

レシピ及び制御部90が適用するプログラムは、例えば、ハードディスクやコンパクトディスク、光磁気ディスク等に記憶されてもよい。また、レシピ等は、CD−ROM、DVD、メモリカード等の可搬性のコンピュータによる読み取りが可能な記憶媒体に収容された状態で制御部90にセットされ、読み出される形態であってもよい。制御部90はその他、コマンドの入力操作等を行うキーボードやマウス等の入力装置、基板処理装置10の稼働状況を可視化して表示するディスプレイ等の表示装置、及びプリンタ等の出力装置といったユーザーインターフェイスを有している。 The recipe and the program applied by the control unit 90 may be stored in, for example, a hard disk, a compact disk, a magneto-optical disk, or the like. Further, the recipe or the like may be set in the control unit 90 in a state of being housed in a storage medium that can be read by a portable computer such as a CD-ROM, a DVD, or a memory card, and may be read. The control unit 90 also provides user interfaces such as input devices such as keyboards and mice that perform command input operations, display devices such as displays that visualize and display the operating status of the board processing device 10, and output devices such as printers. Have.

図2は、金属窓50及び高周波アンテナ54の配置の一例を示す平面図である。なお、図2において、金属窓50の中央から外周へ向かう方向を径方向とし、金属窓50の外周を辿る方向を周方向として、説明する。また、図2において、高周波アンテナ54の形状及び配置は、模式的に図示しており、図2に示す形状や配置に限定されるものではない。 FIG. 2 is a plan view showing an example of the arrangement of the metal window 50 and the high frequency antenna 54. In FIG. 2, the direction from the center of the metal window 50 to the outer circumference is defined as the radial direction, and the direction following the outer circumference of the metal window 50 is defined as the circumferential direction. Further, in FIG. 2, the shape and arrangement of the high-frequency antenna 54 are schematically shown, and are not limited to the shape and arrangement shown in FIG.

金属窓50は、絶縁部材56を介して、複数の分割金属窓57に分割される。具体的には、金属窓50は、径方向に関して、3分割される。また、径方向に3分割された金属窓50は、周方向に関して、中央側から順に、4分割、8分割、12分割される。 The metal window 50 is divided into a plurality of divided metal windows 57 via an insulating member 56. Specifically, the metal window 50 is divided into three in the radial direction. Further, the metal window 50 divided into three in the radial direction is divided into four, eight, and twelve in order from the center side in the circumferential direction.

また、絶縁部材56によって分割される金属窓50は、金属窓50の四隅から45°の方向に延びる第1分割線51と、金属窓50の短辺を挟む2つの第1分割線51が交わる2つの交点を結ぶ長辺に平行な第2分割線52と、を有している。ここで、金属窓50の短辺の長さをWとする。金属窓50の短辺および2つの第1分割線51で囲まれる三角形状の領域の径方向の幅は、W/2となる。また、金属窓50の長辺、2つの第1分割線51および第2分割線52で囲まれる台形状の領域の径方向の幅は、W/2となる。このような構成により、三角形状の領域および台形状の領域において、高周波アンテナ54の巻数を等しくし、径方向の幅を等しくすることにより、分割金属窓57を介在して形成される誘導電界の電界強度を等しくすることができる。これにより、均一なプラズマを形成することができる。 Further, in the metal window 50 divided by the insulating member 56, the first dividing line 51 extending in the direction of 45 ° from the four corners of the metal window 50 and the two first dividing lines 51 sandwiching the short side of the metal window 50 intersect. It has a second dividing line 52 parallel to the long side connecting the two intersections. Here, the length of the short side of the metal window 50 is W. The radial width of the triangular region surrounded by the short side of the metal window 50 and the two first dividing lines 51 is W / 2. Further, the radial width of the trapezoidal region surrounded by the long side of the metal window 50, the two first dividing lines 51 and the second dividing line 52 is W / 2. With such a configuration, in the triangular region and the trapezoidal region, the number of turns of the high-frequency antenna 54 is made equal, and the width in the radial direction is made equal, so that the induced electric field formed via the divided metal window 57 is generated. The electric field strength can be made equal. Thereby, a uniform plasma can be formed.

また、絶縁部材56によって分割される金属窓50は、各辺の周方向に対し、外周側で3分割、中間側で2分割されている。このような構成により、金属窓50の分割数を増やして各分割金属窓57の大きさを小さくすることができ、誘導電界の電界強度分布を細かく調整することができる。これにより、プラズマ分布を精度良く制御することができる。 Further, the metal window 50 divided by the insulating member 56 is divided into three on the outer peripheral side and two on the intermediate side with respect to the circumferential direction of each side. With such a configuration, the number of divisions of the metal window 50 can be increased to reduce the size of each divided metal window 57, and the electric field strength distribution of the induced electric field can be finely adjusted. As a result, the plasma distribution can be controlled with high accuracy.

高周波アンテナ54は、外側アンテナ110と、中間アンテナ120と、内側アンテナ130と、を有する。これら外側アンテナ110、中間アンテナ120、内側アンテナ130は、プラズマ生成に寄与する誘導電界を生成する平面領域、具体的には平面状の額縁状領域141,142,143を有している。額縁状領域141,142,143は、導体プレート30に面して基板Gに対向するように形成されている。また、額縁状領域141,142,143は同心状をなすように配置されており、全体として矩形の基板Gに対応する矩形状平面を構成している。 The high frequency antenna 54 has an outer antenna 110, an intermediate antenna 120, and an inner antenna 130. The outer antenna 110, the intermediate antenna 120, and the inner antenna 130 have a planar region for generating an induced electric field that contributes to plasma generation, specifically, a planar frame-shaped region 141, 142, and 143. The frame-shaped regions 141, 142, and 143 are formed so as to face the conductor plate 30 and face the substrate G. Further, the frame-shaped regions 141, 142, and 143 are arranged so as to form a concentric shape, and form a rectangular plane corresponding to the rectangular substrate G as a whole.

また、外側アンテナ110と対応する額縁状領域141は、径方向に関して3分割された金属窓50のうち、外周側の分割金属窓57上に配置される。中間アンテナ120と対応する額縁状領域142は、径方向に関して3分割された金属窓50のうち、中間の分割金属窓57上に配置される。内側アンテナ130と対応する額縁状領域143は、径方向に関して3分割された金属窓50のうち、中央側の分割金属窓57上に配置される。 Further, the frame-shaped region 141 corresponding to the outer antenna 110 is arranged on the divided metal window 57 on the outer peripheral side of the metal window 50 divided into three in the radial direction. The frame-shaped region 142 corresponding to the intermediate antenna 120 is arranged on the intermediate divided metal window 57 of the metal windows 50 divided into three in the radial direction. The frame-shaped region 143 corresponding to the inner antenna 130 is arranged on the divided metal window 57 on the center side of the metal windows 50 divided into three in the radial direction.

外側アンテナ110は、例えば、渦巻き状の平面アンテナとして構成され(図2では便宜上、同心状に描いている)、それぞれのアンテナが導体プレート30に面して形成する平面全体が額縁状領域141を構成している。なお、図2において、外側アンテナ110の形状は、これに限られるものではない。 The outer antenna 110 is configured as, for example, a spiral planar antenna (drawn concentrically in FIG. 2 for convenience), and the entire plane formed by each antenna facing the conductor plate 30 forms a frame-shaped region 141. It is configured. In FIG. 2, the shape of the outer antenna 110 is not limited to this.

中間アンテナ120は、渦巻き状の平面アンテナとして構成され、それぞれのアンテナが導体プレート30に面して形成する平面全体が額縁状領域142を構成している。中間アンテナ120は、導電性材料、例えば銅などからなる複数本(例えば、4本)のアンテナ線を巻回して全体が渦巻状となるようにした多重(四重)アンテナを構成してもよい。中間アンテナ120のアンテナ線の配置領域が額縁状領域142を構成している。 The intermediate antenna 120 is configured as a spiral flat antenna, and the entire plane formed by each antenna facing the conductor plate 30 constitutes the frame-shaped region 142. The intermediate antenna 120 may form a multiple (quadruple) antenna in which a plurality of (for example, four) antenna wires made of a conductive material such as copper are wound so as to have a spiral shape as a whole. .. The arrangement area of the antenna wire of the intermediate antenna 120 constitutes the frame-shaped area 142.

内側アンテナ130は、渦巻き状の平面アンテナとして構成され、それぞれのアンテナが導体プレート30に面して形成する平面全体が額縁状領域143を構成している。内側アンテナ130は、導電性材料、例えば銅などからなる複数本(例えば、2本)のアンテナ線を巻回して全体が渦巻状となるようにした多重(二重)アンテナを構成してもよい。また、内側アンテナ130は、1本のアンテナ線を渦巻き状に巻回して構成してもよい。内側アンテナ130のアンテナ線の配置領域が額縁状領域143を構成している。 The inner antenna 130 is configured as a spiral flat antenna, and the entire plane formed by each antenna facing the conductor plate 30 constitutes the frame-shaped region 143. The inner antenna 130 may form a multiple (double) antenna in which a plurality of (for example, two) antenna wires made of a conductive material such as copper are wound so as to have a spiral shape as a whole. .. Further, the inner antenna 130 may be configured by winding one antenna wire in a spiral shape. The arrangement area of the antenna wire of the inner antenna 130 constitutes the frame-shaped area 143.

なお、高周波アンテナ54は、3つの環状アンテナ(外側アンテナ110、中間アンテナ120、内側アンテナ130)を有する構成に限られるものではなく、2つまたは4つ以上の環状アンテナを有する構成であってもよい。また、外側アンテナ110は、渦巻き状の平面アンテナ以外の形状、例えば、導体プレート30の平面に対して縦巻きに巻回する複数のアンテナセグメントを環状に配置した多分割環状アンテナであってもよい。 The high-frequency antenna 54 is not limited to a configuration having three annular antennas (outer antenna 110, intermediate antenna 120, inner antenna 130), and may have a configuration having two or four or more annular antennas. good. Further, the outer antenna 110 may be a multi-divided annular antenna having a shape other than the spiral flat antenna, for example, a plurality of antenna segments that are wound vertically with respect to the plane of the conductor plate 30 are arranged in an annular shape. ..

<中間アンテナ>
次に、中間アンテナ120の一例について、図3及び図4を用いて更に説明する。図3は、中間アンテナ120の一例の平面図である。図4は、中間アンテナ120の一例の斜視図である。
<Intermediate antenna>
Next, an example of the intermediate antenna 120 will be further described with reference to FIGS. 3 and 4. FIG. 3 is a plan view of an example of the intermediate antenna 120. FIG. 4 is a perspective view of an example of the intermediate antenna 120.

図3に示すように、中間アンテナ120は、4本のアンテナ線121〜124を90°ずつ位置をずらして巻回して、全体が渦巻状となるようにした四重アンテナを構成している。なお、図3においては、4本のアンテナ線121〜124のうちの1つのアンテナ線121にドットの網掛けを付して、他のアンテナ線122〜124との識別が容易となるように図示している。また、以下の説明において、中間アンテナ120の長手方向をX方向、中間アンテナ120の短手方向をY方向、中間アンテナ120の高さ方向(縦方向)をZ方向として、説明する。 As shown in FIG. 3, the intermediate antenna 120 constitutes a quadruple antenna in which four antenna wires 121 to 124 are wound by shifting their positions by 90 ° so as to form a spiral shape as a whole. In FIG. 3, one of the four antenna wires 121 to 124 is shaded with dots so that the antenna wires 121 to 124 can be easily distinguished from the other antenna wires 122 to 124. It shows. Further, in the following description, the longitudinal direction of the intermediate antenna 120 will be the X direction, the lateral direction of the intermediate antenna 120 will be the Y direction, and the height direction (vertical direction) of the intermediate antenna 120 will be the Z direction.

アンテナ線121は、第1角部121a、第1辺部121b、第2角部121c、第2辺部121d、第3角部121e、接続部121fを有している。第1辺部121b、第2角部121c、第2辺部121d、第3角部121eは、基板載置台70の載置面に対向する対向面に配置されており、中間アンテナ120の平面部を形成する。アンテナ線121の一端には、接続部121fが形成される。接続部121fは、給電部材57a(図1参照)を介して、高周波電源59と接続される。アンテナ線121の他端(末端)には、縦巻きに巻回する縦巻き部としての第1角部121aが形成されている。アンテナ線121の他端は、接地電位に接続される(図示しない)。 The antenna wire 121 has a first corner portion 121a, a first side portion 121b, a second corner portion 121c, a second side portion 121d, a third corner portion 121e, and a connection portion 121f. The first side portion 121b, the second corner portion 121c, the second side portion 121d, and the third corner portion 121e are arranged on facing surfaces facing the mounting surface of the substrate mounting table 70, and are flat portions of the intermediate antenna 120. To form. A connecting portion 121f is formed at one end of the antenna wire 121. The connecting portion 121f is connected to the high frequency power supply 59 via the feeding member 57a (see FIG. 1). At the other end (end) of the antenna wire 121, a first corner portion 121a is formed as a vertically wound portion to be wound vertically. The other end of the antenna wire 121 is connected to the ground potential (not shown).

同様に、アンテナ線122は、第1角部122a、第1辺部122b、第2角部122c、第2辺部122d、第3角部122e、接続部122fを有している。アンテナ線123は、第1角部123a、第1辺部123b、第2角部123c、第2辺部123d、第3角部123e、接続部123fを有している。アンテナ線124は、第1角部124a、第1辺部124b、第2角部124c、第2辺部124d、第3角部124e、接続部124fを有している。接続部122f〜124fは、給電部材57a(図1参照)を介して、高周波電源59と接続される。アンテナ線122〜124の他端は、接地電位に接続される(図示しない)。 Similarly, the antenna wire 122 has a first corner portion 122a, a first side portion 122b, a second corner portion 122c, a second side portion 122d, a third corner portion 122e, and a connecting portion 122f. The antenna wire 123 has a first corner portion 123a, a first side portion 123b, a second corner portion 123c, a second side portion 123d, a third corner portion 123e, and a connection portion 123f. The antenna wire 124 has a first corner portion 124a, a first side portion 124b, a second corner portion 124c, a second side portion 124d, a third corner portion 124e, and a connection portion 124f. The connecting portions 122f to 124f are connected to the high frequency power supply 59 via the feeding member 57a (see FIG. 1). The other end of the antenna wires 122 to 124 is connected to the ground potential (not shown).

額縁状領域142(図2参照)の角部には、それぞれ第1角部121a〜124aが配置される。アンテナ線121の第1角部121aの内側には、アンテナ線124の第2角部124c及びアンテナ線123の第3角部123eが配置される。同様に、アンテナ線122の第1角部122aの内側には、アンテナ線121の第2角部121c及びアンテナ線124の第3角部124eが配置される。アンテナ線123の第1角部123aの内側には、アンテナ線122の第2角部122c及びアンテナ線121の第3角部121eが配置される。アンテナ線124の第1角部124aの内側には、アンテナ線123の第2角部123c及びアンテナ線122の第3角部122eが配置される。 First corner portions 121a to 124a are arranged at the corner portions of the frame-shaped region 142 (see FIG. 2), respectively. Inside the first corner portion 121a of the antenna wire 121, the second corner portion 124c of the antenna wire 124 and the third corner portion 123e of the antenna wire 123 are arranged. Similarly, inside the first corner portion 122a of the antenna wire 122, the second corner portion 121c of the antenna wire 121 and the third corner portion 124e of the antenna wire 124 are arranged. Inside the first corner portion 123a of the antenna wire 123, the second corner portion 122c of the antenna wire 122 and the third corner portion 121e of the antenna wire 121 are arranged. Inside the first corner portion 124a of the antenna wire 124, the second corner portion 123c of the antenna wire 123 and the third corner portion 122e of the antenna wire 122 are arranged.

第1角部121aが配置される額縁状領域142の角部と第1角部122aが配置される額縁状領域142の角部との間の辺部には、アンテナ線121の第1辺部121b及びアンテナ線124の第2辺部124dが配置される。中間アンテナ120の平面部を形成する第1辺部121b及び第2辺部124dは、プラズマに寄与する誘導電界を生成する額縁状領域142の一部(辺部)を構成している。 The first side portion of the antenna wire 121 is formed on the side portion between the corner portion of the frame-shaped region 142 in which the first corner portion 121a is arranged and the corner portion of the frame-shaped region 142 in which the first corner portion 122a is arranged. 121b and the second side portion 124d of the antenna wire 124 are arranged. The first side portion 121b and the second side portion 124d forming the flat portion of the intermediate antenna 120 form a part (side portion) of the frame-shaped region 142 that generates an induced electric field that contributes to plasma.

第1辺部121bは、直線部121b1、屈曲部121b2、直線部121b3を有している。また、第2辺部124dは、直線部124d1、屈曲部124d2、直線部124d3を有している。直線部121b1と直線部124d1とは、所定の間隔を有して配置されている。直線部121b3と直線部124d3とは、所定の間隔を有して配置されている。また、直線部121b3と直線部124d1とは、同一線上に配置されている。また、屈曲部121b2,124d2は、額縁状領域142の辺の中央部に設けられている。 The first side portion 121b has a straight portion 121b1, a bent portion 121b2, and a straight portion 121b3. Further, the second side portion 124d has a straight portion 124d1, a bent portion 124d2, and a straight portion 124d3. The straight line portion 121b1 and the straight line portion 124d1 are arranged at a predetermined interval. The straight line portion 121b3 and the straight line portion 124d3 are arranged at a predetermined interval. Further, the straight line portion 121b3 and the straight line portion 124d1 are arranged on the same line. Further, the bent portions 121b2 and 124d2 are provided at the central portion of the side of the frame-shaped region 142.

同様に、第1角部122aが配置される額縁状領域142の角部と第1角部123aが配置される額縁状領域142の角部との間の辺部には、アンテナ線122の第1辺部122b及びアンテナ線121の第2辺部121dが配置される。中間アンテナ120の平面部を形成する第1辺部122b及び第2辺部121dは、プラズマに寄与する誘導電界を生成する額縁状領域142の一部(辺部)を構成している。第1角部123aが配置される額縁状領域142の角部と第1角部124aが配置される額縁状領域142の角部との間の辺部には、アンテナ線123の第1辺部123b及びアンテナ線122の第2辺部122dが配置される。中間アンテナ120の平面部を形成する第1辺部123b及び第2辺部122dは、プラズマに寄与する誘導電界を生成する額縁状領域142の一部(辺部)を構成している。第1角部124aが配置される額縁状領域142の角部と第1角部121aが配置される額縁状領域142の角部との間の辺部には、アンテナ線124の第1辺部124b及びアンテナ線123の第2辺部123dが配置される。中間アンテナ120の平面部を形成する第1辺部124b及び第2辺部123dは、プラズマに寄与する誘導電界を生成する額縁状領域142の一部(辺部)を構成している。また、第1辺部122b,123b,124b、第2辺部121d,122d,123dは、それぞれ第1辺部121b、第2辺部124dと同様の屈曲部を有している。 Similarly, on the side portion between the corner portion of the frame-shaped region 142 in which the first corner portion 122a is arranged and the corner portion of the frame-shaped region 142 in which the first corner portion 123a is arranged, a second antenna wire 122 is provided. One side portion 122b and the second side portion 121d of the antenna wire 121 are arranged. The first side portion 122b and the second side portion 121d forming the flat portion of the intermediate antenna 120 form a part (side portion) of the frame-shaped region 142 that generates an induced electric field that contributes to plasma. The first side portion of the antenna wire 123 is located on the side portion between the corner portion of the frame-shaped region 142 in which the first corner portion 123a is arranged and the corner portion of the frame-shaped region 142 in which the first corner portion 124a is arranged. The 123b and the second side portion 122d of the antenna wire 122 are arranged. The first side portion 123b and the second side portion 122d forming the flat portion of the intermediate antenna 120 form a part (side portion) of the frame-shaped region 142 that generates an induced electric field that contributes to plasma. The first side portion of the antenna wire 124 is located on the side portion between the corner portion of the frame-shaped region 142 in which the first corner portion 124a is arranged and the corner portion of the frame-shaped region 142 in which the first corner portion 121a is arranged. The second side portion 123d of 124b and the antenna wire 123 is arranged. The first side portion 124b and the second side portion 123d forming the flat portion of the intermediate antenna 120 form a part (side portion) of the frame-shaped region 142 that generates an induced electric field that contributes to plasma. Further, the first side portions 122b, 123b, 124b and the second side portions 121d, 122d, 123d have the same bent portions as the first side portion 121b and the second side portion 124d, respectively.

図4に示すように、アンテナ線121の第1角部121aは、アンテナ線211〜213と、アンテナ線221〜223と、アンテナ線231〜232と、アンテナ線241〜242と、を有している。 As shown in FIG. 4, the first corner portion 121a of the antenna wire 121 has antenna wires 211 to 213, antenna wires 221 to 223, antenna wires 231 to 232, and antenna wires 241 to 242. There is.

アンテナ線121の第1角部121aは、アンテナ線を基板G(導体プレート30)の表面に直交する方向である縦方向が巻回方向となる縦巻きで、螺旋状に巻回する縦巻き部として構成されている。また、第1角部121aの巻回軸は、基板載置台70の載置面に対向する対向面と平行で、かつ、平面視して額縁状領域142の角部と交差する。 The first corner portion 121a of the antenna wire 121 is a vertical winding portion in which the antenna wire is wound in a spiral direction in the vertical direction, which is a direction orthogonal to the surface of the substrate G (conductor plate 30). It is configured as. Further, the winding shaft of the first corner portion 121a is parallel to the facing surface facing the mounting surface of the substrate mounting table 70, and intersects the corner portion of the frame-shaped region 142 in a plan view.

アンテナ線211〜213は、基板載置台70の載置面に対向する対向面上に配置されている。即ち、第1角部121aのアンテナ線211〜213で形成される底部平面部201は、載置面に対向する対向面を共有する。また、底部平面部201を形成する第1角部121aのアンテナ線211〜213と、中間アンテナ120の平面部を形成するアンテナ線124の第2角部124c及びアンテナ線123の第3角部123eとは、プラズマに寄与する誘導電界を生成する額縁状領域142の一部(角部)を構成している。尚、アンテナ線124の第2角部124c及びアンテナ線123の第3角部123eも、アンテナ線211〜213と、載置面に対向する対向面を共有する。 The antenna wires 211 to 213 are arranged on the facing surface facing the mounting surface of the board mounting table 70. That is, the bottom flat portion 201 formed by the antenna wires 211 to 213 of the first corner portion 121a shares the facing surface facing the mounting surface. Further, the antenna wires 211 to 213 of the first corner portion 121a forming the bottom flat portion 201, the second corner portion 124c of the antenna wire 124 forming the flat portion of the intermediate antenna 120, and the third corner portion 123e of the antenna wire 123. Consists of a part (corner portion) of the frame-shaped region 142 that generates an induced electric field that contributes to plasma. The second corner portion 124c of the antenna wire 124 and the third corner portion 123e of the antenna wire 123 also share a facing surface facing the mounting surface with the antenna wires 211 to 213.

具体的には、3本のアンテナ線211〜213は、対向面に配置され、角部を形成するようにL字状に形成されている。即ち、アンテナ線211〜213は、+Y方向(一端から角部に向かう方向)に延びる一端側の直線部分と、90°屈曲する角部と、+X方向(角部から他端に向かう方向)に延びる他端側の直線部分と、を有する。また、アンテナ線211〜213の一端側の直線部分は、所定の間隔(一方のアンテナ線の近接面と他方のアンテナ線の近接面との距離)G1を有して互いに平行に配置されている。また、アンテナ線211〜213の他端側の直線部分は、所定の間隔G1を有して互いに平行に配置されている。なお、所定の間隔G1は、例えば、10mm以上30mm以下が好適である。これにより、アンテナ線間の異常放電を防止することができる。 Specifically, the three antenna wires 211 to 213 are arranged on facing surfaces and are formed in an L shape so as to form a corner portion. That is, the antenna wires 211 to 213 extend in the + Y direction (direction from one end to the corner), a straight portion on one end side, a corner bent by 90 °, and the + X direction (direction from the corner to the other end). It has a straight portion on the other end side extending. Further, the straight portions on one end side of the antenna wires 211 to 213 have a predetermined interval (distance between the proximity surface of one antenna wire and the proximity surface of the other antenna wire) G1 and are arranged in parallel with each other. .. Further, the straight portions on the other end side of the antenna wires 211 to 213 have a predetermined interval G1 and are arranged in parallel with each other. The predetermined interval G1 is preferably, for example, 10 mm or more and 30 mm or less. This makes it possible to prevent abnormal discharge between the antenna wires.

アンテナ線211〜213の他端には、+Z方向(対向面から離れる方向)に延びるアンテナ線221〜223がそれぞれ接続されている。また、アンテナ線212〜213の一端には、+Z方向(対向面から離れる方向)に延びるアンテナ線241〜242がそれぞれ接続されている。アンテナ線221〜223及びアンテナ線241〜242は、底部平面部201の両側に立折する2つの側部を形成する。また、アンテナ線221〜222の上端と、アンテナ線241〜242の上端とは、水平に伸びるアンテナ線231〜232でそれぞれ接続されている。アンテナ線221〜222の上端はアンテナ線231〜232の一端に接続され、アンテナ線241〜242の上端はアンテナ線231〜232の他端に接続される。アンテナ線231〜232は、第1角部121aの上面部を形成する。なお、アンテナ線231〜232で形成される第1角部121aの上面部は、対向面(底部平面部201)と平行に形成されている。また、アンテナ線223は、接地電位に接続される(図示しない)。 Antenna wires 221 to 223 extending in the + Z direction (direction away from the facing surface) are connected to the other ends of the antenna wires 211 to 213, respectively. Further, antenna wires 241 to 242 extending in the + Z direction (direction away from the facing surface) are connected to one end of the antenna wires 212 to 213, respectively. The antenna wires 221 to 223 and the antenna wires 241 to 242 form two side portions that fold on both sides of the bottom flat portion 201. Further, the upper ends of the antenna wires 221 to 222 and the upper ends of the antenna wires 241 to 242 are connected by horizontally extending antenna wires 231 to 232, respectively. The upper ends of the antenna wires 221 to 222 are connected to one end of the antenna wires 231 to 232, and the upper ends of the antenna wires 241 to 242 are connected to the other ends of the antenna wires 231 to 232. The antenna wires 231 to 232 form the upper surface portion of the first corner portion 121a. The upper surface of the first corner portion 121a formed by the antenna wires 231 to 232 is formed parallel to the facing surface (bottom flat portion 201). Further, the antenna wire 223 is connected to the ground potential (not shown).

図3及び図4の示す第1角部121aにおいて、アンテナ線231は、アンテナ線221の上端と、アンテナ線241の上端とを直線的に結合する(斜めに伸びる)ように形成されている。また、アンテナ線232は、アンテナ線222の上端と、アンテナ線242の上端とを直線的に結合する(斜めに伸びる)ように形成されている。 In the first corner portion 121a shown in FIGS. 3 and 4, the antenna wire 231 is formed so as to linearly connect (extend diagonally) the upper end of the antenna wire 221 and the upper end of the antenna wire 241. Further, the antenna wire 232 is formed so as to linearly connect (extend diagonally) the upper end of the antenna wire 222 and the upper end of the antenna wire 242.

なお、アンテナ線231,232は、アンテナ線211〜213の形状に対応して角部を形成するようにL字状に屈曲して形成され、アンテナ線221〜222とアンテナ線241〜242と結合してもよい。即ち、アンテナ線231は、−X方向(アンテナ線231の一端から角部に向かう方向)に延びる一端側の直線部分と、90°屈曲する角部と、−Y方向(角部からアンテナ線231の他端に向かう方向)に延びる他端側の直線部分と、を有する。アンテナ線231の一端側の直線部分は、平面視して、アンテナ線211の他端側の直線部分と重なるように配置される。アンテナ線231の他端側の直線部分は、平面視して、アンテナ線212の一端側の直線部分と重なるように配置される。同様に、アンテナ線232も、角部を形成するようにL字状に形成されていてもよい。 The antenna wires 231 and 232 are bent in an L shape so as to form corners corresponding to the shapes of the antenna wires 211 to 213, and are coupled to the antenna wires 221 to 222 and the antenna wires 241 to 242. You may. That is, the antenna wire 231 has a straight portion on one end side extending in the −X direction (direction from one end of the antenna wire 231 toward the corner), a corner portion bent by 90 °, and the −Y direction (from the corner to the antenna wire 231). It has a straight portion on the other end side extending in the direction toward the other end of the antenna. The straight portion on one end side of the antenna wire 231 is arranged so as to overlap the straight portion on the other end side of the antenna wire 211 in a plan view. The straight portion on the other end side of the antenna wire 231 is arranged so as to overlap the straight portion on the one end side of the antenna wire 212 in a plan view. Similarly, the antenna wire 232 may also be formed in an L shape so as to form a corner portion.

アンテナ線124の第2角部124cは、3本のアンテナ線211〜213の角部の内側に配置される。アンテナ線123の第3角部123eは、3本のアンテナ線211〜213の角部及びアンテナ線124の第2角部124cの内側に配置される。なお、アンテナ線211〜213、第2角部124c及び第3角部123eは、所定の間隔G1を有して等間隔に配置されている。 The second corner portion 124c of the antenna wire 124 is arranged inside the corner portions of the three antenna wires 211 to 213. The third corner portion 123e of the antenna wire 123 is arranged inside the corner portions of the three antenna wires 211 to 213 and the second corner portion 124c of the antenna wire 124. The antenna wires 211 to 213, the second corner portion 124c, and the third corner portion 123e are arranged at equal intervals with a predetermined interval G1.

アンテナ線122の第1角部122a、アンテナ線123の第1角部123a及びアンテナ線124の第1角部124aについても、アンテナ線121の第1角部121aと同様の構成を有している。 The first corner portion 122a of the antenna wire 122, the first corner portion 123a of the antenna wire 123, and the first corner portion 124a of the antenna wire 124 also have the same configuration as the first corner portion 121a of the antenna wire 121. ..

このような構成により、中間アンテナ120は、額縁状領域142の角部の巻数を額縁状領域142の辺中央部の巻数よりも多くすることができる。具体的には、図3及び図4に示す中間アンテナ120の一例において、角部の巻数を5、辺の中央部の巻数を2としている。中間アンテナ120によれば、角部の巻数を辺の中央部の巻数よりも多くして、額縁状領域142の角部の誘導電界を強くすることができる。これにより、プラズマが弱く(プラズマ密度が低く)なる傾向にある額縁状領域142の角部について、プラズマを強く(プラズマ密度を高く)することができる。よって、基板G上のプラズマ密度の均一性を向上させることができる。 With such a configuration, the intermediate antenna 120 can have more turns at the corners of the frame-shaped region 142 than at the center of the sides of the frame-shaped region 142. Specifically, in the example of the intermediate antenna 120 shown in FIGS. 3 and 4, the number of turns at the corners is 5, and the number of turns at the center of the sides is 2. According to the intermediate antenna 120, the number of turns at the corners can be made larger than the number of turns at the center of the sides to increase the induced electric field at the corners of the frame-shaped region 142. As a result, the plasma can be strengthened (plasma density is increased) at the corners of the frame-shaped region 142 where the plasma tends to be weak (plasma density is low). Therefore, the uniformity of the plasma density on the substrate G can be improved.

なお、第1角部121a〜124aにおける対向面上に配置されるアンテナ線211〜213の数は、3本であるものとして説明したが、本数はこれに限られるものではない。第1角部121a〜124aにおける対向面上に配置されるアンテナ線の数を変えることにより、中間アンテナ120によって生成される電界生成を制御することができる。 Although the number of antenna wires 211 to 213 arranged on the facing surfaces in the first corner portions 121a to 124a has been described as being three, the number is not limited to this. By changing the number of antenna wires arranged on the facing surfaces in the first corner portions 121a to 124a, it is possible to control the electric field generation generated by the intermediate antenna 120.

次に、他の中間アンテナ120Aの一例について、図5から図7を用いて説明する。図5は、中間アンテナ120Aの他の一例の平面図である。図6は、中間アンテナ120Aの他の一例の斜視図である。図7は、中間アンテナ120Aの他の一例の正面図である。 Next, an example of another intermediate antenna 120A will be described with reference to FIGS. 5 to 7. FIG. 5 is a plan view of another example of the intermediate antenna 120A. FIG. 6 is a perspective view of another example of the intermediate antenna 120A. FIG. 7 is a front view of another example of the intermediate antenna 120A.

図5に示すように、アンテナ線121は、第2角部121c及び第3角部121eの構造が異なっている。アンテナ線121の第2角部121cは、アンテナ線122の第1角部122aにおいて、外廻しされている。アンテナ線121の第3角部121eは、アンテナ線123の第1角部123aにおいて、外廻しされている。アンテナ線122〜124についても同様である。 As shown in FIG. 5, the antenna wire 121 has different structures of the second corner portion 121c and the third corner portion 121e. The second corner portion 121c of the antenna wire 121 is externally rotated at the first corner portion 122a of the antenna wire 122. The third corner portion 121e of the antenna wire 121 is externally rotated at the first corner portion 123a of the antenna wire 123. The same applies to the antenna wires 122 to 124.

図6に示すように、アンテナ線121の第1角部121aにおいて、アンテナ線124の第2角部124c及びアンテナ線123の第3角部123eが外廻しされている。 As shown in FIG. 6, in the first corner portion 121a of the antenna wire 121, the second corner portion 124c of the antenna wire 124 and the third corner portion 123e of the antenna wire 123 are externally rotated.

アンテナ線124の第2角部124cは、連結部124c1と、外廻し部124c2と、連結部124c3と、を有する。 The second corner portion 124c of the antenna wire 124 has a connecting portion 124c1, an outer peripheral portion 124c2, and a connecting portion 124c3.

外廻し部124c2は、角部を形成するようにL字状に形成されている。即ち、外廻し部124c2は、+Y方向に延びる一端側の直線部分と、90°屈曲する角部と、+X方向に延びる他端側の直線部分と、を有する。また、外廻し部124c2は、平面視して、アンテナ線213の角部の上に重なるように配置される。また、図7に示すように、外廻し部124c2は、水平視して、アンテナ線213の上端から外廻し部124c2の下端まで、高さHの隙間を有して配置される。ここで、高さHは、所定の間隔G1以下とすることが好ましい(H≦G1)。また、高さHは、外廻し部124c2とアンテナ線213との間で異常放電が生じない間隔以上とすることが好ましい。この様に外廻し部124c2を配置することにより、外廻し部124c2の誘導電界がプラズマの生成に寄与する。 The outer peripheral portion 124c2 is formed in an L shape so as to form a corner portion. That is, the outer peripheral portion 124c2 has a straight portion on one end side extending in the + Y direction, a corner portion bent by 90 °, and a straight portion on the other end side extending in the + X direction. Further, the outer peripheral portion 124c2 is arranged so as to overlap the corner portion of the antenna wire 213 in a plan view. Further, as shown in FIG. 7, the outer peripheral portion 124c2 is arranged with a gap of height H from the upper end of the antenna wire 213 to the lower end of the outer peripheral portion 124c2 in a horizontal view. Here, the height H is preferably set to a predetermined interval G1 or less (H ≦ G1). Further, the height H is preferably set to be equal to or larger than the interval at which abnormal discharge does not occur between the outer peripheral portion 124c2 and the antenna wire 213. By arranging the outer peripheral portion 124c2 in this way, the induced electric field of the outer peripheral portion 124c2 contributes to the generation of plasma.

連結部124c1は、第1辺部124bの一端と外廻し部124c2の他端とを接続する。連結部124c1は、例えば第1辺部124bの一端から立ち上がる立ち上がり部と、角部の内側から外側に向かって延びて外廻し部124c2の他端と接続する延伸部と、を有している。連結部124c3は、第2辺部124dの他端と外廻し部124c2の一端とを接続する。連結部124c3は、例えば第2辺部124dの他端から立ち上がる立ち上がり部と、角部の内側から外側に向かって延びて外廻し部124c2の一端と接続する延伸部と、を有している。 The connecting portion 124c1 connects one end of the first side portion 124b and the other end of the outer peripheral portion 124c2. The connecting portion 124c1 has, for example, a rising portion that rises from one end of the first side portion 124b, and an extending portion that extends from the inside to the outside of the corner portion and connects to the other end of the outer peripheral portion 124c2. The connecting portion 124c3 connects the other end of the second side portion 124d and one end of the outer peripheral portion 124c2. The connecting portion 124c3 has, for example, a rising portion that rises from the other end of the second side portion 124d, and an extending portion that extends from the inside to the outside of the corner portion and connects to one end of the outer peripheral portion 124c2.

同様に、アンテナ線123の第3角部123eは、連結部123e1と、外廻し部123e2と、連結部123e3と、を有する。外廻し部123e2は、平面視して、アンテナ線212の角部の上に重なるように配置される。 Similarly, the third corner portion 123e of the antenna wire 123 has a connecting portion 123e1, an outer peripheral portion 123e2, and a connecting portion 123e3. The outer peripheral portion 123e2 is arranged so as to overlap the corner portion of the antenna wire 212 in a plan view.

このような構成により、中間アンテナ120Aは、額縁状領域142の角部の巻数を額縁状領域142の辺中央部の巻数よりも多くすることができる。加えて、中間アンテナ120Aは、アンテナ線121の縦巻き部である第1角部121aに配置される他のアンテナ線であるアンテナ線124の第2角部124c、アンテナ線123の第3角部123eを角部の外側部分に配置することができる。これにより、額縁状領域142の角部の誘導電界を強くすることができる。 With such a configuration, the intermediate antenna 120A can have more turns at the corners of the frame-shaped region 142 than at the center of the sides of the frame-shaped region 142. In addition, the intermediate antenna 120A is a second corner portion 124c of the antenna wire 124, which is another antenna wire arranged in the first corner portion 121a which is a vertically wound portion of the antenna wire 121, and a third corner portion of the antenna wire 123. The 123e can be placed on the outer portion of the corner. Thereby, the induced electric field at the corner of the frame-shaped region 142 can be strengthened.

なお、図5及び図6に示す中間アンテナ120Aは、第2角部124c及び第3角部123eの2本を外廻しするものとして説明したが、これに限られるものではなく、第3角部123eの1本のみを外廻しする構成であってもよい。この場合、第3角部123eの外廻し部123e2は、平面視して、アンテナ線213の角部の上に重なるように配置されてもよい。また、3本以上を外廻しする構成であってもよい。なお、外廻しする本数は、額縁状領域142の角部の巻数の半分以下が好ましい。 The intermediate antenna 120A shown in FIGS. 5 and 6 has been described as externally rotating two antennas, the second corner portion 124c and the third corner portion 123e, but the present invention is not limited to this, and the third corner portion is not limited to this. A configuration in which only one of 123e is externally rotated may be used. In this case, the outer peripheral portion 123e2 of the third corner portion 123e may be arranged so as to overlap the corner portion of the antenna wire 213 in a plan view. Further, the configuration may be such that three or more are externally rotated. The number of external rotations is preferably half or less of the number of turns at the corners of the frame-shaped region 142.

次に、更に他の中間アンテナ120Bの一例について、図8から図9を用いて説明する。図8は、中間アンテナ120Aの他の一例の平面図である。図9は、中間アンテナ120Aの他の一例の斜視図である。 Next, another example of the intermediate antenna 120B will be described with reference to FIGS. 8 to 9. FIG. 8 is a plan view of another example of the intermediate antenna 120A. FIG. 9 is a perspective view of another example of the intermediate antenna 120A.

図8に示すように、アンテナ線121は、第2角部121c及び第3角部121eの構造が異なっている。アンテナ線121の第2角部121cは、アンテナ線122の第1角部122aにおいて、ショートカットするように角部の内側に斜めに配線されている。アンテナ線121の第3角部121eは、アンテナ線123の第1角部123aにおいて、ショートカットするように角部の内側に斜めに配線されている。アンテナ線122〜124についても同様である。 As shown in FIG. 8, the antenna wire 121 has different structures of the second corner portion 121c and the third corner portion 121e. The second corner portion 121c of the antenna wire 121 is diagonally wired inside the corner portion so as to make a shortcut at the first corner portion 122a of the antenna wire 122. The third corner portion 121e of the antenna wire 121 is diagonally wired inside the corner portion so as to make a shortcut at the first corner portion 123a of the antenna wire 123. The same applies to the antenna wires 122 to 124.

図9に示すように、アンテナ線121の第1角部121aにおいて、対向面上のアンテナ線(底部平面部201を形成するアンテナ線)であるアンテナ線123の第3角部123e、アンテナ線124の第2角部124c、アンテナ線211〜213のうち、内側のアンテナ線であるアンテナ線123の第3角部123e、アンテナ線124の第2角部124cが角部を構成せずに直線的に短絡して形成されている。即ち、第2角部124cは、額縁状領域142一方の辺部に沿って配置されるアンテナ線(第1辺部124b)の端部と、額縁状領域142他方の辺部に沿って配置されるアンテナ線(第2辺部124d)の端部とを直線的に短絡する。また、第3角部123eは、額縁状領域142一方の辺部に沿って配置されるアンテナ線(第2辺部123d)の端部と、額縁状領域142他方の辺部に沿って配置されるアンテナ線(接続部123f)の端部とを直線的に短絡する。 As shown in FIG. 9, in the first corner portion 121a of the antenna wire 121, the third corner portion 123e and the antenna wire 124 of the antenna wire 123 which are the antenna wires (the antenna wires forming the bottom flat portion 201) on the opposite surface. Of the second corner portion 124c and the antenna wires 211 to 213, the third corner portion 123e of the antenna wire 123, which is the inner antenna wire, and the second corner portion 124c of the antenna wire 124 do not form a corner portion and are linear. It is formed by short-circuiting to. That is, the second corner portion 124c is arranged along the end portion of the antenna wire (first side portion 124b) arranged along one side portion of the frame-shaped region 142 and the other side portion of the frame-shaped region 142. The end of the antenna wire (second side portion 124d) is short-circuited linearly. Further, the third corner portion 123e is arranged along the end portion of the antenna wire (second side portion 123d) arranged along one side portion of the frame-shaped region 142 and the other side portion of the frame-shaped region 142. The end of the antenna wire (connecting portion 123f) is short-circuited linearly.

このような構成により、中間アンテナ120Bは、額縁状領域142の角部の巻数を額縁状領域142の辺中央部の巻数よりも多くすることができる。これにより、額縁状領域142の角部の誘導電界を強くすることができる。また、中間アンテナ120Bは、角部内側の電界生成を補助することができる。 With such a configuration, the intermediate antenna 120B can have more turns at the corners of the frame-shaped region 142 than at the center of the sides of the frame-shaped region 142. Thereby, the induced electric field at the corner of the frame-shaped region 142 can be strengthened. Further, the intermediate antenna 120B can assist the electric field generation inside the corner portion.

なお、図8及び図9に示す中間アンテナ120Bは、第3角部123e、第2角部124cの2本を直線的に短絡するものとして説明したが、これに限られるものではなく、第3角部123eの1本のみを直線的に短絡する構成であってもよい。また、3本以上を直線的に短絡する構成であってもよい。 The intermediate antenna 120B shown in FIGS. 8 and 9 has been described as a linear short circuit between the third corner portion 123e and the second corner portion 124c, but the present invention is not limited to this, and the third corner portion 124c is not limited to this. Only one of the corner portions 123e may be short-circuited linearly. Further, the configuration may be such that three or more are linearly short-circuited.

上記実施形態に挙げた構成等に対し、その他の構成要素が組み合わされるなどした他の実施形態であってもよく、また、本開示はここで示した構成に何等限定されるものではない。この点に関しては、本開示の趣旨を逸脱しない範囲で変更することが可能であり、その応用形態に応じて適切に定めることができる。 Other embodiments may be obtained in which other components are combined with respect to the configurations and the like described in the above embodiments, and the present disclosure is not limited to the configurations shown here. This point can be changed without departing from the gist of the present disclosure, and can be appropriately determined according to the application form thereof.

例えば、図1の基板処理装置10は、処理容器20の窓部材として金属窓50を備えた誘導結合型のプラズマ処理装置として説明したが、これに限られるものではなく、窓部材として誘電体窓を備えた誘導結合型のプラズマ処理装置であってもよい。また、他の形態のプラズマ処理装置であってもよい。 For example, the substrate processing apparatus 10 of FIG. 1 has been described as an inductively coupled plasma processing apparatus provided with a metal window 50 as a window member of the processing container 20, but the present invention is not limited to this, and the dielectric window as a window member. It may be an inductively coupled plasma processing apparatus provided with. Further, it may be another form of plasma processing apparatus.

図10は、中間アンテナ120Cの一例の平面図である。図3等に示す中間アンテナ120(120A,120B)では、額縁状領域142の辺の中央部にアンテナ線の屈曲部(121b2,124d2)を設けるものとして説明したがこれに限られるものではない。図10に示すように、屈曲部(121b2,124d2)を額縁状領域142の角部付近に設けてもよい。 FIG. 10 is a plan view of an example of the intermediate antenna 120C. In the intermediate antenna 120 (120A, 120B) shown in FIG. 3 and the like, it has been described that the bent portion (121b2,124d2) of the antenna wire is provided at the central portion of the side of the frame-shaped region 142, but the present invention is not limited to this. As shown in FIG. 10, a bent portion (121b2, 124d2) may be provided near a corner portion of the frame-shaped region 142.

図2において、中間アンテナ120のアンテナ線121〜124に縦巻き部(121a〜124a)を設けるものとして説明したが、これに限られるものではない。内側アンテナ130についても、縦巻き部を有するアンテナ線から構成される四重アンテナとしてもよい。 In FIG. 2, it has been described that the antenna wires 121 to 124 of the intermediate antenna 120 are provided with the vertical winding portions (121a to 124a), but the present invention is not limited to this. The inner antenna 130 may also be a quadruple antenna composed of an antenna wire having a vertically wound portion.

G 基板
10 基板処理装置
50 金属窓(窓部材)
54 高周波アンテナ(アンテナユニット)
57 分割金属窓
70 基板載置台(載置台)
120 中間アンテナ(誘導結合アンテナ)
121〜124 アンテナ線
121a〜124a 第1角部(縦巻き部)
121b〜124b 第1辺部(平面部)
121c〜124c 第2角部(平面部)
121d〜124d 第2辺部(平面部)
121e〜124e 第3角部(平面部)
121f〜124f 接続部
123e2,124c2外廻し部(積層部)
121b2,124d2 屈曲部
142 額縁状領域(矩形枠)
201 底部平面部
211〜213 アンテナ線(底部平面部)
221,222 アンテナ線(側部)
231,232 アンテナ線(上面部)
241,242 アンテナ線(側部)
G Substrate 10 Substrate processing device 50 Metal window (window member)
54 High frequency antenna (antenna unit)
57 Divided metal window 70 Board mounting table (mounting table)
120 Intermediate antenna (inductively coupled antenna)
121-124 Antenna wire 121a-124a First corner (vertical winding)
121b to 124b 1st side (planar part)
121c-124c 2nd corner (flat surface)
121d-124d 2nd side (planar part)
121e-124e Third corner (flat surface)
121f to 124f Connection part 123e2, 124c2 Outer part (laminated part)
121b2,124d2 Bent part 142 Frame-shaped area (rectangular frame)
201 Bottom flat part 211-213 Antenna wire (bottom flat part)
221,222 Antenna wire (side)
231,232 Antenna wire (upper surface)
241,242 Antenna wire (side)

Claims (8)

載置台の載置面に載置された矩形基板をプラズマ処理する処理容器内に、前記プラズマを生成する誘導電界を形成し、前記載置面に対向する対向面を有する矩形枠状の誘導結合アンテナであって、
前記対向面において4つのアンテナ線を90°ずつ位置をずらして巻回される平面部と、
前記アンテナ線のそれぞれの末端において、前記対向面に平行で且つ前記矩形枠の角部と交差する巻回軸の周りに、前記対向面を共有する底部平面部を形成しながら縦巻きに巻回する縦巻き部と、を有する、誘導結合アンテナ。
An inductive electric field that generates the plasma is formed in a processing container that plasma-treats a rectangular substrate mounted on the mounting surface of the mounting table, and a rectangular frame-shaped inductive coupling having a facing surface facing the above-mentioned mounting surface. It ’s an antenna,
A flat surface portion in which the four antenna wires are wound by shifting their positions by 90 ° on the facing surface, and
At each end of the antenna wire, the antenna wire is wound vertically while forming a bottom flat portion that shares the facing surface around a winding axis that is parallel to the facing surface and intersects the corner portion of the rectangular frame. An inductively coupled antenna having a vertical winding portion.
前記縦巻き部は、
前記底部平面部の両側に立折する2つの側部と、
前記2つの側部の間において前記底部平面部と対向する上面部と、を有する、
請求項1に記載の誘導結合アンテナ。
The vertical winding portion is
Two side parts that fold on both sides of the bottom flat part,
It has an upper surface portion facing the bottom flat surface portion between the two side portions.
The inductively coupled antenna according to claim 1.
前記上面部において、
前記アンテナ線が前記2つの側部のアンテナ線の間を、直線的に結合する、
請求項2に記載の誘導結合アンテナ。
On the upper surface
The antenna wire linearly couples between the two side antenna wires.
The inductively coupled antenna according to claim 2.
前記上面部において、
前記アンテナ線が前記2つの側部のアンテナ線の間を、前記底部平面部の形状に対応して屈曲して結合する、
請求項2に記載の誘導結合アンテナ。
On the upper surface
The antenna wire bends and connects between the two side antenna wires according to the shape of the bottom flat surface portion.
The inductively coupled antenna according to claim 2.
一のアンテナ線の前記底部平面部の角部の内側に配置される他のアンテナ線は、
前記底部平面部の角部外側を構成するアンテナ線の上方に離間して配置される積層部を有する、
請求項1乃至請求項4のいずれか1項に記載の誘導結合アンテナ。
The other antenna wire arranged inside the corner of the bottom flat portion of one antenna wire is
It has a laminated portion arranged above the antenna wire forming the outside of the corner of the bottom flat portion.
The inductively coupled antenna according to any one of claims 1 to 4.
一のアンテナ線の前記底部平面部の角部の内側に配置される他のアンテナ線は、
前記矩形枠の一の辺部に沿って配置されるアンテナ線の端部と前記矩形枠の他の辺部に沿って配置されるアンテナ線の端部とを直線的に短絡する、
請求項1乃至請求項4のいずれか1項に記載の誘導結合アンテナ。
The other antenna wire arranged inside the corner of the bottom flat portion of one antenna wire is
A linear short circuit is made between the end of the antenna wire arranged along one side of the rectangular frame and the end of the antenna wire arranged along the other side of the rectangular frame.
The inductively coupled antenna according to any one of claims 1 to 4.
前記アンテナ線は、前記矩形枠の辺部に沿って配置されるアンテナ線に屈曲部を有する、
請求項1乃至請求項6のいずれか1項に記載の誘導結合アンテナ。
The antenna wire has a bent portion in the antenna wire arranged along the side portion of the rectangular frame.
The inductively coupled antenna according to any one of claims 1 to 6.
矩形基板をプラズマにより処理し上部に窓部材を有する処理容器と、
前記処理容器内において前記矩形基板を載置する載置面を有する載置台と、
前記処理容器内に前記プラズマを生成する誘導電界を形成し、前記載置面に対向する対向面を有する誘導結合アンテナと、を備えるプラズマ処理装置であって、
前記誘導結合アンテナは、
前記対向面において4つのアンテナ線を90°ずつ位置をずらして巻回される平面部と、
前記アンテナ線のそれぞれの末端において、前記対向面に平行で且つ前記矩形枠の角部と交差する巻回軸の周りに、前記対向面を共有する底部平面部を形成しながら縦巻きに巻回する縦巻き部と、を有する、プラズマ処理装置。
A processing container that treats a rectangular substrate with plasma and has a window member at the top,
A mounting table having a mounting surface on which the rectangular substrate is mounted in the processing container, and
A plasma processing apparatus comprising an inductively coupled antenna that forms an inductive electric field that generates the plasma in the processing container and has an inductively coupled antenna that has an facing surface facing the above-mentioned mounting surface.
The inductively coupled antenna
A flat surface portion in which the four antenna wires are wound by shifting their positions by 90 ° on the facing surface, and
At each end of the antenna wire, the antenna wire is wound vertically while forming a bottom flat portion that shares the facing surface around a winding axis that is parallel to the facing surface and intersects the corner portion of the rectangular frame. A plasma processing apparatus having a vertical winding portion and a vertical winding portion.
JP2020028705A 2020-02-21 2020-02-21 Inductively coupled antenna and plasma processing equipment Active JP7403347B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2020028705A JP7403347B2 (en) 2020-02-21 2020-02-21 Inductively coupled antenna and plasma processing equipment
TW110104611A TW202137324A (en) 2020-02-21 2021-02-08 Inductively coupled antenna and plasma processing device capable of improving the uniformity of plasma density
CN202110179866.3A CN113299531B (en) 2020-02-21 2021-02-09 Inductively coupled antenna and plasma processing apparatus
KR1020210019118A KR102570370B1 (en) 2020-02-21 2021-02-10 Inductive coupling antena and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020028705A JP7403347B2 (en) 2020-02-21 2020-02-21 Inductively coupled antenna and plasma processing equipment

Publications (2)

Publication Number Publication Date
JP2021136064A true JP2021136064A (en) 2021-09-13
JP7403347B2 JP7403347B2 (en) 2023-12-22

Family

ID=77319100

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020028705A Active JP7403347B2 (en) 2020-02-21 2020-02-21 Inductively coupled antenna and plasma processing equipment

Country Status (4)

Country Link
JP (1) JP7403347B2 (en)
KR (1) KR102570370B1 (en)
CN (1) CN113299531B (en)
TW (1) TW202137324A (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012059762A (en) * 2010-09-06 2012-03-22 Tokyo Electron Ltd Antenna unit and induction coupling plasma processing apparatus
JP2013162035A (en) * 2012-02-07 2013-08-19 Tokyo Electron Ltd Antenna unit for inductive coupling plasma, inductive coupling plasma processing device, and inductive coupling plasma processing method
CN207303334U (en) * 2016-08-31 2018-05-01 Invenia有限公司 For producing the antenna of inductively coupled plasma

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101202957B1 (en) * 2010-10-19 2012-11-20 주성엔지니어링(주) Antenna for generating plasma and Apparatus for treating substrate including the same
JP2014154684A (en) * 2013-02-07 2014-08-25 Tokyo Electron Ltd Inductively coupled plasma processing apparatus
JP6261220B2 (en) * 2013-02-18 2018-01-17 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
JP6228400B2 (en) * 2013-07-16 2017-11-08 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
KR101895884B1 (en) * 2016-10-05 2018-09-07 인베니아 주식회사 Antenna structure for plasma and plasma processing apparatus using the same
KR101866210B1 (en) * 2016-11-04 2018-06-11 인베니아 주식회사 Antenna structure for plasma

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012059762A (en) * 2010-09-06 2012-03-22 Tokyo Electron Ltd Antenna unit and induction coupling plasma processing apparatus
JP2013162035A (en) * 2012-02-07 2013-08-19 Tokyo Electron Ltd Antenna unit for inductive coupling plasma, inductive coupling plasma processing device, and inductive coupling plasma processing method
CN207303334U (en) * 2016-08-31 2018-05-01 Invenia有限公司 For producing the antenna of inductively coupled plasma

Also Published As

Publication number Publication date
CN113299531A (en) 2021-08-24
KR20210106908A (en) 2021-08-31
KR102570370B1 (en) 2023-08-25
CN113299531B (en) 2024-08-06
JP7403347B2 (en) 2023-12-22
TW202137324A (en) 2021-10-01

Similar Documents

Publication Publication Date Title
KR102326921B1 (en) Antenna unit for inductively coupled plasma, inductively coupled plasma processing apparatus and method therefor
JP5479867B2 (en) Inductively coupled plasma processing equipment
US9543121B2 (en) Inductively coupled plasma processing apparatus
JP2013105664A (en) High frequency antenna circuit and inductively coupled plasma treatment apparatus
KR102000797B1 (en) Plasma processing apparatus and method for adjusting plasma distribution
JP5597071B2 (en) Antenna unit and inductively coupled plasma processing apparatus
KR101432907B1 (en) Antenna unit for inductively coupled plasma and inductively coupled plasma processing apparatus
JP2013077715A (en) Antenna unit for inductive coupling plasma, and inductive coupling plasma processing device
JP2021064695A (en) Substrate processing apparatus and substrate processing method
KR100806522B1 (en) Inductively coupled plasma reactor
KR100864111B1 (en) Inductively coupled plasma reactor
TWI600048B (en) Inductively coupled plasma processing device
KR20120120043A (en) Inductively coupled plasma processing apparatus
JP2021136064A (en) Inductively coupled antenna and plasma processing device
JP7403348B2 (en) Antenna segment and inductively coupled plasma processing equipment
JP7500397B2 (en) Plasma processing apparatus, its manufacturing method, and plasma processing method
KR20240130612A (en) Plasma processing device and substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221101

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231212

R150 Certificate of patent or registration of utility model

Ref document number: 7403347

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150